Zeroing ADC buffer if it shutdown

pull/7/head
XGudron 2021-02-01 23:25:57 +03:00
rodzic 2636a9771a
commit 379d3a2d70
216 zmienionych plików z 82 dodań i 146736 usunięć

34
.gitignore vendored
Wyświetl plik

@ -1,3 +1,31 @@
gitignore
*.h
Inc/main.h
FPGA/db/*
FPGA/output_files/*
FPGA/greybox_tmp/*
FPGA/incremental_db/*
STM32/MDK-ARM/WOLF/*.htm
STM32/MDK-ARM/WOLF/*.hex
STM32/Debug/*
Status Report.txt
Design Rule Check*
*~*.zip
*.log
*.o
*.lnp
*.bak
*.uvguix.*
*.d
*.smsg
*.rpt
*.crf
*._2i
*.__i
*._ia
*.summary
*.axf
*.map
*.dep
*.jic
*.pof
*.rpt
*.sof
WOLF.uvguix.*

Wyświetl plik

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="DEBUG" kind="DEBUG" version="1.0" fabric="QSYS">
<!-- Format version 18.1 625 (Future versions may contain additional information.) -->
<!-- 2021.01.07.19:18:30 -->
<!-- 2021.02.01.23:59:42 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1610032710</value>
<value>1612209581</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>

Wyświetl plik

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="DEBUG2" kind="DEBUG2" version="1.0" fabric="QSYS">
<!-- Format version 18.1 625 (Future versions may contain additional information.) -->
<!-- 2021.01.07.19:18:40 -->
<!-- 2021.02.01.23:59:52 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1610032720</value>
<value>1612209592</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="clock_buffer" kind="clock_buffer" version="1.0" fabric="QSYS">
<!-- Format version 18.1 625 (Future versions may contain additional information.) -->
<!-- 2021.01.07.19:17:36 -->
<!-- 2021.02.01.23:58:45 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1610032656</value>
<value>1612209525</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>

Wyświetl plik

@ -1,3 +1,3 @@
Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
Version_Index = 486699264
Creation_Time = Fri Jan 08 22:54:21 2021
Creation_Time = Mon Feb 01 22:43:24 2021

Wyświetl plik

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="nco" kind="nco" version="1.0" fabric="QSYS">
<!-- Format version 18.1 625 (Future versions may contain additional information.) -->
<!-- 2021.01.07.19:18:19 -->
<!-- 2021.02.01.23:59:30 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1610032699</value>
<value>1612209570</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>

Wyświetl plik

@ -1,116 +0,0 @@
Assembler report for WOLF-LITE
Thu Jan 07 18:22:10 2021
Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Assembler Summary
3. Assembler Settings
4. Assembler Encrypted IP Cores Summary
5. Assembler Generated Files
6. Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sof
7. Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.pof
8. Assembler Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2018 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details.
+---------------------------------------------------------------+
; Assembler Summary ;
+-----------------------+---------------------------------------+
; Assembler Status ; Successful - Thu Jan 07 18:22:10 2021 ;
; Revision Name ; WOLF-LITE ;
; Top-level Entity Name ; WOLF-LITE ;
; Family ; Cyclone IV E ;
; Device ; EP4CE10E22C8 ;
+-----------------------+---------------------------------------+
+----------------------------------+
; Assembler Settings ;
+--------+---------+---------------+
; Option ; Setting ; Default Value ;
+--------+---------+---------------+
+--------------------------------------------------+
; Assembler Encrypted IP Cores Summary ;
+--------+--------------------------+--------------+
; Vendor ; IP Core Name ; License Type ;
+--------+--------------------------+--------------+
; Altera ; NCO Compiler (6AF7 0014) ; Licensed ;
; Altera ; CIC Compiler (6AF7 00BB) ; Licensed ;
; Altera ; Signal Tap (6AF7 BCE1) ; Licensed ;
; Altera ; Signal Tap (6AF7 BCEC) ; Licensed ;
+--------+--------------------------+--------------+
+-----------------------------------------------------------------------+
; Assembler Generated Files ;
+-----------------------------------------------------------------------+
; File Name ;
+-----------------------------------------------------------------------+
; D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sof ;
; D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.pof ;
+-----------------------------------------------------------------------+
+-------------------------------------------------------------------------------------------------+
; Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.sof ;
+----------------+--------------------------------------------------------------------------------+
; Option ; Setting ;
+----------------+--------------------------------------------------------------------------------+
; JTAG usercode ; 0x008A6DA1 ;
; Checksum ; 0x008A6DA1 ;
+----------------+--------------------------------------------------------------------------------+
+-------------------------------------------------------------------------------------------------+
; Assembler Device Options: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.pof ;
+--------------------+----------------------------------------------------------------------------+
; Option ; Setting ;
+--------------------+----------------------------------------------------------------------------+
; JTAG usercode ; 0x00000000 ;
; Checksum ; 0x1DAFF3E6 ;
; Compression Ratio ; 1 ;
+--------------------+----------------------------------------------------------------------------+
+--------------------+
; Assembler Messages ;
+--------------------+
Info: *******************************************************************
Info: Running Quartus Prime Assembler
Info: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
Info: Processing started: Thu Jan 07 18:22:05 2021
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
Info (115031): Writing out detailed assembly data for power analysis
Info (115030): Assembler is generating device programming files
Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 4705 megabytes
Info: Processing ended: Thu Jan 07 18:22:10 2021
Info: Elapsed time: 00:00:05
Info: Total CPU time (on all processors): 00:00:05

Wyświetl plik

@ -1,13 +0,0 @@
/* Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition */
JedecChain;
FileRevision(JESD32A);
DefaultMfr(6E);
P ActionCode(Cfg)
Device PartName(EP4CE10) Path("D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/") File("WOLF-LITE.jic") MfrSpec(OpMask(1) SEC_Device(EPCS16) Child_OpMask(1 7));
ChainEnd;
AlteraBegin;
ChainType(JTAG);
AlteraEnd;

Wyświetl plik

@ -1 +0,0 @@
Thu Jan 07 18:22:36 2021

Wyświetl plik

@ -1,11 +0,0 @@
Warning (18550): Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example.
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment.
Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
Extra Info (176236): Started Fast Input/Output/OE register processing
Extra Info (176237): Finished Fast Input/Output/OE register processing
Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
Warning (169180): Following 1 pins must use external clamping diodes.

Wyświetl plik

@ -1,16 +0,0 @@
Fitter Status : Successful - Thu Jan 07 18:22:01 2021
Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition
Revision Name : WOLF-LITE
Top-level Entity Name : WOLF-LITE
Family : Cyclone IV E
Device : EP4CE10E22C8
Timing Models : Final
Total logic elements : 9,774 / 10,320 ( 95 % )
Total combinational functions : 7,373 / 10,320 ( 71 % )
Dedicated logic registers : 7,487 / 10,320 ( 73 % )
Total registers : 7487
Total pins : 61 / 92 ( 66 % )
Total virtual pins : 0
Total memory bits : 183,280 / 423,936 ( 43 % )
Embedded Multiplier 9-bit elements : 32 / 46 ( 70 % )
Total PLLs : 2 / 2 ( 100 % )

Wyświetl plik

@ -1,343 +0,0 @@
Flow report for WOLF-LITE
Thu Jan 07 18:22:32 2021
Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Flow Summary
3. Flow Settings
4. Flow Non-Default Global Settings
5. Flow Elapsed Time
6. Flow OS Summary
7. Flow Log
8. Flow Messages
9. Flow Suppressed Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2018 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details.
+--------------------------------------------------------------------------------------+
; Flow Summary ;
+------------------------------------+-------------------------------------------------+
; Flow Status ; Successful - Thu Jan 07 18:22:22 2021 ;
; Quartus Prime Version ; 18.1.0 Build 625 09/12/2018 SJ Standard Edition ;
; Revision Name ; WOLF-LITE ;
; Top-level Entity Name ; WOLF-LITE ;
; Family ; Cyclone IV E ;
; Device ; EP4CE10E22C8 ;
; Timing Models ; Final ;
; Total logic elements ; 9,774 / 10,320 ( 95 % ) ;
; Total combinational functions ; 7,373 / 10,320 ( 71 % ) ;
; Dedicated logic registers ; 7,487 / 10,320 ( 73 % ) ;
; Total registers ; 7487 ;
; Total pins ; 61 / 92 ( 66 % ) ;
; Total virtual pins ; 0 ;
; Total memory bits ; 183,280 / 423,936 ( 43 % ) ;
; Embedded Multiplier 9-bit elements ; 32 / 46 ( 70 % ) ;
; Total PLLs ; 2 / 2 ( 100 % ) ;
+------------------------------------+-------------------------------------------------+
+-----------------------------------------+
; Flow Settings ;
+-------------------+---------------------+
; Option ; Setting ;
+-------------------+---------------------+
; Start date & time ; 01/07/2021 00:35:09 ;
; Main task ; Compilation ;
; Revision Name ; WOLF-LITE ;
+-------------------+---------------------+
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Flow Non-Default Global Settings ;
+--------------------------------------------------------+----------------------------------------------------------------+--------------------------------------+-------------+------------+
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
+--------------------------------------------------------+----------------------------------------------------------------+--------------------------------------+-------------+------------+
; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ;
; ALLOW_REGISTER_RETIMING ; Off ; On ; -- ; -- ;
; AUTO_RAM_TO_LCELL_CONVERSION ; On ; Off ; -- ; -- ;
; COMPILER_SIGNATURE_ID ; 1095501251834.160996890908644 ; -- ; -- ; -- ;
; CYCLONEII_OPTIMIZATION_TECHNIQUE ; Speed ; Balanced ; -- ; -- ;
; ENABLE_LOGIC_ANALYZER_INTERFACE ; Off ; -- ; -- ; -- ;
; ENABLE_SIGNALTAP ; Off ; -- ; -- ; -- ;
; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ;
; FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS ; On ; Off ; -- ; -- ;
; FLOW_ENABLE_POWER_ANALYZER ; On ; Off ; -- ; -- ;
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
; MISC_FILE ; mixer.bsf ; -- ; -- ; -- ;
; MISC_FILE ; mux16.bsf ; -- ; -- ; -- ;
; MISC_FILE ; MAIN_PLL.bsf ; -- ; -- ; -- ;
; MISC_FILE ; MAIN_PLL.ppf ; -- ; -- ; -- ;
; MISC_FILE ; mux14.bsf ; -- ; -- ; -- ;
; MISC_FILE ; mux1.bsf ; -- ; -- ; -- ;
; MISC_FILE ; tx_mixer.bsf ; -- ; -- ; -- ;
; MISC_FILE ; tx_summator.bsf ; -- ; -- ; -- ;
; MISC_FILE ; ADC_Latch.bsf ; -- ; -- ; -- ;
; MISC_FILE ; dac_null.bsf ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp.cmp ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/dspba_library_package.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/dspba_library.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/auk_dspip_math_pkg_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/auk_dspip_lib_pkg_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/auk_dspip_avalon_streaming_source_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/auk_dspip_roundsat_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/altera_avalon_sc_fifo.v ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_rtl_core.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_ast.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_nativelink.tcl ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_msim.tcl ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_tb.vhd ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_mlab.m ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_model.m ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_coef_int.txt ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_input.txt ; -- ; -- ; -- ;
; MISC_FILE ; rx_ciccomp_sim/rx_ciccomp_param.txt ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp.cmp ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/dspba_library_package.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/dspba_library.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/auk_dspip_math_pkg_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/auk_dspip_lib_pkg_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/auk_dspip_avalon_streaming_source_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/auk_dspip_roundsat_hpfir.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/altera_avalon_sc_fifo.v ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_rtl_core.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_ast.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_nativelink.tcl ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_msim.tcl ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_tb.vhd ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_mlab.m ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_model.m ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_coef_int.txt ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_input.txt ; -- ; -- ; -- ;
; MISC_FILE ; tx_ciccomp_sim/tx_ciccomp_param.txt ; -- ; -- ; -- ;
; MISC_FILE ; diffclock_buff.bsf ; -- ; -- ; -- ;
; MISC_FILE ; dcdc_pll.bsf ; -- ; -- ; -- ;
; MISC_FILE ; dcdc_pll.ppf ; -- ; -- ; -- ;
; MISC_FILE ; tx_pll.bsf ; -- ; -- ; -- ;
; MISC_FILE ; tx_pll.ppf ; -- ; -- ; -- ;
; MUX_RESTRUCTURE ; Off ; Auto ; -- ; -- ;
; NUM_PARALLEL_PROCESSORS ; All ; -- ; -- ; -- ;
; OCP_HW_EVAL ; Disable ; Enable ; -- ; -- ;
; OPTIMIZATION_MODE ; Aggressive Performance ; Balanced ; -- ; -- ;
; OPTIMIZE_SSN ; Normal compilation ; Off ; -- ; -- ;
; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ;
; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ;
; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ;
; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ;
; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ;
; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ;
; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ;
; PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ; On ; Off ; -- ; -- ;
; PHYSICAL_SYNTHESIS_COMBO_LOGIC ; On ; Off ; -- ; -- ;
; PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ; On ; Off ; -- ; -- ;
; PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ; On ; Off ; -- ; -- ;
; PLACEMENT_EFFORT_MULTIPLIER ; 4.0 ; 1.0 ; -- ; -- ;
; POST_FLOW_SCRIPT_FILE ; quartus_sh:auto_convert.tcl ; -- ; -- ; -- ;
; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ;
; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 80 % ; 12.5% ; -- ; -- ;
; POWER_OUTPUT_SAF_NAME ; output_files/signal_activity.saf ; -- ; -- ; -- ;
; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ;
; POWER_REPORT_POWER_DISSIPATION ; On ; Off ; -- ; -- ;
; POWER_REPORT_SIGNAL_ACTIVITY ; On ; Off ; -- ; -- ;
; POWER_USE_DEVICE_CHARACTERISTICS ; MAXIMUM ; TYPICAL ; -- ; -- ;
; PRE_MAPPING_RESYNTHESIS ; On ; Off ; -- ; -- ;
; PROJECT_IP_REGENERATION_POLICY ; ALWAYS_REGENERATE_IP ; SKIP_REGENERATING_IP_IF_HDL_MODIFIED ; -- ; -- ;
; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ;
; QII_AUTO_PACKED_REGISTERS ; Normal ; Auto ; -- ; -- ;
; ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ; On ; Off ; -- ; -- ;
; ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION ; On ; Auto ; -- ; -- ;
; ROUTER_TIMING_OPTIMIZATION_LEVEL ; MAXIMUM ; Normal ; -- ; -- ;
; SAVE_DISK_SPACE ; Off ; On ; -- ; -- ;
; SMART_RECOMPILE ; On ; Off ; -- ; -- ;
; SPD_FILE ; rx_ciccomp.spd ; -- ; -- ; -- ;
; SPD_FILE ; tx_ciccomp.spd ; -- ; -- ; -- ;
; SYNTHESIS_ONLY_QIP ; On ; -- ; -- ; -- ;
; SYNTHESIS_ONLY_QIP ; On ; -- ; -- ; -- ;
; SYNTH_GATED_CLOCK_CONVERSION ; On ; Off ; -- ; -- ;
; TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS ; Off ; On ; -- ; -- ;
; USE_LOGIC_ANALYZER_INTERFACE_FILE ; debugger1.lai ; -- ; -- ; -- ;
; USE_SIGNALTAP_FILE ; stp1.stp ; -- ; -- ; -- ;
+--------------------------------------------------------+----------------------------------------------------------------+--------------------------------------+-------------+------------+
+-----------------------------------------------------------------------------------------------------------------------------+
; Flow Elapsed Time ;
+-------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+-------------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis ; 00:02:11 ; 1.0 ; 4805 MB ; 00:04:21 ;
; Analysis & Synthesis ; 00:03:47 ; 1.0 ; 4924 MB ; 00:05:28 ;
; Partition Merge ; 00:00:06 ; 1.0 ; 4696 MB ; 00:00:06 ;
; I/O Assignment Analysis ; 00:00:23 ; 1.0 ; 4893 MB ; 00:00:13 ;
; Fitter ; 00:01:52 ; 1.1 ; 5725 MB ; 00:04:07 ;
; Assembler ; 00:00:06 ; 1.0 ; 4702 MB ; 00:00:05 ;
; Power Analyzer ; 00:00:11 ; 1.1 ; 4843 MB ; 00:00:12 ;
; Timing Analyzer ; 00:00:09 ; 1.6 ; 4873 MB ; 00:00:12 ;
; Analysis & Synthesis ; 00:03:26 ; 1.0 ; 5005 MB ; 00:05:54 ;
; Partition Merge ; 00:00:05 ; 1.0 ; 4702 MB ; 00:00:05 ;
; I/O Assignment Analysis ; 00:00:14 ; 1.0 ; 4902 MB ; 00:00:13 ;
; Fitter ; 00:02:11 ; 1.2 ; 5706 MB ; 00:04:54 ;
; Assembler ; 00:00:08 ; 1.0 ; 4705 MB ; 00:00:07 ;
; Power Analyzer ; 00:00:13 ; 1.1 ; 4841 MB ; 00:00:15 ;
; Timing Analyzer ; 00:00:11 ; 1.5 ; 4874 MB ; 00:00:13 ;
; Analysis & Synthesis ; 00:03:00 ; 1.0 ; 5007 MB ; 00:05:23 ;
; Partition Merge ; 00:00:04 ; 1.0 ; 4703 MB ; 00:00:04 ;
; I/O Assignment Analysis ; 00:00:11 ; 1.0 ; 4902 MB ; 00:00:12 ;
; Fitter ; 00:01:38 ; 1.1 ; 5728 MB ; 00:03:36 ;
; Assembler ; 00:00:05 ; 1.0 ; 4704 MB ; 00:00:05 ;
; Power Analyzer ; 00:00:11 ; 1.2 ; 4841 MB ; 00:00:12 ;
; Timing Analyzer ; 00:00:08 ; 1.5 ; 4873 MB ; 00:00:10 ;
; Analysis & Synthesis ; 00:02:48 ; 1.0 ; 5005 MB ; 00:04:47 ;
; Partition Merge ; 00:00:03 ; 1.0 ; 4704 MB ; 00:00:04 ;
; I/O Assignment Analysis ; 00:00:10 ; 1.0 ; 4899 MB ; 00:00:11 ;
; Fitter ; 00:01:30 ; 1.1 ; 5701 MB ; 00:03:15 ;
; Assembler ; 00:00:05 ; 1.0 ; 4702 MB ; 00:00:05 ;
; Power Analyzer ; 00:00:10 ; 1.1 ; 4837 MB ; 00:00:12 ;
; Timing Analyzer ; 00:00:09 ; 1.5 ; 4862 MB ; 00:00:10 ;
; Analysis & Synthesis ; 00:03:16 ; 1.2 ; 4953 MB ; 00:05:36 ;
; Partition Merge ; 00:00:06 ; 1.0 ; 4708 MB ; 00:00:06 ;
; I/O Assignment Analysis ; 00:00:14 ; 1.0 ; 4901 MB ; 00:00:15 ;
; Fitter ; 00:02:35 ; 1.2 ; 5738 MB ; 00:05:42 ;
; Assembler ; 00:00:07 ; 1.0 ; 4707 MB ; 00:00:07 ;
; Power Analyzer ; 00:00:14 ; 1.1 ; 4840 MB ; 00:00:16 ;
; Timing Analyzer ; 00:00:12 ; 1.5 ; 4873 MB ; 00:00:14 ;
; Analysis & Synthesis ; 00:02:43 ; 1.0 ; 5011 MB ; 00:04:38 ;
; Partition Merge ; 00:00:03 ; 1.0 ; 4705 MB ; 00:00:04 ;
; I/O Assignment Analysis ; 00:00:12 ; 1.0 ; 4904 MB ; 00:00:12 ;
; Fitter ; 00:01:39 ; 1.2 ; 5738 MB ; 00:03:35 ;
; Assembler ; 00:00:05 ; 1.0 ; 4705 MB ; 00:00:05 ;
; Power Analyzer ; 00:00:11 ; 1.2 ; 4846 MB ; 00:00:12 ;
; Timing Analyzer ; 00:00:08 ; 1.5 ; 4879 MB ; 00:00:10 ;
; Total ; 00:37:10 ; -- ; -- ; 01:06:03 ;
+-------------------------+--------------+-------------------------+---------------------+------------------------------------+
+---------------------------------------------------------------------------------------+
; Flow OS Summary ;
+-------------------------+------------------+------------+------------+----------------+
; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
+-------------------------+------------------+------------+------------+----------------+
; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Analysis & Synthesis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Partition Merge ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; I/O Assignment Analysis ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Fitter ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Assembler ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Power Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
; Timing Analyzer ; GUDRON-DESKTOP ; Windows 10 ; 10.0 ; x86_64 ;
+-------------------------+------------------+------------+------------+----------------+
------------
; Flow Log ;
------------
quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_sta WOLF-LITE -c WOLF-LITE
quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_sta WOLF-LITE -c WOLF-LITE
quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_sta WOLF-LITE -c WOLF-LITE
quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_sta WOLF-LITE -c WOLF-LITE
quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_sta WOLF-LITE -c WOLF-LITE
quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan
quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE
quartus_sta WOLF-LITE -c WOLF-LITE

Wyświetl plik

@ -1,123 +0,0 @@
<sld_project_info>
<project>
<hash md5_digest_80b="dacd052a6f573a07a844"/>
</project>
<file_info>
<file device="EP4CE10E22C8" path="WOLF-LITE.sof" usercode="0xFFFFFFFF"/>
</file_info>
<hub_info hub_ir_width="4" ir_width="4" node_addr_width="1" node_count="1"/>
<node_info>
<node hpath="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl" instance_id="0" mfg_id="110" node_id="9" sld_node_info="0x486E00" version="0">
<parameters>
<parameter name="lpm_type" type="string" value="altsource_probe"/>
<parameter name="lpm_hint" type="string" value="UNUSED"/>
<parameter name="sld_auto_instance_index" type="string" value="YES"/>
<parameter name="sld_instance_index" type="dec" value="0"/>
<parameter name="SLD_NODE_INFO" type="dec" value="4746752"/>
<parameter name="sld_ir_width" type="dec" value="4"/>
<parameter name="instance_id" type="string" value="ADC"/>
<parameter name="probe_width" type="dec" value="12"/>
<parameter name="source_width" type="dec" value="0"/>
<parameter name="source_initial_value" type="string" value="0"/>
<parameter name="enable_metastability" type="string" value="NO"/>
</parameters>
<inputs>
<port name="probe[0]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[0]"/>
<port name="probe[1]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[1]"/>
<port name="probe[2]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[2]"/>
<port name="probe[3]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[3]"/>
<port name="probe[4]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[4]"/>
<port name="probe[5]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[5]"/>
<port name="probe[6]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[6]"/>
<port name="probe[7]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[7]"/>
<port name="probe[8]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[8]"/>
<port name="probe[9]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[9]"/>
<port name="probe[10]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[10]"/>
<port name="probe[11]" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|probe[11]"/>
<port name="source_clk" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|source_clk"/>
<port name="source_ena" source="DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|source_ena"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdi" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdi"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_usr1" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_usr1"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cdr" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cdr"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_sdr" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_sdr"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_e1dr" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_e1dr"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_udr" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_udr"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cir" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cir"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_uir" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_uir"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_clr" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_clr"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ena" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ena"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_0_" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_0_"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_3_" source="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_3_"/>
</inputs>
<outputs>
<port name="source[0]"/>
<port name="source[-1]"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_0_"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_1_"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_2_"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_3_"/>
<port name="jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdo"/>
</outputs>
</node>
</node_info>
<sld_infos>
<sld_info hpath="DEBUG:DBG_ADC" name="DBG_ADC">
<assignment_values>
<assignment_value text="QSYS_NAME DEBUG HAS_SOPCINFO 1 GENERATION_ID 1610032710"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="clock_buffer:SYSCLK_BUFFER" name="SYSCLK_BUFFER">
<assignment_values>
<assignment_value text="QSYS_NAME clock_buffer HAS_SOPCINFO 1 GENERATION_ID 1610032656"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="nco:RX_NCO" name="RX_NCO">
<assignment_values>
<assignment_value text="QSYS_NAME nco HAS_SOPCINFO 1 GENERATION_ID 1610032699"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="rx_cic:RX_CIC_I" name="RX_CIC_I">
<assignment_values>
<assignment_value text="QSYS_NAME rx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032666"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="rx_cic:RX_CIC_Q" name="RX_CIC_Q">
<assignment_values>
<assignment_value text="QSYS_NAME rx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032666"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="tx_cic:TX_CIC_I" name="TX_CIC_I">
<assignment_values>
<assignment_value text="QSYS_NAME tx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032677"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="tx_cic:TX_CIC_Q" name="TX_CIC_Q">
<assignment_values>
<assignment_value text="QSYS_NAME tx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032677"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="tx_nco:TX_NCO" name="TX_NCO">
<assignment_values>
<assignment_value text="QSYS_NAME tx_nco HAS_SOPCINFO 1 GENERATION_ID 1610032688"/>
</assignment_values>
<parameters/>
</sld_info>
<sld_info hpath="sld_hub:auto_hub|alt_sld_fab:\instrumentation_fabric_with_node_gen:instrumentation_fabric" library="alt_sld_fab" name="instrumentation_fabric">
<assignment_values>
<assignment_value text="QSYS_NAME alt_sld_fab HAS_SOPCINFO 1"/>
</assignment_values>
<parameters/>
</sld_info>
</sld_infos>
</sld_project_info>

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,19 +0,0 @@
BLOCK START ADDRESS END ADDRESS
Page_0 0x00000000 0x00059D8A
Configuration device: EP4CE10
Configuration mode: Active Serial
Quad-Serial configuration device dummy clock cycle: 8
Notes:
- Data checksum for this conversion is 0x1ADFA2A2
- All the addresses in this file are byte addresses
- The following changes from default values for Advanced Options settings are in effect:
Disable EPCS ID check: ON
Disable AS mode CONF_DONE error check: ON

Wyświetl plik

@ -1,22 +0,0 @@
Warning (10273): Verilog HDL warning at stm32_interface.v(93): extended using "x" or "z" File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/stm32_interface.v Line: 93
Warning (10036): Verilog HDL or VHDL warning at tx_ciccomp_0002.vhd(54): object "coeff_in_read_sig" assigned a value but never read File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd Line: 54
Warning (10541): VHDL Signal Declaration warning at tx_ciccomp_0002_ast.vhd(208): used implicit default value for signal "core_channel_out_core" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd Line: 208
Warning (10036): Verilog HDL or VHDL warning at rx_ciccomp_0002.vhd(54): object "coeff_in_read_sig" assigned a value but never read File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd Line: 54
Warning (10541): VHDL Signal Declaration warning at rx_ciccomp_0002_ast.vhd(208): used implicit default value for signal "core_channel_out_core" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd Line: 208
Warning (12020): Port "counter_max" on the entity instantiation of "rate_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 486
Warning (12020): Port "counter_max" on the entity instantiation of "channel_out_int_inst" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 432
Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 419
Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 79
Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 50
Warning (12020): Port "counter_max" on the entity instantiation of "rate_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 486
Warning (12020): Port "counter_max" on the entity instantiation of "channel_out_int_inst" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 432
Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv Line: 419
Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 79
Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv Line: 50
Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 313
Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 298
Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 270
Warning (12020): Port "counter_max" on the entity instantiation of "counter_ch_inst" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 313
Warning (12020): Port "counter_max" on the entity instantiation of "counter_fs_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 298
Warning (12020): Port "counter_max" on the entity instantiation of "latency_cnt_inst" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored. File: D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv Line: 270
Warning (14284): Synthesized away the following node(s):

Wyświetl plik

@ -1,14 +0,0 @@
Analysis & Synthesis Status : Successful - Thu Jan 07 18:19:59 2021
Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition
Revision Name : WOLF-LITE
Top-level Entity Name : WOLF-LITE
Family : Cyclone IV E
Total logic elements : N/A until Partition Merge
Total combinational functions : N/A until Partition Merge
Dedicated logic registers : N/A until Partition Merge
Total registers : N/A until Partition Merge
Total pins : N/A until Partition Merge
Total virtual pins : N/A until Partition Merge
Total memory bits : N/A until Partition Merge
Embedded Multiplier 9-bit elements : N/A until Partition Merge
Total PLLs : N/A until Partition Merge

Wyświetl plik

@ -1,562 +0,0 @@
Partition Merge report for WOLF-LITE
Thu Jan 07 18:20:07 2021
Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Partition Merge Summary
3. Partition Merge Netlist Types Used
4. Partition Merge Partition Statistics
5. Partition Merge Partition Pin Processing
6. Partition Merge Resource Usage Summary
7. Partition Merge RAM Summary
8. Partition Merge DSP Block Usage Summary
9. Partition Merge Messages
10. Partition Merge Suppressed Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2018 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details.
+--------------------------------------------------------------------------------------+
; Partition Merge Summary ;
+------------------------------------+-------------------------------------------------+
; Partition Merge Status ; Successful - Thu Jan 07 18:20:07 2021 ;
; Quartus Prime Version ; 18.1.0 Build 625 09/12/2018 SJ Standard Edition ;
; Revision Name ; WOLF-LITE ;
; Top-level Entity Name ; WOLF-LITE ;
; Family ; Cyclone IV E ;
; Total logic elements ; 10,223 ;
; Total combinational functions ; 7,373 ;
; Dedicated logic registers ; 7,519 ;
; Total registers ; 7519 ;
; Total pins ; 61 ;
; Total virtual pins ; 0 ;
; Total memory bits ; 183,280 ;
; Embedded Multiplier 9-bit elements ; 32 ;
; Total PLLs ; 2 ;
+------------------------------------+-------------------------------------------------+
+-------------------------------------------------------------------------------------------------------------------------------+
; Partition Merge Netlist Types Used ;
+--------------------------------+----------------+-------------------+------------------------+--------------------------------+
; Partition Name ; Partition Type ; Netlist Type Used ; Netlist Type Requested ; Partition Contents ;
+--------------------------------+----------------+-------------------+------------------------+--------------------------------+
; Top ; User-created ; Source File ; Post-Fit ; ;
; sld_hub:auto_hub ; Auto-generated ; Post-Synthesis ; Post-Synthesis ; sld_hub:auto_hub ;
; hard_block:auto_generated_inst ; Auto-generated ; Source File ; Source File ; hard_block:auto_generated_inst ;
+--------------------------------+----------------+-------------------+------------------------+--------------------------------+
+----------------------------------------------------------------------------------------------------------+
; Partition Merge Partition Statistics ;
+---------------------------------------------+--------+------------------+--------------------------------+
; Statistic ; Top ; sld_hub:auto_hub ; hard_block:auto_generated_inst ;
+---------------------------------------------+--------+------------------+--------------------------------+
; Estimated Total logic elements ; 10083 ; 140 ; 0 ;
; ; ; ; ;
; Total combinational functions ; 7254 ; 119 ; 0 ;
; Logic element usage by number of LUT inputs ; ; ; ;
; -- 4 input functions ; 2444 ; 57 ; 0 ;
; -- 3 input functions ; 3954 ; 27 ; 0 ;
; -- <=2 input functions ; 856 ; 35 ; 0 ;
; ; ; ; ;
; Logic elements by mode ; ; ; ;
; -- normal mode ; 3360 ; 111 ; 0 ;
; -- arithmetic mode ; 3894 ; 8 ; 0 ;
; ; ; ; ;
; Total registers ; 7440 ; 79 ; 0 ;
; -- Dedicated logic registers ; 7440 ; 79 ; 0 ;
; -- I/O registers ; 0 ; 0 ; 0 ;
; ; ; ; ;
; Virtual pins ; 0 ; 0 ; 0 ;
; I/O pins ; 61 ; 0 ; 0 ;
; Embedded Multiplier 9-bit elements ; 32 ; 0 ; 0 ;
; Total memory bits ; 183280 ; 0 ; 0 ;
; Total RAM block bits ; 0 ; 0 ; 0 ;
; JTAG ; 1 ; 0 ; 0 ;
; PLL ; 0 ; 0 ; 2 ;
; ; ; ; ;
; Connections ; ; ; ;
; -- Input Connections ; 2457 ; 116 ; 2 ;
; -- Registered Input Connections ; 2409 ; 89 ; 0 ;
; -- Output Connections ; 151 ; 46 ; 2378 ;
; -- Registered Output Connections ; 0 ; 32 ; 0 ;
; ; ; ; ;
; Internal Connections ; ; ; ;
; -- Total Connections ; 56630 ; 671 ; 2382 ;
; -- Registered Connections ; 30485 ; 453 ; 0 ;
; ; ; ; ;
; External Connections ; ; ; ;
; -- Top ; 66 ; 162 ; 2380 ;
; -- sld_hub:auto_hub ; 162 ; 0 ; 0 ;
; -- hard_block:auto_generated_inst ; 2380 ; 0 ; 0 ;
; ; ; ; ;
; Partition Interface ; ; ; ;
; -- Input Ports ; 36 ; 39 ; 2 ;
; -- Output Ports ; 42 ; 56 ; 3 ;
; -- Bidir Ports ; 8 ; 0 ; 0 ;
; ; ; ; ;
; Registered Ports ; ; ; ;
; -- Registered Input Ports ; 0 ; 4 ; 0 ;
; -- Registered Output Ports ; 0 ; 23 ; 0 ;
; ; ; ; ;
; Port Connectivity ; ; ; ;
; -- Input Ports driven by GND ; 0 ; 5 ; 0 ;
; -- Output Ports driven by GND ; 0 ; 28 ; 0 ;
; -- Input Ports driven by VCC ; 0 ; 0 ; 0 ;
; -- Output Ports driven by VCC ; 0 ; 0 ; 0 ;
; -- Input Ports with no Source ; 0 ; 25 ; 0 ;
; -- Output Ports with no Source ; 0 ; 0 ; 0 ;
; -- Input Ports with no Fanout ; 0 ; 30 ; 1 ;
; -- Output Ports with no Fanout ; 0 ; 42 ; 0 ;
+---------------------------------------------+--------+------------------+--------------------------------+
Note: Resource usage numbers presented for Partitions containing post-synthesis logic are estimates. For Partitions containing post-fit logic, resource usage numbers are accurate based on previous placement information. Actual Fitter results may vary depending on current Fitter Preservation Level assignments.
+----------------------------------------------------------------------------------------------------------------------------------------------------------+
; Partition Merge Partition Pin Processing ;
+----------------------------------------------------------------------+-----------+---------------+----------+--------------------------------------------+
; Name ; Partition ; Type ; Location ; Status ;
+----------------------------------------------------------------------+-----------+---------------+----------+--------------------------------------------+
; ADC_INPUT[0] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[0] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[0]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[10] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[10] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[10]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[11] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[11] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[11]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[1] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[1] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[1]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[2] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[2] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[2]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[3] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[3] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[3]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[4] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[4] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[4]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[5] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[5] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[5]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[6] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[6] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[6]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[7] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[7] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[7]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[8] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[8] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[8]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_INPUT[9] ; Top ; Input Port ; n/a ; ;
; -- ADC_INPUT[9] ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_INPUT[9]~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ADC_OTR ; Top ; Input Port ; n/a ; ;
; -- ADC_OTR ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- ADC_OTR~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ATT_05 ; Top ; Output Port ; n/a ; ;
; -- ATT_05 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- ATT_05~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ATT_1 ; Top ; Output Port ; n/a ; ;
; -- ATT_1 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- ATT_1~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ATT_16 ; Top ; Output Port ; n/a ; ;
; -- ATT_16 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- ATT_16~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ATT_2 ; Top ; Output Port ; n/a ; ;
; -- ATT_2 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- ATT_2~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ATT_4 ; Top ; Output Port ; n/a ; ;
; -- ATT_4 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- ATT_4~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; ATT_8 ; Top ; Output Port ; n/a ; ;
; -- ATT_8 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- ATT_8~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; AUDIO_48K_CLOCK ; Top ; Output Port ; n/a ; ;
; -- AUDIO_48K_CLOCK ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- AUDIO_48K_CLOCK~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; AUDIO_I2S_CLOCK ; Top ; Output Port ; n/a ; ;
; -- AUDIO_I2S_CLOCK ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- AUDIO_I2S_CLOCK~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; BPF_A ; Top ; Output Port ; n/a ; ;
; -- BPF_A ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- BPF_A~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; BPF_B ; Top ; Output Port ; n/a ; ;
; -- BPF_B ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- BPF_B~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; BPF_OE1 ; Top ; Output Port ; n/a ; ;
; -- BPF_OE1 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- BPF_OE1~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; BPF_OE2 ; Top ; Output Port ; n/a ; ;
; -- BPF_OE2 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- BPF_OE2~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_CLK ; Top ; Output Port ; n/a ; ;
; -- DAC_CLK ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_CLK~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[0] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[0] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[0]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[10] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[10] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[10]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[11] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[11] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[11]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[12] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[12] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[12]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[13] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[13] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[13]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[1] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[1] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[1]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[2] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[2] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[2]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[3] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[3] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[3]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[4] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[4] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[4]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[5] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[5] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[5]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[6] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[6] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[6]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[7] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[7] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[7]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[8] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[8] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[8]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_OUTPUT[9] ; Top ; Output Port ; n/a ; ;
; -- DAC_OUTPUT[9] ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_OUTPUT[9]~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; DAC_PD ; Top ; Output Port ; n/a ; ;
; -- DAC_PD ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- DAC_PD~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; FLASH_C ; Top ; Output Port ; n/a ; ;
; -- FLASH_C ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- FLASH_C~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; FLASH_MISO ; Top ; Input Port ; n/a ; ;
; -- FLASH_MISO ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- FLASH_MISO~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; FLASH_MOSI ; Top ; Output Port ; n/a ; ;
; -- FLASH_MOSI ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- FLASH_MOSI~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; FLASH_S ; Top ; Output Port ; n/a ; ;
; -- FLASH_S ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- FLASH_S~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; LPF_1 ; Top ; Output Port ; n/a ; ;
; -- LPF_1 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- LPF_1~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; LPF_2 ; Top ; Output Port ; n/a ; ;
; -- LPF_2 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- LPF_2~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; LPF_3 ; Top ; Output Port ; n/a ; ;
; -- LPF_3 ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- LPF_3~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; PREAMP ; Top ; Output Port ; n/a ; ;
; -- PREAMP ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- PREAMP~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; STM32_CLK ; Top ; Input Port ; n/a ; ;
; -- STM32_CLK ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- STM32_CLK~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; STM32_DATA_BUS[0] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[0] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[0]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_DATA_BUS[1] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[1] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[1]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_DATA_BUS[2] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[2] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[2]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_DATA_BUS[3] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[3] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[3]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_DATA_BUS[4] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[4] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[4]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_DATA_BUS[5] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[5] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[5]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_DATA_BUS[6] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[6] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[6]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_DATA_BUS[7] ; Top ; Bidir Port ; n/a ; ;
; -- STM32_DATA_BUS[7] ; Top ; Bidir Pad ; Unplaced ; Synthesized ;
; -- STM32_DATA_BUS[7]~output ; Top ; Output Buffer ; Unplaced ; Preserved from Synthesis Netlist (WYSIWYG) ;
; ; ; ; ; ;
; STM32_SYNC ; Top ; Input Port ; n/a ; ;
; -- STM32_SYNC ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- STM32_SYNC~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; TXRX_OUT ; Top ; Output Port ; n/a ; ;
; -- TXRX_OUT ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- TXRX_OUT~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; altera_reserved_tck ; Top ; Input Port ; n/a ; ;
; -- altera_reserved_tck ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- altera_reserved_tck~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; altera_reserved_tdi ; Top ; Input Port ; n/a ; ;
; -- altera_reserved_tdi ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- altera_reserved_tdi~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; altera_reserved_tdo ; Top ; Output Port ; n/a ; ;
; -- altera_reserved_tdo ; Top ; Output Pad ; Unplaced ; Synthesized ;
; -- altera_reserved_tdo~output ; Top ; Output Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; altera_reserved_tms ; Top ; Input Port ; n/a ; ;
; -- altera_reserved_tms ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- altera_reserved_tms~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; clk_sys ; Top ; Input Port ; n/a ; ;
; -- clk_sys ; Top ; Input Pad ; Unplaced ; Synthesized ;
; -- clk_sys~input ; Top ; Input Buffer ; Unplaced ; Synthesized ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_clr ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ena ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_0_ ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_3_ ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_0_ ; Top ; Output Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_1_ ; Top ; Output Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_2_ ; Top ; Output Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_3_ ; Top ; Output Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cdr ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cir ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_e1dr ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_sdr ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_udr ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_uir ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdi ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdo ; Top ; Output Port ; n/a ; ;
; ; ; ; ; ;
; jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_usr1 ; Top ; Input Port ; n/a ; ;
; ; ; ; ; ;
+----------------------------------------------------------------------+-----------+---------------+----------+--------------------------------------------+
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Partition Merge Resource Usage Summary ;
+---------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Resource ; Usage ;
+---------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Estimated Total logic elements ; 10,223 ;
; ; ;
; Total combinational functions ; 7373 ;
; Logic element usage by number of LUT inputs ; ;
; -- 4 input functions ; 2501 ;
; -- 3 input functions ; 3981 ;
; -- <=2 input functions ; 891 ;
; ; ;
; Logic elements by mode ; ;
; -- normal mode ; 3471 ;
; -- arithmetic mode ; 3902 ;
; ; ;
; Total registers ; 7519 ;
; -- Dedicated logic registers ; 7519 ;
; -- I/O registers ; 0 ;
; ; ;
; I/O pins ; 61 ;
; Total memory bits ; 183280 ;
; ; ;
; Embedded Multiplier 9-bit elements ; 32 ;
; ; ;
; Total PLLs ; 2 ;
; -- PLLs ; 2 ;
; ; ;
; Maximum fan-out node ; clock_buffer:SYSCLK_BUFFER|clock_buffer_altclkctrl_0:altclkctrl_0|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component|wire_clkctrl1_outclk ;
; Maximum fan-out ; 5370 ;
; Total fan-out ; 56024 ;
; Average fan-out ; 3.62 ;
+---------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------+
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Partition Merge RAM Summary ;
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+---------------------------+
; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ;
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+---------------------------+
; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_fu91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 12 ; -- ; -- ; 24576 ; nco_nco_ii_0_sin_f.hex ;
; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_au91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 12 ; -- ; -- ; 24576 ; nco_nco_ii_0_cos_f.hex ;
; nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_h982:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 2048 ; 12 ; 2048 ; 12 ; 24576 ; nco_nco_ii_0_sin_c.hex ;
; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 25 ; 8 ; 25 ; 200 ; None ;
; rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 87 ; 32 ; 87 ; 2784 ; None ;
; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 25 ; 8 ; 25 ; 200 ; None ;
; rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ji71:auto_generated|a_dpfifo_gqv:dpfifo|altsyncram_vah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 87 ; 32 ; 87 ; 2784 ; None ;
; rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ;
; rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ;
; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 18 ; 8 ; 18 ; 144 ; None ;
; tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 17 ; 32 ; 17 ; 544 ; None ;
; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 18 ; 8 ; 18 ; 144 ; None ;
; tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 17 ; 32 ; 17 ; 544 ; None ;
; tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ;
; tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem|altsyncram_0mn3:auto_generated|ALTSYNCRAM ; M9K ; Simple Dual Port ; 64 ; 16 ; 64 ; 16 ; 1024 ; None ;
; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0122|altsyncram:altsyncram_component0|altsyncram_u8a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 16 ; -- ; -- ; 32768 ; tx_nco_nco_ii_0_sin_f.hex ;
; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_cen:ux0123|altsyncram:altsyncram_component0|altsyncram_p8a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 16 ; -- ; -- ; 32768 ; tx_nco_nco_ii_0_cos_f.hex ;
; tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 2048 ; 16 ; 2048 ; 16 ; 32768 ; tx_nco_nco_ii_0_sin_c.hex ;
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+---------------------------+
+-----------------------------------------------------+
; Partition Merge DSP Block Usage Summary ;
+---------------------------------------+-------------+
; Statistic ; Number Used ;
+---------------------------------------+-------------+
; Simple Multipliers (9-bit) ; 0 ;
; Simple Multipliers (18-bit) ; 16 ;
; Embedded Multiplier Blocks ; -- ;
; Embedded Multiplier 9-bit elements ; 32 ;
; Signed Embedded Multipliers ; 16 ;
; Unsigned Embedded Multipliers ; 0 ;
; Mixed Sign Embedded Multipliers ; 0 ;
; Variable Sign Embedded Multipliers ; 0 ;
; Dedicated Input Shift Register Chains ; 0 ;
+---------------------------------------+-------------+
Note: number of Embedded Multiplier Blocks used is only available after a successful fit.
+--------------------------+
; Partition Merge Messages ;
+--------------------------+
Info: *******************************************************************
Info: Running Quartus Prime Partition Merge
Info: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
Info: Processing started: Thu Jan 07 18:20:04 2021
Info: Command: quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on
Info (35007): Using synthesis netlist for partition "Top"
Info (35007): Using synthesis netlist for partition "sld_hub:auto_hub"
Info (35002): Resolved and merged 2 partition(s)
Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
Info (16011): Adding 2 node(s), including 0 DDIO, 2 PLL, 0 transceiver and 0 LCELL
Info (21057): Implemented 10800 device resources after synthesis - the final resource count might be different
Info (21058): Implemented 20 input pins
Info (21059): Implemented 37 output pins
Info (21060): Implemented 8 bidirectional pins
Info (21061): Implemented 10269 logic cells
Info (21064): Implemented 430 RAM segments
Info (21065): Implemented 2 PLLs
Info (21062): Implemented 32 DSP elements
Info (144001): Generated suppressed messages file D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.merge.smsg
Info: Quartus Prime Partition Merge was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 4705 megabytes
Info: Processing ended: Thu Jan 07 18:20:08 2021
Info: Elapsed time: 00:00:04
Info: Total CPU time (on all processors): 00:00:05
+-------------------------------------+
; Partition Merge Suppressed Messages ;
+-------------------------------------+
The suppressed messages can be found in D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/WOLF-LITE.merge.smsg.

Wyświetl plik

@ -1 +0,0 @@
Warning (35010): Previously generated Fitter netlist for partition "Top" is older than current Synthesis netlist -- using the current Synthesis netlist instead to ensure that the latest source changes are included

Wyświetl plik

@ -1,14 +0,0 @@
Partition Merge Status : Successful - Thu Jan 07 18:20:07 2021
Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition
Revision Name : WOLF-LITE
Top-level Entity Name : WOLF-LITE
Family : Cyclone IV E
Total logic elements : 10,223
Total combinational functions : 7,373
Dedicated logic registers : 7,519
Total registers : 7519
Total pins : 61
Total virtual pins : 0
Total memory bits : 183,280
Embedded Multiplier 9-bit elements : 32
Total PLLs : 2

Wyświetl plik

@ -1,215 +0,0 @@
-- Copyright (C) 2018 Intel Corporation. All rights reserved.
-- Your use of Intel Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Intel Program License
-- Subscription Agreement, the Intel Quartus Prime License Agreement,
-- the Intel FPGA IP License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for
-- the sole purpose of programming logic devices manufactured by
-- Intel and sold by Intel or its authorized distributors. Please
-- refer to the applicable agreement for further details.
--
-- This is a Quartus Prime output file. It is for reporting purposes only, and is
-- not intended for use as a Quartus Prime input file. This file cannot be used
-- to make Quartus Prime pin assignments - for instructions on how to make pin
-- assignments, please see Quartus Prime help.
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-- NC : No Connect. This pin has no internal connection to the device.
-- DNU : Do Not Use. This pin MUST NOT be connected.
-- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V).
-- VCCIO : Dedicated power pin, which MUST be connected to VCC
-- of its bank.
-- Bank 1: 2.5V
-- Bank 2: 3.3V
-- Bank 3: 3.3V
-- Bank 4: 3.3V
-- Bank 5: 3.3V
-- Bank 6: 3.3V
-- Bank 7: 3.3V
-- Bank 8: 3.3V
-- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
-- It can also be used to report unused dedicated pins. The connection
-- on the board for unused dedicated pins depends on whether this will
-- be used in a future design. One example is device migration. When
-- using device migration, refer to the device pin-tables. If it is a
-- GND pin in the pin table or if it will not be used in a future design
-- for another purpose the it MUST be connected to GND. If it is an unused
-- dedicated pin, then it can be connected to a valid signal on the board
-- (low, high, or toggling) if that signal is required for a different
-- revision of the design.
-- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins.
-- This pin should be connected to GND. It may also be connected to a
-- valid signal on the board (low, high, or toggling) if that signal
-- is required for a different revision of the design.
-- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND
-- or leave it unconnected.
-- RESERVED : Unused I/O pin, which MUST be left unconnected.
-- RESERVED_INPUT : Pin is tri-stated and should be connected to the board.
-- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor.
-- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry.
-- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high.
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-- Pin directions (input, output or bidir) are based on device operating in user mode.
---------------------------------------------------------------------------------
Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
CHIP "WOLF-LITE" ASSIGNED TO AN: EP4CE10E22C8
Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment
-------------------------------------------------------------------------------------------------------------
GND* : 1 : : : : 1 :
GND* : 2 : : : : 1 :
GND* : 3 : : : : 1 :
GND : 4 : gnd : : : :
VCCINT : 5 : power : : 1.2V : :
FLASH_MOSI : 6 : output : 2.5 V : : 1 : Y
GND* : 7 : : : : 1 :
FLASH_S : 8 : output : 2.5 V : : 1 : Y
nSTATUS : 9 : : : : 1 :
GND* : 10 : : : : 1 :
GND* : 11 : : : : 1 :
FLASH_C : 12 : output : 2.5 V : : 1 : Y
FLASH_MISO : 13 : input : 2.5 V : : 1 : Y
nCONFIG : 14 : : : : 1 :
altera_reserved_tdi : 15 : input : 2.5 V : : 1 : N
altera_reserved_tck : 16 : input : 2.5 V : : 1 : N
VCCIO1 : 17 : power : : 2.5V : 1 :
altera_reserved_tms : 18 : input : 2.5 V : : 1 : N
GND : 19 : gnd : : : :
altera_reserved_tdo : 20 : output : 2.5 V : : 1 : N
nCE : 21 : : : : 1 :
GND : 22 : gnd : : : :
GND+ : 23 : : : : 1 :
GND+ : 24 : : : : 2 :
GND+ : 25 : : : : 2 :
VCCIO2 : 26 : power : : 3.3V : 2 :
GND : 27 : gnd : : : :
GND* : 28 : : : : 2 :
VCCINT : 29 : power : : 1.2V : :
GND* : 30 : : : : 2 :
GND* : 31 : : : : 2 :
STM32_SYNC : 32 : input : 3.3-V LVTTL : : 2 : Y
STM32_CLK : 33 : input : 3.3-V LVTTL : : 2 : Y
GND* : 34 : : : : 2 :
VCCA1 : 35 : power : : 2.5V : :
GNDA1 : 36 : gnd : : : :
VCCD_PLL1 : 37 : power : : 1.2V : :
STM32_DATA_BUS[3] : 38 : bidir : 3.3-V LVTTL : : 3 : Y
STM32_DATA_BUS[4] : 39 : bidir : 3.3-V LVTTL : : 3 : Y
VCCIO3 : 40 : power : : 3.3V : 3 :
GND : 41 : gnd : : : :
STM32_DATA_BUS[5] : 42 : bidir : 3.3-V LVTTL : : 3 : Y
STM32_DATA_BUS[6] : 43 : bidir : 3.3-V LVTTL : : 3 : Y
ADC_OTR : 44 : input : 3.3-V LVTTL : : 3 : Y
VCCINT : 45 : power : : 1.2V : :
STM32_DATA_BUS[7] : 46 : bidir : 3.3-V LVTTL : : 3 : Y
VCCIO3 : 47 : power : : 3.3V : 3 :
GND : 48 : gnd : : : :
STM32_DATA_BUS[0] : 49 : bidir : 3.3-V LVTTL : : 3 : Y
STM32_DATA_BUS[1] : 50 : bidir : 3.3-V LVTTL : : 3 : Y
STM32_DATA_BUS[2] : 51 : bidir : 3.3-V LVTTL : : 3 : Y
ADC_INPUT[11] : 52 : input : 3.3-V LVTTL : : 3 : Y
ADC_INPUT[10] : 53 : input : 3.3-V LVTTL : : 3 : Y
ADC_INPUT[9] : 54 : input : 3.3-V LVTTL : : 4 : Y
ADC_INPUT[8] : 55 : input : 3.3-V LVTTL : : 4 : Y
VCCIO4 : 56 : power : : 3.3V : 4 :
GND : 57 : gnd : : : :
ADC_INPUT[7] : 58 : input : 3.3-V LVTTL : : 4 : Y
ADC_INPUT[6] : 59 : input : 3.3-V LVTTL : : 4 : Y
ADC_INPUT[5] : 60 : input : 3.3-V LVTTL : : 4 : Y
VCCINT : 61 : power : : 1.2V : :
VCCIO4 : 62 : power : : 3.3V : 4 :
GND : 63 : gnd : : : :
ADC_INPUT[4] : 64 : input : 3.3-V LVTTL : : 4 : Y
ADC_INPUT[3] : 65 : input : 3.3-V LVTTL : : 4 : Y
ADC_INPUT[2] : 66 : input : 3.3-V LVTTL : : 4 : Y
ADC_INPUT[1] : 67 : input : 3.3-V LVTTL : : 4 : Y
ADC_INPUT[0] : 68 : input : 3.3-V LVTTL : : 4 : Y
PREAMP : 69 : output : 3.3-V LVTTL : : 4 : Y
GND* : 70 : : : : 4 :
GND* : 71 : : : : 4 :
TXRX_OUT : 72 : output : 3.3-V LVTTL : : 4 : Y
GND* : 73 : : : : 5 :
LPF_3 : 74 : output : 3.3-V LVTTL : : 5 : Y
LPF_2 : 75 : output : 3.3-V LVTTL : : 5 : Y
LPF_1 : 76 : output : 3.3-V LVTTL : : 5 : Y
BPF_OE1 : 77 : output : 3.3-V LVTTL : : 5 : Y
VCCINT : 78 : power : : 1.2V : :
GND : 79 : gnd : : : :
BPF_A : 80 : output : 3.3-V LVTTL : : 5 : Y
VCCIO5 : 81 : power : : 3.3V : 5 :
GND : 82 : gnd : : : :
BPF_B : 83 : output : 3.3-V LVTTL : : 5 : Y
BPF_OE2 : 84 : output : 3.3-V LVTTL : : 5 : Y
ATT_16 : 85 : output : 3.3-V LVTTL : : 5 : Y
ATT_05 : 86 : output : 3.3-V LVTTL : : 5 : Y
ATT_1 : 87 : output : 3.3-V LVTTL : : 5 : Y
GND+ : 88 : : : : 5 :
clk_sys : 89 : input : 3.3-V LVTTL : : 5 : Y
GND+ : 90 : : : : 6 :
GND+ : 91 : : : : 6 :
CONF_DONE : 92 : : : : 6 :
VCCIO6 : 93 : power : : 3.3V : 6 :
MSEL0 : 94 : : : : 6 :
GND : 95 : gnd : : : :
MSEL1 : 96 : : : : 6 :
MSEL2 : 97 : : : : 6 :
ATT_2 : 98 : output : 3.3-V LVTTL : : 6 : Y
ATT_4 : 99 : output : 3.3-V LVTTL : : 6 : Y
ATT_8 : 100 : output : 3.3-V LVTTL : : 6 : Y
DAC_OUTPUT[0] : 101 : output : 3.3-V LVTTL : : 6 : Y
VCCINT : 102 : power : : 1.2V : :
DAC_OUTPUT[1] : 103 : output : 3.3-V LVTTL : : 6 : Y
DAC_OUTPUT[2] : 104 : output : 3.3-V LVTTL : : 6 : Y
DAC_OUTPUT[3] : 105 : output : 3.3-V LVTTL : : 6 : Y
DAC_OUTPUT[4] : 106 : output : 3.3-V LVTTL : : 6 : Y
VCCA2 : 107 : power : : 2.5V : :
GNDA2 : 108 : gnd : : : :
VCCD_PLL2 : 109 : power : : 1.2V : :
DAC_OUTPUT[5] : 110 : output : 3.3-V LVTTL : : 7 : Y
DAC_OUTPUT[6] : 111 : output : 3.3-V LVTTL : : 7 : Y
DAC_OUTPUT[7] : 112 : output : 3.3-V LVTTL : : 7 : Y
DAC_OUTPUT[8] : 113 : output : 3.3-V LVTTL : : 7 : Y
DAC_OUTPUT[9] : 114 : output : 3.3-V LVTTL : : 7 : Y
DAC_OUTPUT[10] : 115 : output : 3.3-V LVTTL : : 7 : Y
VCCINT : 116 : power : : 1.2V : :
VCCIO7 : 117 : power : : 3.3V : 7 :
GND : 118 : gnd : : : :
DAC_OUTPUT[11] : 119 : output : 3.3-V LVTTL : : 7 : Y
DAC_OUTPUT[12] : 120 : output : 3.3-V LVTTL : : 7 : Y
DAC_OUTPUT[13] : 121 : output : 3.3-V LVTTL : : 7 : Y
VCCIO7 : 122 : power : : 3.3V : 7 :
GND : 123 : gnd : : : :
DAC_PD : 124 : output : 3.3-V LVTTL : : 7 : Y
DAC_CLK : 125 : output : 3.3-V LVTTL : : 7 : Y
GND* : 126 : : : : 7 :
GND* : 127 : : : : 7 :
GND* : 128 : : : : 8 :
GND* : 129 : : : : 8 :
VCCIO8 : 130 : power : : 3.3V : 8 :
GND : 131 : gnd : : : :
GND* : 132 : : : : 8 :
GND* : 133 : : : : 8 :
VCCINT : 134 : power : : 1.2V : :
AUDIO_48K_CLOCK : 135 : output : 3.3-V LVTTL : : 8 : Y
AUDIO_I2S_CLOCK : 136 : output : 3.3-V LVTTL : : 8 : Y
GND* : 137 : : : : 8 :
GND* : 138 : : : : 8 :
VCCIO8 : 139 : power : : 3.3V : 8 :
GND : 140 : gnd : : : :
GND* : 141 : : : : 8 :
GND* : 142 : : : : 8 :
GND* : 143 : : : : 8 :
GND* : 144 : : : : 8 :
GND : EPAD : : : : :

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1 +0,0 @@
Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment.

Wyświetl plik

@ -1,12 +0,0 @@
Power Analyzer Status : Successful - Thu Jan 07 18:22:22 2021
Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition
Revision Name : WOLF-LITE
Top-level Entity Name : WOLF-LITE
Family : Cyclone IV E
Device : EP4CE10E22C8
Power Models : Final
Total Thermal Power Dissipation : 299.22 mW
Core Dynamic Thermal Power Dissipation : 160.93 mW
Core Static Thermal Power Dissipation : 80.72 mW
I/O Thermal Power Dissipation : 57.57 mW
Power Estimation Confidence : Low: user provided insufficient toggle rate data

Wyświetl plik

@ -1,49 +0,0 @@
<sld_project_info>
<sld_infos>
<sld_info hpath="DEBUG:DBG_ADC" name="DBG_ADC">
<assignment_values>
<assignment_value text="QSYS_NAME DEBUG HAS_SOPCINFO 1 GENERATION_ID 1610032710"/>
</assignment_values>
</sld_info>
<sld_info hpath="clock_buffer:SYSCLK_BUFFER" name="SYSCLK_BUFFER">
<assignment_values>
<assignment_value text="QSYS_NAME clock_buffer HAS_SOPCINFO 1 GENERATION_ID 1610032656"/>
</assignment_values>
</sld_info>
<sld_info hpath="nco:RX_NCO" name="RX_NCO">
<assignment_values>
<assignment_value text="QSYS_NAME nco HAS_SOPCINFO 1 GENERATION_ID 1610032699"/>
</assignment_values>
</sld_info>
<sld_info hpath="rx_cic:RX_CIC_I" name="RX_CIC_I">
<assignment_values>
<assignment_value text="QSYS_NAME rx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032666"/>
</assignment_values>
</sld_info>
<sld_info hpath="rx_cic:RX_CIC_Q" name="RX_CIC_Q">
<assignment_values>
<assignment_value text="QSYS_NAME rx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032666"/>
</assignment_values>
</sld_info>
<sld_info hpath="tx_cic:TX_CIC_I" name="TX_CIC_I">
<assignment_values>
<assignment_value text="QSYS_NAME tx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032677"/>
</assignment_values>
</sld_info>
<sld_info hpath="tx_cic:TX_CIC_Q" name="TX_CIC_Q">
<assignment_values>
<assignment_value text="QSYS_NAME tx_cic HAS_SOPCINFO 1 GENERATION_ID 1610032677"/>
</assignment_values>
</sld_info>
<sld_info hpath="tx_nco:TX_NCO" name="TX_NCO">
<assignment_values>
<assignment_value text="QSYS_NAME tx_nco HAS_SOPCINFO 1 GENERATION_ID 1610032688"/>
</assignment_values>
</sld_info>
<sld_info hpath="sld_hub:auto_hub|alt_sld_fab:\instrumentation_fabric_with_node_gen:instrumentation_fabric" library="alt_sld_fab" name="instrumentation_fabric">
<assignment_values>
<assignment_value text="QSYS_NAME alt_sld_fab HAS_SOPCINFO 1"/>
</assignment_values>
</sld_info>
</sld_infos>
</sld_project_info>

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,5 +0,0 @@
Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment.
Critical Warning (332148): Timing requirements not met
Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment.
Critical Warning (332148): Timing requirements not met
Warning (332060): Node: rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid was determined to be a clock but was found without an associated clock assignment.

Wyświetl plik

@ -1,197 +0,0 @@
------------------------------------------------------------
Timing Analyzer Summary
------------------------------------------------------------
Type : Slow 1200mV 85C Model Setup 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : -4.404
TNS : -388.058
Type : Slow 1200mV 85C Model Setup 'clk_sys'
Slack : 1.814
TNS : 0.000
Type : Slow 1200mV 85C Model Setup 'clock_stm32'
Slack : 30.027
TNS : 0.000
Type : Slow 1200mV 85C Model Setup 'altera_reserved_tck'
Slack : 45.160
TNS : 0.000
Type : Slow 1200mV 85C Model Hold 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : 0.400
TNS : 0.000
Type : Slow 1200mV 85C Model Hold 'clk_sys'
Slack : 0.401
TNS : 0.000
Type : Slow 1200mV 85C Model Hold 'altera_reserved_tck'
Slack : 0.452
TNS : 0.000
Type : Slow 1200mV 85C Model Hold 'clock_stm32'
Slack : 0.485
TNS : 0.000
Type : Slow 1200mV 85C Model Recovery 'clk_sys'
Slack : 3.227
TNS : 0.000
Type : Slow 1200mV 85C Model Recovery 'altera_reserved_tck'
Slack : 96.012
TNS : 0.000
Type : Slow 1200mV 85C Model Removal 'altera_reserved_tck'
Slack : 1.284
TNS : 0.000
Type : Slow 1200mV 85C Model Removal 'clk_sys'
Slack : 11.143
TNS : 0.000
Type : Slow 1200mV 85C Model Minimum Pulse Width 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : 1.218
TNS : 0.000
Type : Slow 1200mV 85C Model Minimum Pulse Width 'clk_sys'
Slack : 7.177
TNS : 0.000
Type : Slow 1200mV 85C Model Minimum Pulse Width 'clock_stm32'
Slack : 19.682
TNS : 0.000
Type : Slow 1200mV 85C Model Minimum Pulse Width 'altera_reserved_tck'
Slack : 49.522
TNS : 0.000
Type : Slow 1200mV 0C Model Setup 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : -3.496
TNS : -159.849
Type : Slow 1200mV 0C Model Setup 'clk_sys'
Slack : 2.102
TNS : 0.000
Type : Slow 1200mV 0C Model Setup 'clock_stm32'
Slack : 30.878
TNS : 0.000
Type : Slow 1200mV 0C Model Setup 'altera_reserved_tck'
Slack : 45.544
TNS : 0.000
Type : Slow 1200mV 0C Model Hold 'clk_sys'
Slack : 0.384
TNS : 0.000
Type : Slow 1200mV 0C Model Hold 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : 0.385
TNS : 0.000
Type : Slow 1200mV 0C Model Hold 'altera_reserved_tck'
Slack : 0.400
TNS : 0.000
Type : Slow 1200mV 0C Model Hold 'clock_stm32'
Slack : 0.430
TNS : 0.000
Type : Slow 1200mV 0C Model Recovery 'clk_sys'
Slack : 3.572
TNS : 0.000
Type : Slow 1200mV 0C Model Recovery 'altera_reserved_tck'
Slack : 96.290
TNS : 0.000
Type : Slow 1200mV 0C Model Removal 'altera_reserved_tck'
Slack : 1.188
TNS : 0.000
Type : Slow 1200mV 0C Model Removal 'clk_sys'
Slack : 10.797
TNS : 0.000
Type : Slow 1200mV 0C Model Minimum Pulse Width 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : 1.218
TNS : 0.000
Type : Slow 1200mV 0C Model Minimum Pulse Width 'clk_sys'
Slack : 7.200
TNS : 0.000
Type : Slow 1200mV 0C Model Minimum Pulse Width 'clock_stm32'
Slack : 19.595
TNS : 0.000
Type : Slow 1200mV 0C Model Minimum Pulse Width 'altera_reserved_tck'
Slack : 49.402
TNS : 0.000
Type : Fast 1200mV 0C Model Setup 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : 1.395
TNS : 0.000
Type : Fast 1200mV 0C Model Setup 'clk_sys'
Slack : 4.454
TNS : 0.000
Type : Fast 1200mV 0C Model Setup 'clock_stm32'
Slack : 34.929
TNS : 0.000
Type : Fast 1200mV 0C Model Setup 'altera_reserved_tck'
Slack : 48.082
TNS : 0.000
Type : Fast 1200mV 0C Model Hold 'clk_sys'
Slack : 0.135
TNS : 0.000
Type : Fast 1200mV 0C Model Hold 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : 0.141
TNS : 0.000
Type : Fast 1200mV 0C Model Hold 'altera_reserved_tck'
Slack : 0.186
TNS : 0.000
Type : Fast 1200mV 0C Model Hold 'clock_stm32'
Slack : 0.201
TNS : 0.000
Type : Fast 1200mV 0C Model Recovery 'clk_sys'
Slack : 5.163
TNS : 0.000
Type : Fast 1200mV 0C Model Recovery 'altera_reserved_tck'
Slack : 98.256
TNS : 0.000
Type : Fast 1200mV 0C Model Removal 'altera_reserved_tck'
Slack : 0.545
TNS : 0.000
Type : Fast 1200mV 0C Model Removal 'clk_sys'
Slack : 9.779
TNS : 0.000
Type : Fast 1200mV 0C Model Minimum Pulse Width 'TX_PLL|altpll_component|auto_generated|pll1|clk[0]'
Slack : 2.841
TNS : 0.000
Type : Fast 1200mV 0C Model Minimum Pulse Width 'clk_sys'
Slack : 6.972
TNS : 0.000
Type : Fast 1200mV 0C Model Minimum Pulse Width 'clock_stm32'
Slack : 19.142
TNS : 0.000
Type : Fast 1200mV 0C Model Minimum Pulse Width 'altera_reserved_tck'
Slack : 49.471
TNS : 0.000
------------------------------------------------------------

Wyświetl plik

@ -1,13 +0,0 @@
/* Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition */
JedecChain;
FileRevision(JESD32A);
DefaultMfr(6E);
P ActionCode(Cfg)
Device PartName(EP4CE10) Path("D:/Dropbox/Develop/Projects/WOLF-Lite/FPGA/output_files/") File("WOLF-LITE.jic") MfrSpec(OpMask(1) SEC_Device(EPCS16) Child_OpMask(1 7));
ChainEnd;
AlteraBegin;
ChainType(JTAG);
AlteraEnd;

Wyświetl plik

@ -1 +0,0 @@
Sun Nov 29 18:49:47 2020

Wyświetl plik

@ -1,45 +0,0 @@
<?xml version="1.0"?>
<instance entity-name="altera_fir_compiler_ii" version="18.1" >
<generic name="filterType" value="single" />
<generic name="interpFactor" value="1" />
<generic name="decimFactor" value="1" />
<generic name="symmetryMode" value="nsym" />
<generic name="L_bandsFilter" value="1" />
<generic name="inputChannelNum" value="1" />
<generic name="clockRate" value="64.320" />
<generic name="clockSlack" value="0" />
<generic name="inputRate" value="0.048" />
<generic name="coeffReload" value="false" />
<generic name="baseAddress" value="0" />
<generic name="readWriteMode" value="read_write" />
<generic name="backPressure" value="false" />
<generic name="deviceFamily" value="Cyclone IV E" />
<generic name="speedGrade" value="slow" />
<generic name="delayRAMBlockThreshold" value="20" />
<generic name="dualMemDistRAMThreshold" value="1280" />
<generic name="mRAMThreshold" value="1000000" />
<generic name="hardMultiplierThreshold" value="10" />
<generic name="reconfigurable" value="false" />
<generic name="num_modes" value="2" />
<generic name="reconfigurable_list" value="0" />
<generic name="MODE_STRING" value="None Set" />
<generic name="channelModes" value="0,1,2,3" />
<generic name="inputType" value="int" />
<generic name="inputBitWidth" value="16" />
<generic name="inputFracBitWidth" value="0" />
<generic name="coeffSetRealValue" value="0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-0.003112097,0.00397706,-0.009962169,0.01225572,-0.02225391,0.03539414,-0.06247783,0.09680668,-0.1389779,0.1894282,-0.2661418,0.3553942,-0.4787624,0.6416882,-0.8489428,1.076695,-1.380863,1.767663,-2.208132,2.800049,-3.512443,4.375831,-5.16481,4.621669,4.621669,-5.16481,4.375831,-3.512443,2.800049,-2.208132,1.767663,-1.380863,1.076695,-0.8489428,0.6416882,-0.4787624,0.3553942,-0.2661418,0.1894282,-0.1389779,0.09680668,-0.06247783,0.03539414,-0.02225391,0.01225572,-0.009962169,0.00397706,-0.003112097,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0" />
<generic name="coeffSetRealValueImag" value="0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, -0.0530093, -0.04498, 0.0, 0.0749693, 0.159034, 0.224907, 0.249809, 0.224907, 0.159034, 0.0749693, 0.0, -0.04498, -0.0530093, -0.0321283, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0" />
<generic name="coeffScaling" value="auto" />
<generic name="coeffType" value="int" />
<generic name="coeffBitWidth" value="8" />
<generic name="coeffFracBitWidth" value="31" />
<generic name="coeffComplex" value="false" />
<generic name="karatsuba" value="false" />
<generic name="outType" value="int" />
<generic name="outMSBRound" value="trunc" />
<generic name="outMsbBitRem" value="0" />
<generic name="outLSBRound" value="round" />
<generic name="outLsbBitRem" value="0" />
<generic name="bankCount" value="1" />
<generic name="bankDisplay" value="0" />
</instance>

Wyświetl plik

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="rx_cic" kind="rx_cic" version="1.0" fabric="QSYS">
<!-- Format version 18.1 625 (Future versions may contain additional information.) -->
<!-- 2021.01.07.19:17:47 -->
<!-- 2021.02.01.23:58:56 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1610032666</value>
<value>1612209536</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>

Wyświetl plik

@ -317,7 +317,13 @@ refer to the applicable agreement for further details.
(text "DATA_BUS[7..0]" (rect 193 27 267 39)(font "Arial" ))
(line (pt 288 32)(pt 272 32)(line_width 3))
)
(parameter
"rx_buffer_length"
"7"
""
(type "PARAMETER_SIGNED_DEC") )
(drawing
(rectangle (rect 16 16 272 544)(line_width 1))
)
(annotation_block (parameter)(rect 304 -64 404 16))
)

Wyświetl plik

@ -92,6 +92,11 @@ reg [7:0] DATA_BUS_OUT;
reg DATA_BUS_OE; // 1 - out 0 - in
assign DATA_BUS = DATA_BUS_OE ? DATA_BUS_OUT : 8'bZ ;
parameter rx_buffer_length = (8 - 1);
reg signed [15:0] BUFFER_RX_I [0:rx_buffer_length];
reg signed [15:0] BUFFER_RX_Q [0:rx_buffer_length];
reg signed [15:0] BUFFER_RX_head = 'd0;
reg signed [15:0] BUFFER_RX_tail = 'd0;
reg signed [15:0] k = 'd1;
reg signed [15:0] REG_RX_I;
reg signed [15:0] REG_RX_Q;
@ -104,8 +109,12 @@ reg sync_reset_n = 1;
always @ (posedge IQ_valid)
begin
REG_RX_I[15:0] = RX_I[15:0];
REG_RX_Q[15:0] = RX_Q[15:0];
BUFFER_RX_I[BUFFER_RX_head][15:0] = RX_I[15:0];
BUFFER_RX_Q[BUFFER_RX_head][15:0] = RX_Q[15:0];
if(BUFFER_RX_head >= rx_buffer_length)
BUFFER_RX_head = 0;
else
BUFFER_RX_head = BUFFER_RX_head + 16'd1;
end
always @ (posedge clk_in)
@ -282,8 +291,25 @@ begin
end
else if (k == 400) //RX IQ
begin
I_HOLD[15:0] = REG_RX_I[15:0];
Q_HOLD[15:0] = REG_RX_Q[15:0];
if(BUFFER_RX_tail == BUFFER_RX_head) //догнал буффер
begin
REG_RX_I[15:0] = 'd0;
REG_RX_Q[15:0] = 'd0;
end
else
begin
REG_RX_I[15:0] = BUFFER_RX_I[BUFFER_RX_tail][15:0];
REG_RX_Q[15:0] = BUFFER_RX_Q[BUFFER_RX_tail][15:0];
if(BUFFER_RX_tail >= rx_buffer_length)
BUFFER_RX_tail = 0;
else
BUFFER_RX_tail = BUFFER_RX_tail + 16'd1;
end
I_HOLD = REG_RX_I;
Q_HOLD = REG_RX_Q;
DATA_BUS_OUT[7:0] = Q_HOLD[15:8];
k = 401;
end

Wyświetl plik

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="tx_cic" kind="tx_cic" version="1.0" fabric="QSYS">
<!-- Format version 18.1 625 (Future versions may contain additional information.) -->
<!-- 2021.01.07.19:17:57 -->
<!-- 2021.02.01.23:59:08 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1610032677</value>
<value>1612209547</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>

Wyświetl plik

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="tx_nco" kind="tx_nco" version="1.0" fabric="QSYS">
<!-- Format version 18.1 625 (Future versions may contain additional information.) -->
<!-- 2021.01.07.19:18:08 -->
<!-- 2021.02.01.23:59:19 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1610032688</value>
<value>1612209559</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>

Plik binarny nie jest wyświetlany.

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,79 +0,0 @@
<html>
<body>
<pre>
<h1>µVision Build Log</h1>
<h2>Tool Versions:</h2>
IDE-Version: µVision V5.33.0.0
Copyright (C) 2020 ARM Ltd and ARM Germany GmbH. All rights reserved.
License Information: R7KBI uu5jpp@mail.ru, R7KBI, LIC=2TTQ1-C4JY4-D3JM3-AXCSF-UCXL7-1VJRK
Tool Versions:
Toolchain: MDK-ARM Professional Version: 5.33.0.0
Toolchain Path: C:\Keil_v5\ARM\ARMCLANG\Bin
C Compiler: ArmClang.exe V6.15
Assembler: Armasm.exe V6.15
Linker/Locator: ArmLink.exe V6.15
Library Manager: ArmAr.exe V6.15
Hex Converter: FromElf.exe V6.15
CPU DLL: SARMCM3.DLL V5.33.0.0
Dialog DLL: DCM.DLL V1.17.3.0
Target DLL: STLink\ST-LINKIII-KEIL_SWO.dll V3.0.8.0
Dialog DLL: TCM.DLL V1.48.0.0
<h2>Project:</h2>
C:\Lite\STM32\MDK-ARM\WOLF-Lite.uvprojx
Project File Date: 01/08/2021
<h2>Output:</h2>
*** Using Compiler 'V6.15', folder: 'C:\Keil_v5\ARM\ARMCLANG\Bin'
Build target 'WOLF-Lite'
compiling main.c...
linking...
Program Size: Code=181016 RO-data=92768 RW-data=118176 ZI-data=61756
FromELF: creating hex file...
"WOLF-Lite\WOLF-Lite.axf" - 0 Error(s), 0 Warning(s).
<h2>Software Packages used:</h2>
Package Vendor: ARM
http://www.keil.com/pack/ARM.CMSIS.5.7.0.pack
ARM.CMSIS.5.7.0
CMSIS (Cortex Microcontroller Software Interface Standard)
* Component: CORE Version: 5.4.0
* Component: DSP Variant: Source Version: 1.8.0
Package Vendor: Keil
http://www.keil.com/pack/Keil.STM32F4xx_DFP.2.15.0.pack
Keil.STM32F4xx_DFP.2.15.0
STMicroelectronics STM32F4 Series Device Support, Drivers and Examples
<h2>Collection of Component include folders:</h2>
.\RTE\_WOLF-Lite
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\Core\Include
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\PrivateInclude
C:\Users\uu5jp\AppData\Local\Arm\Packs\Keil\STM32F4xx_DFP\2.15.0\Drivers\CMSIS\Device\ST\STM32F4xx\Include
<h2>Collection of Component Files used:</h2>
* Component: ARM::CMSIS:CORE:5.4.0
* Component: ARM::CMSIS:DSP:Source:1.8.0
Include file: CMSIS\DSP\Include\arm_math.h
Source file: CMSIS\DSP\Source\BasicMathFunctions\BasicMathFunctions.c
Source file: CMSIS\DSP\Source\BayesFunctions\BayesFunctions.c
Source file: CMSIS\DSP\Source\CommonTables\CommonTables.c
Source file: CMSIS\DSP\Source\ComplexMathFunctions\ComplexMathFunctions.c
Source file: CMSIS\DSP\Source\ControllerFunctions\ControllerFunctions.c
Source file: CMSIS\DSP\Source\DistanceFunctions\DistanceFunctions.c
Source file: CMSIS\DSP\Source\FastMathFunctions\FastMathFunctions.c
Source file: CMSIS\DSP\Source\SupportFunctions\SupportFunctions.c
Source file: CMSIS\DSP\Source\SVMFunctions\SVMFunctions.c
Source file: CMSIS\DSP\Source\MatrixFunctions\MatrixFunctions.c
Source file: CMSIS\DSP\Source\StatisticsFunctions\StatisticsFunctions.c
Source file: CMSIS\DSP\Source\FilteringFunctions\FilteringFunctions.c
Source file: CMSIS\DSP\Source\TransformFunctions\TransformFunctions.c
Build Time Elapsed: 00:00:12
</pre>
</body>
</html>

Wyświetl plik

@ -1,28 +0,0 @@
; *************************************************************
; *** Scatter-Loading Description File generated by uVision ***
; *************************************************************
LR_IROM1 0x08000000 0x00080000 { ; load region size_region
ER_IROM1 0x08000000 0x00080000 { ; load address = execution address
*.o (RESET, +First)
*(InRoot$$Sections)
.ANY (+RO)
.ANY (+XO)
}
; Stack region growing down
REGION_STACK 0x20000000 {
*(STACK)
}
; We have to define heap region, even if we don't actually use heap
REGION_HEAP ImageLimit(REGION_STACK) {
*(HEAP)
}
; this will place .bss region above the stack and heap and allocate RAM that is left for it
RW_IRAM1 ImageLimit(REGION_HEAP) (0x20000 - ImageLength(REGION_STACK) - ImageLength(REGION_HEAP)) {
*(+RW +ZI)
}
}

Wyświetl plik

@ -1,60 +0,0 @@
wolf-lite/agc.o: ..\Core\Src\agc.c \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
..\Core\Src\agc.h C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\audio_processor.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
..\Core\Src\functions.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\settings.h \
..\Core\Src\bands.h ..\Core\Src\audio_filters.h ..\Core\Src\fpga.h \
..\Core\Src\fft.h ..\Core\Src\wm8731.h ..\Core\Src\screen_layout.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \
..\Core\Src\fonts.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,10 +0,0 @@
-xc -std=c99 --target=arm-arm-none-eabi -mcpu=cortex-m4 -mfpu=fpv4-sp-d16 -mfloat-abi=hard -c
-fno-rtti -flto -funsigned-char -fshort-enums -fshort-wchar
-gdwarf-3 -fno-ldm-stm -Ofast -ffunction-sections -Wno-packed -Wno-missing-variable-declarations -Wno-missing-prototypes -Wno-missing-noreturn -Wno-sign-conversion -Wno-nonportable-include-path -Wno-reserved-id-macro -Wno-unused-macros -Wno-documentation-unknown-command -Wno-documentation -Wno-license-management -Wno-parentheses-equality -I ../Core/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc -I ../Drivers/STM32F4xx_HAL_Driver/Inc/Legacy -I ../Drivers/CMSIS/Device/ST/STM32F4xx/Include -I ../Drivers/CMSIS/Include -I ../Core/USBDevice -I ../Core/Src -I ../Core/Src
-I./RTE/_WOLF-Lite
-IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/Core/Include
-IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/Include
-IC:/Users/uu5jp/AppData/Local/Arm/Packs/ARM/CMSIS/5.7.0/CMSIS/DSP/PrivateInclude
-IC:/Users/uu5jp/AppData/Local/Arm/Packs/Keil/STM32F4xx_DFP/2.15.0/Drivers/CMSIS/Device/ST/STM32F4xx/Include
-D__UVISION_VERSION="531" -D_RTE_ -DSTM32F407xx -D_RTE_ -DUSE_HAL_DRIVER -DSTM32F407xx -DARM_MATH_MATRIX_CHECK -DARM_MATH_ROUNDING -DARM_MATH_LOOPUNROLL
-o wolf-lite/audio_filters.o -MD "../Core/Src/audio_filters.c"

Wyświetl plik

@ -1,60 +0,0 @@
wolf-lite/audio_filters.o: ..\Core\Src\audio_filters.c \
..\Core\Src\audio_filters.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h ..\Core\Src\fpga.h \
..\Core\Src\fft.h C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
..\Core\Src\functions.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\wm8731.h \
..\Core\Src\audio_processor.h ..\Core\Src\settings.h \
..\Core\Src\bands.h ..\Core\Src\screen_layout.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \
..\Core\Src\fonts.h ..\Core\Src\auto_notch.h ..\Core\Src\trx_manager.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,65 +0,0 @@
wolf-lite/audio_processor.o: ..\Core\Src\audio_processor.c \
..\Core\Src\audio_processor.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\functions.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\settings.h \
..\Core\Src\bands.h ..\Core\Src\wm8731.h ..\Core\Src\audio_filters.h \
..\Core\Src\fpga.h ..\Core\Src\fft.h ..\Core\Src\screen_layout.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \
..\Core\Src\fonts.h ..\Core\Src\agc.h ..\Core\Src\usbd_audio_if.h \
..\Core\Src\usbd_ua3reo.h ..\Core\USBDevice\usbd_ioreq.h \
..\Core\USBDevice\usbd_def.h ..\Core\USBDevice\usbd_conf.h \
..\Core\Inc\main.h ..\Core\USBDevice\usbd_core.h \
..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_ctlreq.h \
..\Core\Src\auto_notch.h ..\Core\Src\trx_manager.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,55 +0,0 @@
wolf-lite/auto_notch.o: ..\Core\Src\auto_notch.c ..\Core\Src\auto_notch.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\audio_processor.h ..\Core\Src\functions.h \
..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\settings.h \
..\Core\Src\bands.h ..\Core\Src\trx_manager.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,54 +0,0 @@
wolf-lite/bands.o: ..\Core\Src\bands.c ..\Core\Src\bands.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\settings.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,59 +0,0 @@
wolf-lite/basicmathfunctions.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\BasicMathFunctions.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_abs_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_add_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u16.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_and_u8.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_dot_prod_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_mult_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_negate_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u16.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_not_u8.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_offset_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u16.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_or_u8.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_scale_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_shift_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_sub_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u16.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BasicMathFunctions\arm_xor_u8.c

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,13 +0,0 @@
wolf-lite/bayesfunctions.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BayesFunctions\BayesFunctions.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\BayesFunctions\arm_gaussian_naive_bayes_predict_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,60 +0,0 @@
wolf-lite/bootloader.o: ..\Core\Src\bootloader.c ..\Core\Src\bootloader.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
..\Core\USBDevice\usb_device.h ..\Core\USBDevice\usbd_def.h \
..\Core\USBDevice\usbd_conf.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Inc\main.h \
..\Core\Src\lcd.h ..\Core\Src\trx_manager.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\settings.h ..\Core\Src\functions.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \
..\Core\Src\lcd_driver.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\screen_layout.h \
..\Core\Src\color_themes.h ..\Core\Src\fonts.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,19 +0,0 @@
wolf-lite/commontables.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\CommonTables.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\arm_common_tables.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\arm_const_structs.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_const_structs.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\CommonTables\arm_mve_tables.c

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,30 +0,0 @@
wolf-lite/complexmathfunctions.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\ComplexMathFunctions.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_conj_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_dot_prod_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mag_squared_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_cmplx_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ComplexMathFunctions\arm_cmplx_mult_real_q31.c

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,22 +0,0 @@
wolf-lite/controllerfunctions.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\ControllerFunctions.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_reset_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_reset_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_pid_reset_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_sin_cos_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\ControllerFunctions\arm_sin_cos_q31.c

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,32 +0,0 @@
wolf-lite/distancefunctions.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\DistanceFunctions.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_boolean_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_boolean_distance_template.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_braycurtis_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_canberra_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_chebyshev_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_cityblock_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_correlation_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_cosine_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_dice_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_euclidean_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_hamming_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_jaccard_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_jensenshannon_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_kulsinski_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_minkowski_distance_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_rogerstanimoto_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_russellrao_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_sokalmichener_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_sokalsneath_distance.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\DistanceFunctions\arm_yule_distance.c

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,24 +0,0 @@
wolf-lite/fastmathfunctions.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\FastMathFunctions.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_cos_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_cos_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_cos_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sin_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sin_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sin_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sqrt_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_sqrt_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_vexp_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FastMathFunctions\arm_vlog_f32.c

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,63 +0,0 @@
wolf-lite/fft.o: ..\Core\Src\fft.c ..\Core\Src\fft.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h ..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\wm8731.h \
..\Core\Src\audio_processor.h ..\Core\Src\settings.h \
..\Core\Src\bands.h ..\Core\Src\screen_layout.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \
..\Core\Src\fonts.h ..\Core\Inc\main.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_const_structs.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \
..\Core\Src\audio_filters.h ..\Core\Src\fpga.h ..\Core\Src\lcd.h \
..\Core\Src\trx_manager.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,113 +0,0 @@
wolf-lite/filteringfunctions.o: \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\FilteringFunctions.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_32x64_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_32x64_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_fast_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_fast_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df1_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_f64.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_df2T_init_f64.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_stereo_df2T_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_biquad_cascade_stereo_df2T_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_opt_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_fast_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_opt_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_opt_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_opt_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_fast_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_opt_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_opt_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_partial_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_conv_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_opt_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_fast_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_opt_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_opt_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_correlate_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_fast_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_fast_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_decimate_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_fast_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_fast_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_init_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_interpolate_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_lattice_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_init_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_fir_sparse_q7.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_iir_lattice_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_f32.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_common_tables.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_init_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_norm_q31.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_q15.c \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Source\FilteringFunctions\arm_lms_q31.c

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,59 +0,0 @@
wolf-lite/fpga.o: ..\Core\Src\fpga.c ..\Core\Src\fpga.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h ..\Core\Src\fft.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h ..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\wm8731.h \
..\Core\Src\audio_processor.h ..\Core\Src\settings.h \
..\Core\Src\bands.h ..\Core\Src\screen_layout.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \
..\Core\Src\fonts.h ..\Core\Inc\main.h ..\Core\Src\trx_manager.h \
..\Core\Src\rf_unit.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,62 +0,0 @@
wolf-lite/front_unit.o: ..\Core\Src\front_unit.c \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
..\Core\Inc\main.h ..\Core\Src\front_unit.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h ..\Core\Src\lcd.h \
..\Core\Src\trx_manager.h ..\Core\Src\settings.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h ..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\screen_layout.h \
..\Core\Src\color_themes.h ..\Core\Src\fonts.h \
..\Core\Src\system_menu.h ..\Core\Src\audio_filters.h \
..\Core\Src\fpga.h ..\Core\Src\fft.h ..\Core\Src\wm8731.h \
..\Core\Src\audio_processor.h ..\Core\Src\auto_notch.h \
..\Core\Src\agc.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,65 +0,0 @@
wolf-lite/functions.o: ..\Core\Src\functions.c ..\Core\Src\functions.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\fpga.h \
..\Core\Src\fft.h ..\Core\Src\wm8731.h ..\Core\Src\audio_processor.h \
..\Core\Src\settings.h ..\Core\Src\bands.h ..\Core\Src\screen_layout.h \
..\Core\Src\lcd_driver.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\color_themes.h \
..\Core\Src\fonts.h ..\Core\Src\trx_manager.h \
..\Core\Src\usbd_debug_if.h ..\Core\Src\usbd_ua3reo.h \
..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_def.h \
..\Core\USBDevice\usbd_conf.h ..\Core\Inc\main.h \
..\Core\USBDevice\usbd_core.h ..\Core\USBDevice\usbd_ioreq.h \
..\Core\USBDevice\usbd_ctlreq.h ..\Core\Src\usbd_cat_if.h \
..\Core\Src\lcd.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,54 +0,0 @@
wolf-lite/i2c.o: ..\Core\Src\i2c.c \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
..\Core\Inc\main.h ..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\i2c.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,65 +0,0 @@
wolf-lite/lcd.o: ..\Core\Src\lcd.c ..\Core\Inc\main.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
..\Core\Src\lcd.h ..\Core\Src\trx_manager.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\settings.h C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\screen_layout.h \
..\Core\Src\color_themes.h ..\Core\Src\fonts.h ..\Core\Src\agc.h \
..\Core\Src\audio_processor.h ..\Core\Src\system_menu.h \
..\Core\Src\wm8731.h ..\Core\Src\audio_filters.h ..\Core\Src\fpga.h \
..\Core\Src\fft.h ..\Core\Src\usbd_ua3reo.h \
..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_def.h \
..\Core\USBDevice\usbd_conf.h ..\Core\USBDevice\usbd_core.h \
..\Core\USBDevice\usbd_ioreq.h ..\Core\USBDevice\usbd_ctlreq.h \
..\Core\Src\front_unit.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,58 +0,0 @@
wolf-lite/lcd_480x320.o: ..\Core\Src\lcd_480x320.c \
..\Core\Src\lcd_driver.h ..\Core\Src\settings.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\XGudr\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Src\lcd.h \
..\Core\Src\trx_manager.h ..\Core\Src\screen_layout.h \
..\Core\Src\color_themes.h ..\Core\Src\fonts.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,57 +0,0 @@
wolf-lite/lcd_driver.o: ..\Core\Src\lcd_driver.c ..\Core\Src\settings.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Inc\main.h \
..\Core\Src\fonts.h

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,58 +0,0 @@
wolf-lite/lcd_driver_ili9481.o: ..\Core\Src\lcd_driver_ILI9481.c \
..\Core\Src\settings.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal.h \
..\Core\Inc\stm32f4xx_hal_conf.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_def.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f4xx.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\stm32f407xx.h \
..\Drivers\CMSIS\Include\core_cm4.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdint.h \
..\Drivers\CMSIS\Include\cmsis_version.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
..\Drivers\CMSIS\Include\cmsis_armclang.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_compat.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\arm_acle.h \
..\Drivers\CMSIS\Include\mpu_armv7.h \
..\Drivers\CMSIS\Device\ST\STM32F4xx\Include\system_stm32f4xx.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\Legacy\stm32_hal_legacy.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stddef.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rcc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_gpio_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_exti.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_dma_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_cortex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_adc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_flash_ramfunc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_sram.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_fsmc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_i2s_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pwr_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_rtc_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_spi.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_tim_ex.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_ll_usb.h \
..\Drivers\STM32F4xx_HAL_Driver\Inc\stm32f4xx_hal_pcd_ex.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdio.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdbool.h \
..\Core\Src\functions.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\string.h ..\Core\Src\profiler.h \
C:\Users\uu5jp\AppData\Local\Arm\Packs\ARM\CMSIS\5.7.0\CMSIS\DSP\Include\arm_math.h \
..\Drivers\CMSIS\Include\cmsis_compiler.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\math.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\float.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\limits.h ..\Core\Src\bands.h \
..\Core\Src\lcd_driver.h \
C:\Keil_v5\ARM\ARMCLANG\Bin\..\include\stdlib.h ..\Core\Src\images.h \
..\Core\Src\lcd_driver_ILI9481.h ..\Core\Inc\main.h \
..\Core\Src\fonts.h

Plik binarny nie jest wyświetlany.

Some files were not shown because too many files have changed in this diff Show More