Wolf-LITE/FPGA/rx_ciccomp.cmp

14 wiersze
745 B
Plaintext

2021-02-01 09:59:44 +00:00
component rx_ciccomp is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
2021-02-12 13:42:47 +00:00
ast_sink_data : in std_logic_vector(31 downto 0) := (others => 'X'); -- data
2021-02-01 09:59:44 +00:00
ast_sink_valid : in std_logic := 'X'; -- valid
ast_sink_error : in std_logic_vector(1 downto 0) := (others => 'X'); -- error
2021-02-12 14:17:54 +00:00
ast_source_data : out std_logic_vector(45 downto 0); -- data
2021-02-01 09:59:44 +00:00
ast_source_valid : out std_logic; -- valid
ast_source_error : out std_logic_vector(1 downto 0) -- error
);
end component rx_ciccomp;