From dcae5e29e2b20e58a0aa888d7dec282e16411b5a Mon Sep 17 00:00:00 2001 From: f4exb Date: Sat, 3 Apr 2021 07:23:02 +0200 Subject: [PATCH] Remote input and output documentation and GUI changes related to only getting remote information. Fixes #832 --- doc/img/RemoteInput_plugin.png | Bin 34165 -> 34394 bytes doc/img/RemoteInput_plugin.xcf | Bin 110292 -> 121708 bytes doc/img/RemoteInput_plugin_05.png | Bin 5458 -> 6367 bytes doc/img/RemoteInput_plugin_05.xcf | Bin 20499 -> 20519 bytes doc/img/RemoteOutput_plugin.png | Bin 34890 -> 34612 bytes doc/img/RemoteOutput_plugin.xcf | Bin 127053 -> 129664 bytes plugins/samplesink/remoteoutput/readme.md | 44 +++++++++--------- .../remoteoutput/remoteoutputgui.ui | 14 +++--- plugins/samplesource/remoteinput/readme.md | 34 +++++++------- .../remoteinput/remoteinputgui.ui | 10 ++-- 10 files changed, 53 insertions(+), 49 deletions(-) diff --git a/doc/img/RemoteInput_plugin.png b/doc/img/RemoteInput_plugin.png index 534165f3a5a6862ccfc9c791ccae4a5aad42977f..ec2efec62cb4571751dbfacc557a7c868733a4e1 100644 GIT binary patch literal 34394 zcmZ^L1yodBv_GhTf|QhWOLw<`lt@WQgLHS7lr)G)4me481EP%=}txLAcgVxFcqSGvbAp+{2CZI_7n8j8De=jn$E25 zJEWZE(jT>n5T^YDM8Z{(@Jw}xwGl**k-CP4WX2@kH?h!Fg`9M(dqZ4DR-5nOGBk{n zaR`t&7r8m|eGXQtiyW5Lid6TCycHfh%tZAxw)l$;ewBq#OJijGj6yCbi}|TT(=GOOI9B+P ze3~UjmRubnf(Ru~G+_U>9lCO5RlK$ln1C(!WEHRH<~2M;zx3zxZdr>+2@CgEKE3xD$6$}XGFS;p?eI%EEAR*fLEpge}95_OR z;WUy}ZxMNUpGnI8Xg)kUOgpT9m>*ADMvty`_+WipzW|m6@w2SdJMaqr-H12(f|nO| z(i)C%aLkmjA9%NH5f|_wl9P;_1k&cSrwGqp-Mi?$1|Q)&Nqlk=x3#u5wsC?JcQ7_^ zGBzS}HFq*2lai5BRQE%}gM%Z3lX)kq;x@OR>gt9)dD|nRHIDLv`~_7^;M=#qq*Z>= z5>sV9L8h?V)GW!Ip~FL%=tJ5&u3c}}?1xudg2DT=XLB=myLj=$aGat=}STe=Ev28sKM zvzepZ$j~AZKdRriqSit?j?kv%*(DugK^z+-Jm#)H3NLv26VihV&gkOeGhU4pNcX1cy zxe;Gww^vdKK^mbhZCdxby0l0 zfww~Zd`~x+$u?Bs--QWx!iUFNdWwgB{ir%aFJATUDi|L^o};(GTQGTXp}Le{r2o6x zxcJ;ChpA{mH^(=QWuDd7@BUfgyl-iSqDMxzf+wLn%E?{p$GdWCG@@lsD|#DYiz?kk z_gpSQeJ&jRnJ9%kEFQjvRJO;~VLmC*n5x`RHKJ2*^9@l!{*Oo@K=QFR*mc>P!vf>0 zWPY~9gr{TH=7y9c{BPhXB0yC_(Vt~tlEntV!gAFkns*+t{Ss%Yng$j+g*aU=Ba>_+z|n@Fuh(m!bJMyG&m zy5DI$KT?El^4dLlY;KB@^zY1Ahs%%oCva;ho1VU`D}EfvmHCuqNo?fAwOhnJEDx~t4f*m7&t zH9>$4Z}4ybVXh+9jEvtXGAf+CaJbBrGb5DGPOX@)H{&GWB#^r?JGXi>v`Bp7Flp~t zM#F>TnW?W?-WoXbg9z=nPIcmvkrsRy{b1Iiap}zCW|7z>UUKh?9%cff6eN9n1&o%FOVY7Puy6jbS@bc2D?1@5#2+5#QUq0LRmLNo> zLW$h*hs8APj$y2w%_s)%2s+}o_DScLvJ4@1Q!zvic4Lj7R5{`QIE){=p4ILi+p6YG zT7;v9EBPHrF=MUmeXv8J(I%??TTOq85~)4RNX1PZyb#cRWEP8eI*?_)8sS;VD&I_M`p_OSbS29a7tE6ev zBCcT2J^yhxP_sfW&Q7h7e3JgHXz`zEp<=QQbA%!`K}&^3u1MbYbLKjZ{2PeOP${eR zW=*8(mQrnny0u8-TLb89QCO3Xd3}K)R7{c_kG(`~p^e+_uI3n8{!2TGdUL9PdFt1F zO+mVhIbzGKb`g2|t5Xc+<$j~fjxjYb1Vd$U>vEz1l$J^a9Q=96Y{e+x8H9b5lK9@plXR#g0 z)s(nz$GvDiwrfuB6$5tb_w&mSV921>PoQLH3CAHXC65NFEy>@T?%{tQKigTD3ot#j z3D45)Okg{~k&L{QhaHXU(^XSuJs{ZCGGqJqGLGKIadD0_OSX(=Tn#$Bq@0rt?<IXvn-=lUSTRsm+ z<$XEEJX#)nj*R33kP;^k&s>~$yg?TCZG_7Itk3!Km+aw!EZu;NUbEYhThCVTx}>MM zBAZ+53ev;432oSC4|!$PNJ9d6gW`qyR&_ERf1wi>$G1w@U=C(ocafJLS^UEBZz8wm z1ld^wrI3Q)jz|3$sdW0PJxDC#!m^>elC|7JaBdgjlwCw})|{8c3>c%eu`B_$my|_u z0z=vtuW^D3`GO#V=`!a>csDy&;jj0OwaT0y_9y0IF@>J|bqb(avhj#O*6yQUi4H8Z zn)CJZ#%Zc3Vvmrdl+2R(d5Ro1WbkI7V;-O46)w!=`%bJg__7kVSMfe>Z^DE+c zmwGzkNzq9?mj8Ahlfd75UL0*~;7!)u*Oa7>ytEwnyCk|$btGvMyOZoUn8d9~2O7@>bgPqi7$6iO@WY zP%H6Ig*BOy>oqRAf*eImaCZv1C#yBQpIMBu)Wj3?71E;2WXnoXN+b8WL=qlM%1!n3 zP-``_I|k$wK;rdSo`Hz!JeX}2&`=AJwzhgqOwuXK4fq=V6o^JeCLd9Zh}Aty`0z<# z#>#p*x#On)FX2#T{p0l6yMxBIn<_yk0vu6cX2A)XkhFu8@%m~Stl+N|8^N($6}Ee~ zH*ZVdR+>Hfa0g6^q*8P95vEE?eEK2)CBpddiGk;EcWWeRgQ(>}Ppmqq@;qdGN!k29 zOV85Aa=ZHwD`BW-VRkGyqLt$8yP+&p6}$V;9O2GEXHjFArGMMvagtsHRg_bfhhW<0 zjYJ|rHkM4%2k8ED-#5leMk=h>bo&CS!?L8rZ#Zf(Op#Ed(U8p*D=DOwF}tovMG|rj5o{ebT@VGTb9n?y72dDo9Wp0 zr-oJR+}O%KCfY3v8%(M7QuebmLx^<$v!8m~xLnMzq^(=Hy6RI5&+9H6PM3Z^oKg3( z;xtTpfZ1pyUEOUbtMk{d6rav37h8=ijcRJ8^f9vyJ8c3_1s#Lkkjs}lTT;1%f^JUw ztm2&yH-DkS`m~J9j$S+GAf-rmX_#6~qExyb4gW!J^8O-F?5zWL{aH$|`}b+{b_7Ih z3hLtLd*0~hlj`Mm)ib_XSlnM-&r!F|U zmT_p1l;5>J)}CSGFl_E`<6>9tMGr*m;=Ba`HBK)&eec2$&MO-}#*j|DwG-kZ=&nvE z2)Urr8D1?uio&WsYU-}Z068cJY{tDn6y}ZB4#y6c6ihrr7B0<@=3cgq6@S@gWYg^_ z5;$-LqKJXKGWZ$1lwpzE#V-N!;vj@t(}&D3P_O0|Wr`M4RUQRphh{2r?9g_12illh zh=g3@MhssaLoIn{H2=9RYiN4w_nzs-R}46?Xjsk2$yiwQ)y$>y*9jbUoTH7iG!>ehnEoX5b8iaibhxNR^oWz zZT%0+#1f?YW+L~;v>KyL95$%HK;cBxR4PZU z@G;R!EK|AF_NcK%YisA&;i}M@UsaG@GcBz;eH-@NH1&n_bF!Fs0I*R66BSBUFwZhS zqe7gp?Alo^dP>GLpufaZAq7_(><)jtIs8nX#xyon?}KOtCQ>Q^I-Yls&>`X(Tw|Dp zgvDfasZybv^LZq%fLY?jq&>4SL4PZL?)TZo3+rXw$18i+mA(AM0joxUN!3MYPKQd~ zRh)%eVB2#C-rh`%a#tNYwVA10e>N4%-n2#TpewDwU9Cd)d*LUyCdkM|Fzmay%qBvH zI{o1WDiE2`JHcAdYeCp#7ccyqK)gB{%}VYLj)!|+&g3fH9GCQU>41>5)_iROl%b(# zXYFTux00;9sD0%AwCe+86pARhu!Q^ty*8DSn=exE)9M7)1yKoXMAakcCyjFnTv`sZT${!o%s9nM=xBW&cdP@zxoC-$mD@BMWwr|5AEv0 zA{K1TS;Oa5N-jQ10eHiIN(&V8n(Z0{_S|m#E?V<6c$D~KN z6A4hzgWnTkE8q$77v~$iA7JOa55d}d2N{>F>YSGwStFHqIQ%TX8N)nBDNxZk`^hlH_NfUPsrLK*~$5LEY(;<6#Dw8R*z;#XX z0=X^?&3lXFB?5B<%wdbz-HpLy!CDWNp0_mfD!Q^lqvLoLuL%yLOM{=v^Kca%S()mW`U3>7VG(OH$`dsdgLh21|#d1|0S3dAN zP7|$L$Qz_S%gxIR$;?c44Ga$pvq%La4U%MrbV5s0hmh|&SCoxgdUOzyCtI=BOXqT+ z2Klw#w8Nauy2WbtS^_MY(Vs}ZH>^u4KTVHQ@5(>Zn3m|urw!|NR+Y{Am_La1=@bP- zj9P(^ikaoz<+1O`<&xm&0H?dn*&~W}e^t|)%IM&wd+6jsheg7&?nR|-F76+%Ef!{N6(?5|60<%neMxm$GY=btxqWCba?P<2ZAOweZg-KeXz+28=&9>a zR6eK9ms$?XoQr4rk32mLUY;`EGqu4`U*UKQ+~H8njHa5c#P0K~_e?*1c$u5$I!vN( z@kw^OA;C6c#cC%PBPUI*Cr-rzxbc+3-{rrVi{4KOGdrPOzRPCMuB1}Sv8H$QxXZyw z4zx3&#wj!PsF>Wpa2r_8w2RLn(-6N^_h{rRnf(-?!D}6wO?rJws&KN@rDe8$)W6na zV=}q*NnWS-U9J)qxMJm1;ObB1*m!IGi_1ETaK-vzKl!0ZQIf5rbgA@WRVS78K2ffS z4h!!hu_1}Ys7vcrXn^UT>w^uPhG2J&yh74Ld44r0wiK8&A}0bHv(=77fq=TKEOVFL zC1rKq7`JN4&06CJC&xc*rbRNG(U5_ahsfMAleVSI1M8}?vWJnP@8k%7r-qgt<}4rQ z7>Y;V1uB>6beV_2{c*dyTmL}lPN^fFT<-9vcr&W6myLBZD?odQ4G^xKi8-v)-<*Ey z8#f<(1l;R~#e*IM?%4M-Ic05!(xLoB{{h$0ZE3zi(FmwjA*XT(i8#tp=vYYN$f5BK7PHnSvhs-IS7sV zFM^I2WvkOvQE5Xf?E)Ts{oFcD z3Ej=~Gvy>xWwm#qpH!wsCpBlR?jhM+2lE|JxTJc-G_C4r0fAfgUnwHQ{(=C%N+JB( ze$AWoqpwE1@16Q7#a=b7qtU^LtgL2h2c37D#bV!?;bLf(D!ODlW&k}u0 zepGY`H91$xyx!ab?LN4R>{)xNBx0%W)?2<>6C>!{sT%=uG{tJZ&obIkaz7T?aS5kS zh->_MOp;yVd#bAgF~b@oiY6p_fxlwyyy|3czq;tUyqW5{v>Ht6L&nG$fi80YZM`Sj z5ol~@_Ts^4**c9bs0B0@h4=}Rp|2stRaNNc*9>!f;`XS7$zSgwpAE}c9&4MuHHdV~ zushsu&lu%>(^O5gT(p^g-^(tXekP+&y(p}Z&o$inlWjFq2LC0NM&T79p{$)@o@83YQ-a~wWQ=%4NiE?Dl<_tGg>6Hd!b_At-&O(^D(Jk zzkYqH%9!5Ms4#jlHa6yhHFS$RtTmVXCc>+HJ)*k@;nylIln3F?f7C3nazKUDAL*gl zLDay7?Zp^Ak%q%;&du%hb(z&HyIQekcfI2_T-|n>=jh%=kydS#d}{y5rAp!CUCICP{;#Sf_e=*5RnSf%F@(zgu=4=DksyO2G1XfyR$I>Ws&Bt za_{C$ZKTw&CyG(K?i-)uR+;OO?%AclVck6@+gBpCX~z?a#0^-t?*MCC1PEmmqV|&9m$k(Ad~`;~9?2>> z>E;GRrI#;B?taedFv=>xl#r%ydv#@{EycE_58U@a$eXsgYDF z!}W~v)b_(4e)Fl^wLL}0z{FHCNhvI35P7^dtiRj=OSl2f_^rlqfIPBD7pLn2pbl2SaB^-{;Q=o(RA`E!AC8MGWY!5(k z-1$SDnVC5_Pf1C6bG;s&y^6J3MT+*=%}HokX6bVo%3H`|P+(a`qZ1$`C(mYAk)@xH6=wnQ-8l||fHt+F|w z#a4=!2b)k!rF?y9b&>mIz9$%t`>(b?Iu?Ci#=WR?M!^Ft>DXm_{nzwQ+HZQFK4`}K zRpL4FrX(w`Hu78J+smhL53OC!R+^?jOAnh+K;p>x>m7D*`q|v`+W{7`a;>9G7DZ)P zRJj_0fd@{Byv1keT>MgIB82{r&PVZ*v2&hr#MGmLeOEh0%>7@#pMN3H_yDr&~sv9ll+?TFGmgreu6ljjBx#I!4QZPA0#E!#0f9 zRt4rDV&MAjhMHz`Q+ZLJC6c2g*;#QJU4)XQtXT!7}uDb384U#T>Jfb)-)NVUc& zx7Ll@^`^cb2Yh1oB@odF>+d`#Zlx`I2Y$*%{}0u)j{?B%Bp?eQC0XOKQvdnw22(;`H;UX5DDXEYaNYNv(Q%hR%!k9u4NG z$tFX|GD!q^BF|PEaEHfVupXQ5&Ca)E-gcO?z8BJz~X?a27Za_{r!D^6V{0bi?aB6Fqw93hekRO2*t$2!0FlzMo_xZw6wHm zNJwX(&QF0$(+7b0Rdn6Gu>XPSO@4(p?mf1s=7E~puHQB`7IS{?ge_5iMp7waPD&UOm4vgP15gtPR4 zGtl7$n2o7i-9vk{gBR;ZU!4WWglAnkH1t%cAr~5mz|PCSl!Vv{q0p(Xv?uIs{{nk; zw!#<%6x}UQ?Ns_h&^Km0y{(YK||a_0ImtvaIfyrv%}~ zv*-;UuXYYCa}l5#{*G7$1^V;Z))1)XenBXB4rfC=vO~p4Ur`AqL75V8J>1wUZOt(q zNyClyTn)mTsWeqc8hL!U!xwZb)9KHj-b-RPM!vf`qG4n#`n@CIyxRpTTt^hKz)YPz zCG@&SWbkgT)^=ofvM@9%$^>A<1@EiHE$^We9@BPz1gqtiRO|T~HqT3|vm%>n%^?() zrnVfpl$jc9LfLr6_Rh|%4tACJ=+;(|qoy;on3xzZ=)={ARDPUhUA@A+t+lm|`2BPKN_? znE&+9$PDjUrP>_#T!F=N$IJcM1?Op<2oj;>t0m9q;Z%W2r=5>Z{KR3IKPN3T8l32W z*F2cW7GTi%mCd*xTU$rR9l#`jIn+oCnlBUaIZRlMdNGzfkAx&6Ublla>2LAAOBC@H zZuYqNo}noKTNe&+qRC*QD1Z_gl_sdRtq(5s!?R%m>()@`*=7X$wk zk=1eQLymmvd+CrJxe5>ylne~vAtBEtqeVRBr6<1D!J;Vx)G!zY2Y^%m6b`{ z*2$X&W%Q>>T$Z>r3TZ|gy)lC4qvBPz%S#Xixzc%t(k3x`dp7Z}FAc!V1_lR@m*_Oo zKVZLp-3v+|pTmZ9y*GbqlrC6ZVj%kb%*1SC~Ks}9Te^+huTf~Jua@KU$swb ztriwvFhHn#Hn+DOH+nI16w;#_8wCIymQcd}icT685b(6L=@hxVy!`b`#8aN`VvwHyaQ56&9)dy3RMG(^qC6$j=xnagsD)qd?Aro6!Sq@=zGd=6gwwP#_O zW$tGt5D3IKV!M9- zenmtyIK;CYkxA=ywdhJ4)^W1l0}JckZ{%>W)wx>sB@JkQ>*2xQ=z8>qm-qD-F#W>N zd&kP3r2y4|#ruRETX1SVU&GXTe~O}?T zy6n%i5*kz|1Grq_b>k2rndN@>ja)n=G;|e29~dwgVf2{UFRfae&3zTMv{!HBA-y0^ zghoe~9w+vn7Fp@3PJaljL}PTr{Lb7#S;{3SwXwBTVc3IaQ{P=xqIY$;I9u;%nnXhO zR!IqyklTt(Kwv&+v;JaAi_c~Mbzk46?GxL@1{_~s-zQI=yc^r^O5!jbjO2QIwA3sk zBSTI{m;c8Jz{u{&$+$>Dp1I z#!(`!hY-GkkgzZcCMHzYMH6r*NFb3s{RyCESNFriZYx0d*b?Nlw0YY21^uRAj4z4# zv8y#B!^2T(mwg1lVw9XXqoATvGciTp9X3XT6HgwlmN|UxuPtuqK%@*LuxKsd;o?eq zc?mjh4^z|AhryD|ylv}Z6BJN2gXt2Tt)undk(7LVNyGv!Dm%f~*KUq98C9wM{ZB{B z4W(``_If;zn$>sQRpU+NuHsru>tDhhJ6$CQ;+ckowhGltv)ny*MeX*u5+?_u2HFd2kfX*_D-n5W18Q}nmN*w|P} zTYC^B6wr}aSI1jpHT!Y(SLyEexVY}IEGa&(Yu2z#3}RwAfKif8+m^+v!tq#Ycq8;; zNKa2sEmYEEV&9a53QfOfsp>vuqPNpP-l2gatZD1f*jJtYT}I3^DzRmnYp#jAp>UWA z^8Gn*jF$G7nh7P8W?Fqk9`3Gs#>UDA?djvffYR~8*B7d}s8wWB4+`MBo+x6bEe`!Q zIFJTSqog+NDkLI;(^dpj`TC67(NV?EhKBItjOT#am0M0TZw;lWzafDAHtFsgwRjcC zdw}o_dZ8_Qoyle2T;JTlgccyc1ZUD>V`IY-EXY*wu*79hqv{Yz-(Uk0fLZk%yHX5r z`_GPO*x1S!20i26s~+A0AcuvG-SvY`8Me*o>5-dtM_?Qr6w%P|aE5h`xc3s$(jl|U zlg4dd;9nB)jzXl|+<1Ymu{CR&&g5!k?5L{h6jt5v;kGE269RL~5 zR4W{_sD4Sv9bv!zyZm!EauSh|6v)?|u?oU{U7=Xuj_7JzZI@d%-{dX0tw%zHz2{7f z*$E=G>m2|qL_|YFYr5G=8B7&OX!g8{pLGT4IxH;gHHXPdi|S;X#fGs)S6dKCPuf7x zfy7Fa?sIF-*QlhV1Zp=4DJdxt5s};NPVQ`jGXvP6`}|jIC69pp*E=|9w9@9c zl~=5gF1+C62+13{+NnK44n3m`a%FKvELfRKNA)aX?;&) zHRBIv_vG>J=xL6p7MNAKuuN9h!vzbj&;N^B@#Kv{+8|i=L9nFtUN`Kp5pjJ!wLoaCIO%OUub^ z=I5q;K3u4WVNeu2W>x?t9k;hYPBQ{|(CcDSJ#Gt=lyn4aRak~!T3P~_gx&qr$Zkct_|1yV zLx&tk;ec6}1z}Xw!#KC4i{+*RHyVg7_tQR_9kAU}P3{3x;^Zx%dK-pgYk6Iba731Z zQ!9g6sl&@`>4iVx#tME}(f+kEoEbe?RSwSenD z6)#m@N?slfM2wM<(agiGr-#S)*w_I1+)9sn5U2$>)@myMP%uAa({JfJ%A}zEjaj?y zEvPknn|y;12oX4ZpqR#d#6cByV4$Q#F!}Kj@|$X$jgDAmIdR_7)HXwwe;mIJJ-r`d zWT-(DjS1pGxQYSqk+jc_OH=z*&GAc=u?@NDFC^%cpI(Cbc!An$!@m8;=+GYVHs{sf z6#8oAyg=EHIfzH-qGfIQLdTLLh{_O2&>AvnTlwHnr$P_A^1FgtxMSN;-o1dog{xja z*xy=Y0Gds?R$j>U0b>I5G4`pZ(1v!>Et~N~BFta6`1>3kCoeKi;)cyeO}vF-VO#ro z@96G0;^l@)8uxVG&nNlwVp;9_Dx-NSe^r4n1G+i&hhAdiuXd2y9ZOw5(AYT#4~wr} zBDED#zf6BRaEQBF#8i^-`DB4V2oz6HV6%TCqki}Ie`0fJ5ApLkXfLGH=5BWK(9ewu z91+qo*PNUzbaQhH!3vTLs+S|F$lL00_aJQgQ@&p#zAWDV!p#ixnR7{kqlJU56dgVN z_hfobuP{_&5y^lF>kOICu^x@iY}oj189r(2Dg(wifgWvUaE4=gzR+7ejKEzsqYCp( zMN#=?BbM+c6tU)akRW|V&Ig2Xs6W{c<2kf(+l?6oj9NlSO6L&fsP_+Z-?cFhx_Hp_ zJ>lB*;*~wJKQ&}A!OXiG1ma!haM^hiLcy*)!p44D1$PQI0SZG8qd`qzijdN zz3<-dHCj2suLOXXnvE?6B-nc(Zxm+RphSiNxYW>WwRY?=o}1x}m^>HcU}r}rB9abf zHYtdfQ#vjDMfRj0#jvTlAH%acysW%|mM4cNJ*1`}6&MGO4-Luf){N#+$VEy5(L{V) zpf<;?orst?dkPsfnXzqg@!a=K8`lvrsfbV0gf-|8uVR`N&R{i)(N+TS_jOKEJy1_8 zv0>38>82WIwFoU=*N;mtP7DYidA>~nA4o(0X?@Q?ew@9Y(zRLWaKHR=vy4%^(?^iX z_=V}Y|ENAKC;b+5JuG5#?(laAvSM;a_s&#t%7h|Jn;HaVC8f)~SF;*~tH0t?f&;~a z`4KU<;EzIZa$mLOV8=w1UmroyAzyr1@K&oB{?V?oYrABMVXg00Q7_s?de9)u#NF zYjgjYf5LO(4!^ef3>Yo!V& zzsD%m-XNu=31j2joB%DV|9e0r1RiJ*pJnH`=0Pwwb2~G z{F?73rr0Pvwp9Ej;?t<8DD4KPKIAnBz~RxeIb@u(Aspo0*e^80YeeO;a{pi`(G@s^ zN2FY3WTwgr+`3<71)HJ^I;UwfHw~6l>Fn241Ozrc`+-b?phj2my4zW&RHXpXTo!|% z$SzI(i=Tql$kHVa1*Aqy2^+h+;(Bqz1#6_*CkieXt^`+S)9cZ_>0&A3lu&}_%7&4z zF|e}Ul%a}2y162rN!0ALgN~CT;!^~#7JtpgSew+zlqXgaQQy}esMxPj?8fR?3pQzQ zxsG~TpFq3)XAq3AO+M9tCXO&W(C#*6V5Z=9lWwQ1W#{;{h=|we)fN;l6TLsE+!jTu zJ_t`W@A=hpnl8RT;sn=Y<_AN2-^&>E1Lf#@r`g^!XosD_w4-wi)@tAEPYNB#F20zwz{CF|dXLxkhESzjQ?m)4cs|p2LS-DZ)D?vfQ z!JbG0t}sAMUg6{S0dUv@b->vs%X z58Ol^(_DF6w0}SVOz5Ajw#3_;E;SwfDauuPuX=6!cQoUjq$G@#!$!gH^p}iDl8HiI zHr+JM{p^-D0MK{9#e44ESNxDH7HVoNCc`!d z5-PzE0DA2J&?!Q<6l`N$M;iZNhF{u#Ji`9RW2`oaR*O&TZ=7pItmim^LMLx!WmWGD zlX1GcyIt`JO^VD~FG_pG0x z6b|Fns}7)+CUTgf!JH^?ClQ1^B_9-oXlX;Tq@vlquI)T7cDt`n)fZ%A4a(bePg7FE77|V#x>{qb1OuV1A85seW4eNpS0ZI}RLVa@Y(z zvfI#Vl@h=+fup8Qz#RXM=c2uE!X)t=4bfFkosEn3bL7RuS0x04)h14y7*s z%;~-Qvta?)+JUl0K}i_^RKR$kOHEnTefVFcJZb6dyZ#6-RASA8WnZ0BAQzKpXlQ`7 zV+C?yM@NU-^=h!SkB{msyq%+?;Z%_ZFugkOfsbHJ{I?Uj`keK?rg&TYFi2>da50N?d|Zm3I}FO&JKfp<9D=*l9Q74sju7(jru zjEpdT{_-^|T(hnM2t7XMU2LF;AnJpo>lzx?_V%<+6c4J?3oaSB$_yk(FaC}NfFPeL z(Fq4#m_QtWQKf)(#VkveB-2{}lJQ zr%kmsuGYd?lBMhm_@{=3B8!R`!;NXJb1Q08i`C91)%8w5u{Pu+kWo3A>gW0pY`MEH_Y^nVfh^*>$wm|9Hf;Kld!*(Um_}I|%i3D6C(1owR08JLqca1tb zazIhx&JPz;z$zeo0UQ_$@9K)6nHm**CkAi?w)<$(H@*_ukO>Q?0euo=R_V{5GdB^3 zKh+ozY>0%gPP1~-qh>vobgVwMsCQx_()=kVp&niG(wr?26_5Kzr6md};G8g80)(g+ zfJp+44TnMPc(0VJP%-YN6y!??uYKkq5O_|i%*Vfiof}F&1urEf6^`;|;v9bnj0vX9 zJEWEaKf>YWbQAe14yJJn-~Ofi`0=Avnx2Zv5BEmNtbERbN=Y9W0UI73R-L1JL3`K= z^#Rl^LOypLl-?~ceUvOLr4gz9b81;*X2McHH2_@+Ajhu*4G(j*3b92ur}Yl{FIjgzjY{vPvgi~SkRG>g4yo? z6zm%qC@iZqHuqGWF3t3Y0~#K>M{^ojkFk7Zn!<^M{!<3v+pz&78ccIRQ>kXc{d@Fm zHRpYxu?>REJOhj?z%gN+3#RGb@dzlmpNPH}zhq%yfhiV=Tc6)aNew6#bJ<;6=J$Et zy=~QMpB>}m>m4EdEzwqkQ!^j{oQbuy&mdg|WYTtZcb6Lv#Is}hzyHb&5BhNWKj4;g zgIFa4($+SJ9azr@m>1wyz|;;r7F}4Ohe_UnK|!kr2Sai^i(TE}crvmaOpysGDOx$? z>iH_X{QTcR!%v&vQ`mE2piuL`z74h(s~thl5D-*t^QNBEOVoFnbUW0qRBTG1TkxuA zX^{do&;ICa}s>-J=TxsiFS?4o`_VMx9I0p64tgjQftqCNv#&T{{ zd(hgnY?~!Oe}{yGgm#l#3{0sM_P(9i^~lZ6wx74IW&7OqoZorZD2;=xLqiG~itIM` ztOIGe{R@r$3`dy>3O1g2tJcclymhSW$B(Zxi)%ixC}w|*yD{+acx*1Qs5BYcZ5qy@ zK5V(OIcxq6qw36BPJz|CzoAoS7Rkp97!soW^FY$4MJxd>EI;E(63JS;@ z;`{IM@bJ`4(%)xOP*MH*Eo9d&MyU9y7wllS`;(rry^HJXYgUU1TE|}>WA|JSj1vFV z6B!m=dx%|EKu-#ARiweB0HNyl@^X4!Uf%m{&IxW2ICOM$SZf7vpMW})wi!dpu%)ge z0OA%jd$2Qbm{)7U%re1bSKu&asijbD0@WiRq_XDpjn;;q+JW z@^I1e!U2X(U^**^1)vNAS&$0kwI4sQK{dw*+O+jtl_*H_y_C_%Kz#ZZAFsCcXws*6 z3Nkv#bM5Wze!1yB$-u$@!4MJ|xmh!B(>XMR`i0P@&JUExfh(Lse7fJJJ%*ggCbPO+ z+STS=8cEUrs&j_NEA2TM8v;jEWMpk?`wRVfgTBD9$%d(XJwE>`aKgc8#IH6B#j7+< z-GLRQR5NAf_8Ea38UThEAn1VSg$OcItiVF2|#4E|vWe zW&)6S{3Qo71G9($Cb~Z#R{)NZq^Kyog2)5R5fCp{Ti@Rw1oe4f2+NFq=7D58->t6N z5J#krpINpZsFRK(J78MYfq-ia2J(+i`ahMjEnnyzseXfbD?r?jcgxT}P413sYipmX zEmVv9%YYth({f`1*f$Wxg*-36{rJ%ZRBg9|>ghy&XL^9i06}v&T;PM%2!Iv9;=qy_ z0IutQ|MrGr(SVJ}4>H{K-JK)ov~nc*U&TGRPr$|%78dpbi9Sz-9xyf_%~g3h>Sf$# zQR2R1$uxe}n*I1Y@{s4%#w!&D!l`2=*enQL0PSwr7|;mqIy*l)<02TgwCN%&q&NhS=29A%GR$z%AB-n# z>~FBoZ#$<*p{J7=*F2?tu-bqp&noxQW7oPK4wYg><%fktxV$Ef%~^f%oKhjNT{!`4;0x@!=ODa-k`63;bTD%f1)O$Cg}lL4%_s4SCiCz4?g!81(%pT^V+T=3W*A_XLg8N%-vRzq%m;&>t+R4~kqgYAIq z$V==>kWfegnWhAZ5}md6@AHf=J8m}JtTIi9BP{X`z|+b^n< z?KqJ>rR`5#-<|7s#oF@W>>aSS=kTkke>8CqtP#4yo?aIe^~s4IeGdMZgP%;-5 z4fv+1pBoyou!r3-R;|8Nq54ZGnDkBp(Z;N;%AC4mR-Y9WrRP6Y9L<0KJi@eSm-|Yx z#MNXnMtw4nbx>a$+G__{yBT)832&N4;_?nH2Qrbqp2h8M>({V1P{v8I11oP%=|I8 z^*VFaI!mpqJ?W5+tO-V5IL|9``!mzh{;aD@%*>?7ua;X4&Yc8HHCVb-z%18HGBL#> zcER@gC1_E4i5MVv1_~f3F;4uTIk5WL@_NGJ!{QQE$K4#P$7#&$UFr0|fL96z*u@*$ z+aMRwUi?J!!L)djtXUincqM2|P|UaD2+O5K2C^bV$TQyG-~Y`t=AZYf7T4H#cp$Gb zMw$7KsoL0-Oa$=XO5_OxY6DKJ(qsbcufkmSzk8$JcWKb%NOVR%(C>WQ1}gW*#!}I* zrkiuRwij=An+_=C0T53Ke*^1T06A_;Fy$5M9)47KZng=EKiLe17V5~9tF9c+n)c}O z>7~rx%G9czS?q)bSbxGyTI8_I873A?d9AS)o{e9f4eGztz**oKU9(-^JeMxQl_?_m zqw?gwfpKO-x5>AUgI=emTd`;F(WKJ&J34hpYWDJPc<+weBGG5ME{Mvy|Y{ss8QdP7y&(n3mRD z>DWH$!t$FAzWfoAa(p z_|XU<+vjIb5nt+qp}@2k;5M?m9qYr9_*@$R(mVu;&1*gfD)1K>Ktn*T*vZjkAs%R6 zr=g(m2ks;gO4JN}1y6e^7aRtdfI1WP|N8n4a4h@3Zw)D;lm?YT3fWs(sgy005h=1| z%U&6!lw?Ill2xJ1Y)WP}*|U&c_6YCiyzl2Z-sd@v|NGv@aUb{5-Q~Kj^E`jQ@9*>d zj4u$u!BJ7)@Zv25g+kbq2!Mc|j0uKwP!~1&V(l;kmOp2fa|EWN#hb%=!A|@O57?!5 zZ5eRe3R?73d2ZSE0#;(xBt_>kBhaHQWs4 z)s*Z*?8lsL7NovbBK%mzt|DiyzfZnLN3CeqvLJ5URyN-=Iyhq_{I z=NpkXFOQ6lS31NO|7gujdg#FrBSco{uVr9U=`pjyHACeV&UM+n+A?sn!s|3u*9MVnn~n^V0G8Z?zhO8?CCUfrH@U+?_;WdDk-VYm1fZ@&Ime(?i^Ik@G!p7$GgC(erHUyBn}x!ETg*K1_u54{N%tYESEZ{fm)ZDMBgLHS0jw}M zNdCYpBVUW2Kv7%!DExI7B>r(wuPum7^PrmczRSsD$iy(qmD^bGzyNO z{q*!P!$pAMgpX*tx8Smk4X?S5Af5Ck5n|+@Q_0~c=jUQ=A$aGn<=v{gg5P-oV z{@5Eh-`f+Oe`Z|I(70msxGPnuWwgf+)5&XWTq*K(v8F0+{6JL#9t6-(;N( zi`7yLG!@GV-ATEneXCgEawC@$o6pT~X#mxek3&vIrmU*! zS9a|y*sWUO!l7%s{qi?2I+?Q7UcHrh_3FGC9Cu|pB##boCdy?R55B%A`mKxdg{o6=)mTds zALTMA#8eCZ_`Ez3qIF$a`3PpGT~;^sI@--+?Ck6r>}1A`ueJaJ-Euc=+IR`3F(8k2V1WfG!QUX}0%5q21vG;C4K4lr~zugQWdtrUc#EtwJ zh|pdH2_jRsC!uRhGl*9!J;B7Co{L_WiiHc(cE@D)92wTW^fY zKXiTRu#-8bd`f`nT6^=i6w%luJbx&7l#sDPWSIfADr#yTVF{27jE~pggv+Fi#G{R{ z;Bkxr4&;G*PF_KQM^G>k&guNJ<8b}(Tl8S{+{nfb1pBuYJTUryP<1{L5wx$0M!MjF zesqxI5z3aX$GQN#<6KeMgC%1GQ9yI%`fhdgcJ|nHc>b*%9NHaI9+;bVfPq+3yyF)b^VmzccC47Ax{# zgyh5AxT3V1=%a@`)FGP6rz&T6lQ%zYZfj|YM;+RITs(?M27p5*JcQu)xrKyA!7c?H z=D82H?Ay0*#+@0=fCR29!{PZ^7CoQh3aTWqeF4L_5Dr#chg5<}oHEN!a`K_YscvEu z1Ge5WF{y?yK!_*cb{$<@wn4X(fWH|((|I4BHbKEKAiU?1k&gfYf=?+7K_5AJ>Qn}r zgUQKBjUs1()zwvau=Xh_H5_vHxNzZ%{FvQXGnPNw9ooFkG@WyVfP``mKv-H{?gK>` zAmJnr62NR~G3zSwDmV}Pko!EA2q;GoBih)(-q!*)iU z5_d5}L&NtPAGi8Sm4Q`@;L(@PF*(Ffe!{4vlZ{flBY3Xm(Y3paGZ()r-Sp<W-I{O<3Hngbo{7yGFw_Q$QE25fcL|KnmyqROJYWtIGjyKlpRdFS8x!;Cj?M()t_n%7Rd z;7m?hqt)e>;&SkXJ?X9}r_U0-pWDebhKmh3C9D{Ho|Q&i80nB6)DV?ijM*#oQ{7k5 z)>QZtdsykpb>YaVgop($l|Mdv8A~#xyN%d66dR+y6+OAux-lwIy^r5_uN2LzgQIm< zb{0k0j&*i>>HHZTO;8Kv`s5-Lyd(KM!-aW@@9qj@G_SayIsZO|uNA3c5+G~vD9&0Y zq^5^MH~Va8rHn&$m7}Lt>M{B^^`91deyq406TV<6HJ5J7S>$QZ!1?R6lZdbeO-D^k zNQe(O!N24Eb8c(mZQD}j^1d`TKZoo1wMvR3pnKYgfu5d}w6tb@jF=o&8A+0o#HQoM zO)|xxOdN>`d++oYs}oPjB71AwVLH0;t(q3jzX!I2g@uvMj-9s@v$|(O9`9U6HeC8` zJAIOr-BI&iviK3XuLq?b_9OR zH#ZBm9$74lzwk`8!M+djFPc7SaOcZ^^r!oZYJmb)AS27j$~q5@a&T~1+u4ElwiLe; zCmYHJ{a4S(=rW40#O7Zz5rvL1kZw_vokMb8ffl|pWXOC2jlcv{yWz&)|q+w+FP|a_>H@6CVFin zFb!z$H>kigtSUaZNI9=j9!L{$Hp4Z9jTYOB@Al{I#Hqa4ZF!YV_vk6YF(NN7-}iFX z`JI<-DA(WL6v=JeF2dT&6oO7tKe|4Q9-dzlADxqzkr_nOjsky_f`S5v-ge0pl?0+< zL!$rE(6C2cT^+|No&{KzP{4-|rfqB_;>hx%Y*(U?cjnxE$@#<~4y6c>1J)W(>3C>z z6kt@E5yF*8@+5e51gD(eIRUvwqX&iF;Exx92!bEH1&7I@=_4*KE)eNC~4(iIt!GH~!8kf#yU~0o~yNyjGg=8ojZ#__~pqnH)r4NUQi*dI|S$xXm!Ocv)=J z11JqnPuJtJR$vTfz4n%d?uj1=3e`eKYh)VELwC=7!{Nn8rk1shqUBrdZpv2|uO@5r zEX}&j|DwkE?h0*-1Z&b}Vf-4%nk#T9n81q+_%IAM>hH>E?9sD!50R3HOHaq(SzA~p z2(LLJZ~kbG>Cc>b=aBKGCXAyNt{XlMiamQghQl<^D76`M|NLB)8<2!+J z=F&F?%DFRxoG_W{m}+C&@vL+`}R&+j@|teIs@O~6i= z)X~T6!}o@6NdkF`Xq|{wluL$(KZ z0cHT5Q!LOAHm?^J5*{>iR4Q1v@?|^)Sro5xUCzlKn<@zoD;qzydGw^zK)P6$MfaXs zZ`+q1{k0~zjGhvY9GrnbHREdI>v9A46@UAg}Tey3$T*k%S4$GX& zWoBjd2X-^iGBh{;tKuEg_rR`vB32E>Clb$&FeDKjHJla@VjFse@E~#YeK2V`g-!Cn z%gZZG-4Hz-sK9-;wzkm8zaY7{@*_|J4zF!{=tZANc~q9ol^_tci;|MG^z-NYaP)Hn zJ@xeTa097=0YSg=>$?y?KNf_1`1SKtAv63|bVtO}UtU?W{G*o_oQpm|A(Fog$r^oq zePXr&9uX0*B6)nsNFrAO8*q92UHUT2K(O>s$tKK3+r^7nudmv}PxQ=06Pqi~dExCF zraq$mu=jQ*0dA@#B4hskP9w#vHw%Rq7Z=U@3N;<>nnOdDk4i3LI0sG%!w$HO>W`9$ zug|Lj_A$hp&PhI=+Xd)~ZH83Fdy5jn5`cRVDR}o$YAOegy`y5zA^q-aQwc3@*F8CR z_L-_r^|DNrw3PVyZ!3)xwX@tIPVq`sbLwIDx`=4OqnH?agj0xUBrF0SZATSRMw{B( zPoF%w-4jT979$0I<;TEdWT%r4Mu8a?7x<27$bF|vXVYThoe&qmkvWDqnQX#7vGc$w4dFc_&AO<yRGc=qC1bo6&1i{keD zdeU6ouu))n{@mN|4GlyrugI!5sx`wv4&~SjD;FQCkDw{O#<1^NB2uPA?ovZjljs+A z?SjhY4Z7sEo*t2q!+ZxSNk35Eyg;vm9B^%)&9DMY#M>dH>P&f z_oygu8@=ICwRYBHDt52b!(1i$yzo8SlJ@?Kn1C~{41|=ftWHj4wKLfA7A-}@#6ZA6Vy}W626aFR{719AZ?dtkI_3J!w9wtOX#FkaaC|oI^!sSA~+){FfRB3 zl@p|IE+wd>+#GANow*?97;Qt%HG`9a#Byp24T`*GB3*E41exgA!Rv2_=Dz!xsB96S zY}`u)Sbi=s1$bG_hKNHG)V zY90B!V&u!XQl6s1*6R!xixcasdia*-KWb}5@dz>SlEn^c_0YfHm^$p>t%FP=7#j;+D)`cs+ep$OI9fDhZG&*g+Ha}(bQ)ks1ri?gM zt6atPn?sd#{t89&hIWlh;?LHVB?%9c^o6mPX{R#ueOS1?=8o~?IfUA{NW?2%a|v-? zJ;_}0vtZ_yx#6YId4Bq2u{=GK(*pc~$}Axf7BxymNki5b>jUJ!HNJ^+_Pv_o$IQ#k z!WN(H>*%r7s7SogkcK9GyI;k#*}WD!zUb~}VEx+m3?qdraE(x*&G($zZq83(5J!4CMr+E7W z(W-51FV>{6y&+ltHOiR&=hBEm+VS_k+gTVcy6=qQ@H6u^yu&iNLCrbypoTJQe@5v0 z-M#Lfm!j{aKXk1dxFFzb_C~debDLZ3?$&B@3njOEk25+Bkw0p^B4v;$9h^AuN5@Ui zZNB;wQ+tN*vr-e)gZy;M1}A*zTR97C>7=O2BqUz%`)@D6{14?p{Z1yv*vkqmeM`f~ z&F^+H9cYOp&+_(>yq#Q@tj#94-r}!q>T_1{e$rm2C$p&|iC0M)Xqzps@0Jz$xoYT| zJ4AC~bf&JE`E8PARh4diqr+;2c5OjTf588SrSElty&giZM{W0R-;&b`1rAjV*>IS=zM_U48uA)xS!`LhPNrl zOH)EF^a~GHe>$z}OV@my#mTYHGg;zEwd3++?2DV4l&9KMo9;+X-ej^+5@OIx;0cUh zXym)9-*-Jmj@>6qSl_}+^Xjh>sWs2eIWOe}RpS*(mQC7um9(6k1Ch0At2;WbrPS8R zRod0EwVBAQ{^)U&HvD42l;^=O zkcEZCQBlWdY}eiraY-wTrh!p!lLxj4zBe~UuFJ-AYM*o9Zpq-iCSh25R9D*ZcvAm2j*zVM1heRz zV`m@Srr5S(I7Xdc@czw*OIJx`ufLZmiMVn2tj8W*jxMFg?yAaf)m#PjsIN1hX{;MQ z^j2_rGCNmCS2q^m8osTABzLK%Df1UQoi_sxy8XU4T~z4kcw{mA z#x3Lbl&y)E9_g#*u(j78YfM$G>Ii74bj-AzGCxR}T5DYrYDYV_UpACJyL$z1bHeJoA#;dZ?w=h3d!u5N^7 z8?a~W(jOlxX71g`jKmuJoJoC$U+_{QN()4$6`HcbU;pC{*8PDZ|4X?ofpi_ z-{<(IsSN)7`9`kt+qVFuRSASnxZXcm|FE087#SHki=izqW7yZ| zG$yf*TV~bAuv-6Oie|nkhZ~Aheo9WQ!a>UUAU-| z%*@uS^Co^&#~yikZNc@vhA#sGY2gRbQ4FfU(3u;#rrS1(_7#`q*Dk7F9-u| z7Zsa7jIiJv06`I)D@G+078Yhi^XCGb+0FDtqc;%c+x*}97GJikZm#R>R$uW~egWo* zXIlU6Z$IFB(u$nHi|^bq?W}g;_%A007jM)qdUzd4ZhCW$N5Tr`0tNjTv3jYr0rM8E2#1={hC$5vem5-rT+oJF&;O&8-b*iY5 zoB?X`*oN(Ee#!-h0w_KAyfn5{-L?87)k3i&!tI4t=qs%+^>32IPO*v3eL3`e-?YBD zVW>$&cB&YgnA=%bzCfQB1@B`zBKl9+56YLG?LC`P9Vnb-O?^hE>!aysqmYzU9)XvO zRZVx(AzuRA>mzoJM3YQzAs{#ev+X2sl0wpl0+a;H2nk5$KbU6N1jLS{bO5OMa83h> zO9XU>I7OTgXc~iq;)+fg-MZx&AFrQy@C`JKw6#pMt^|MFr<#(rO?RVPOe;-W1jIct zeGca#+{3qS-73WZ7g<dj?2U>V#dza7f&8SSD-`j2~Bwo7GuByK;KNtvVI_U>hTebvCr+cLG!rK08$yn%B7R zl+^pCL;tnEg_PD_`m8Vc%OXu4IyzoxAQ;P2gT=sCWMpps4H|)!U2FYSt=AWKjkl+# zQ#}1o>dlU<+_za~OEcWcAk>IBIaVHDt{)A#sF)bR_n|XI|A&%d&t&@m8aYOqK*RX_ zFQ>Wx=uvs}aX|ly(PwWP8WN)n(Co({W07Cd+N!p?G^2Fu)(H&o+X7)dKkO}-$$R(i z`S{!^Vp*Qgkw|x)eV)ZKwaeEj^;_*VLAu?K44(zPKPe`)6;P_Ky9h%==_a*Fvd?*4 zl4(q4n5H_~zuP0w~s(glz8n*(f>5BnshOm?4Zj^G5<}>F zfK4hO-*{rJ4ULTW0SH2S1|}IC8hTA(`d&rs)ii9&CudLFH!q02{} z6>?9qO}=MFZN-xG$!oN6`67+ z>Es#Km^>Zc)E8Bjiie)<5?Q#TPX1@QJeBW+$RN)p(`4lcBSRZPy@IBoF|h9>qaD;yPkGPZ1pXcc(tzJJ)kT_NKE; z{39wNzI%t(cM(ETiNgsQofjV_ZmY4VZ{d5lZ^g_)@rhsS&&xhK6if+@-=_rGgS-sV z)l#x8{cro{@*PTwiP7evCU*3CpUx|1jV#7ZvkkH!a)Hq;m&7Okw7$3?YfakzJ~JnS z@{wUxd5sfG@<_Riyx*x~wlpjUQqn_m_r89WE!-dUi!s5UQzgBDAA!l=RZAHA$`VF zVqs{N6;jgGg5}<=xwiE^nFg8jXn`hVr$&!j~641sCF+4OlEl_XL;Dw+QJjUDGbQ`&nb=Z+Fp{2o3QT zK@WyIvh;=@m;L?Nm{BaacceAnatMRrPJvq`5++*2j3_Ha1!1`$;v7!eeM{V?&J zh|i#tX^=0t62u7S*x=}BC6NItauLELoYL>#1y#j2qb2iDS|E0K1Ha2n?U#DEBx02) z?)PH*PSGvF9zM%tBAL?@Dk*ANce{zaP$P&K_8Hw`S6U>XU>7ik_lPiGp(Q}C#LFe$ z4ZH;k@3y}F7g&KzIzBK!>1MbJgW2Tb=7f75Q96Q5VgM7+%G3rYn1j9p*nz?Pd3BkAfo}6&0ttmtb0u1?ru)2agX!#2ZL7ker6#DsIOb##Zl(Q~uQycY&;U zd5FTI?=O<|KzO4V9@I`+5187w5X2Uq9I5#C-1u}et05hYG*q-G%(X>Q^nio=1=Hg z#PB)T9Y0tNNmLL@R(^$+kJ~iJ@Sm& zDyFuHwbhDI{xWE%)YwrszF~APBq?m$=kt3+-%^`VbcZl(V^8`6`c2Zue5z+&9j}M9Pdsvo$@>@D-6=o5BZbDqWT_md6 z;iBzkY&+X4`Hfx%2FWUk{^9pA_m{7erzA-IJTL7~f7@}xffbjzqAYS*d;aD5J6n3r zvAxPrQ(>5WJ$u4yPAnmW5#%9*&Bw1MmPyCHu5xyCi*2s!eaz*~bGWwnb}ys4D7zMF zA20K(?e*$+)V0^b4@LA8Jo4St=zIscx2Z;TYm#Gj=}Q0FX*~CbOA20v6;7B)2mL}; zS^3#?I*8oPwC>a!LIgNQ0;n9sxRsSv)x9DtPIy55yALoaRQ-vwKNkm>Ys~aDKe)Op6W9a3th+?%a6`VM$a2Qg}4XTi}xU ziMXsjOrw7x3wJ86t_uejsRFF2IsNX>}Rf}sQ41YtuQ$fX=V9>dkyqY z`w|t75Z4K^VHs6t8Sb5o_xk4M0T_`65Ktrd1O^f-@HFxZG`BFRhfuiC8xTcRF-A1S zW&Nkat-E*Yfc*%+4#?@R;I*+S4P_=w1Wa3R;l_YNGH^=AJSm7K5$MflF=g&596<6j zo2wJ)5Vn70w-6HoiQ#B0Cv0-RMsU?{50Qm-4PK>=FKNU1H6RWq+=bAKIa_URxDm1i zQg9}{`2t9rM0^+cA0!IZYv6F=MiP^)_2pS zU<+mm1|}phtEU?lC7weB3<|v*zB8-#CvMoe5}-T-_%OCOOG`@=??|+8u<*fUvW)7m zi-O_@x**HDvG&tF5%`i#@6@^I#a$m_$}_kcVu(?`y=*uqkwv>{THNXoho%x7ybTJR z`l>b({{;NUG{ytSe<$Maz?VJ1;045^!G#?nhTTC%9f1+(4yYjv&G1J|z(6($s}!Q_ zES{GQ;+n*~MFKp-9R;#Fe*scM@RVo<7q3N+aPKBW_ZS5Dl2C`xNH?oYqGBf#-lwvDdTls63i1`!f>4tEx3H*p1zz5Gg2|P|P zzcL96FF$FfmePvy^!MLI?t356szNIrG<#{!TkFFS z40i)FC+Ab76P58P>=XalJKZ_b3H=mHJOIin*tM4EsqT&rE5u(>$S+7rlE4Os#+(%F z8SYyre4yAyuhHHSY$trB6;IcfwCuoS68?GYRbmDr@@#j=BcW#kFT=rM^K|ULAjBE+gP38g5#91=MfXepp+A< z8aqQwD0&k{(VuHGC8M}Z918^T5)?!YVkiDT8w$Qxn!-x<2)1yT+nbE}F^-cuTPr$+ z46}Cq;Uq!MBS(8>suR|A%-Qdi8HI0)R>SE{e}zr6SD!RHH8TMnAzs^mZo-;+pKw2`s;Vj!I_U5faCek!tUl%{ zUS{mJSBepp0d>NnD!o?jgY5wPl4?F$%P$}>Ow99z4hkr>O-V@!-!Ifa3^q&@EXd!w zIb_w?okS`EL$p`0vk2D;zJd$Z0McQf`TFPn{AsG&^;RVXh@)8{X))_xD|Xzuz1^Li zw~dU#r}Eq0UegDnAcCwQ#{L-xrx>-9FjBxG0J95-J_*80i@T{c zFf$WaP_V{#TEv4G*a^Wmi1E^4jEMxQNrca)^__Yku$dAbaKfIBYi2JyRWQ9a`Mt>chhN%_=JN9GFy0{vXq(zrj8rJ1ouHQ82%9)o zBbX+1WEnaV|FUl8{qIx~$T10%um>YVm;pRse)le4XiKn-OR(KrwUp1GU5R3g;rpeS zzl-TFa(DL5s1LwhU0GTA05+ar936b9gz)-FV_#&vcLAo-5$eG9C*~^x99OkwFA&Le z5$Aap!m5r!SzcNBV|X}p*wf6^^pQ%+$+KtAc27Ni`m~~_=kJr8HG{Eqgm7m zHlVWez^H@haV=q2fqM})=qf>FiQXr!Fnvu4xHgbxEq&<{JUeMF$BrHiKvPak z@d90|h{Y|&>cR^jW863GD18C8~RGk zP<-Z5U|_@iSu8Tu0jJgck6NkHDQeFl)@r31qMtZ<`ZSS$f*s*7f>m>)4MqSDD6B=T z<^6O|PSpyEiFi4#u1SnVde{uOHbdixnWk%~s*+4l8NO0zU`r_}DVhHH8jciKj0s`a%h~rA~UyMB-e3{_i(bMh<#sE_%TX%Bpg*_LsDnSdn=_ z5}aFA0Mz!WU+~=#ut_z){Qdg7>l`h6#;do?mFQdqO#(mNopY;A9UU(a?FzwnEor(} z^6-CgfJWW-cK|q^K;{di$`}>IpI1@RGDyd762j}E z+4l#$Ycs_neh?jf41fUPX)sbI!AyC<5rm z66p!&P|5XUu5EUNH3}y=YHBNK-Dxq#&8e_d97Q?XKEn*<;}OdfgL(aJUntPOvNf<@OuDS%wNU&Q1*!M2@qEOY#wG;+Mub1 zSC@yE_ch=u3(X^=zL8lr0s|v($1Nc66_531k+U@n{}@DM-27(ORCjLsdO~7iGu|9~ zEb|R9gTEQqLkyjO86GxfVqz-lcgF26J9?cl10{dUE&LSmCE&Bhq4Oa-`;wAUH+69D zi6|qOyxZI2)n$^fZV3Q@>FdYVZFD4Arfst7ez*&IKrA@?PG0tK0h=I(2vhz<3v96HJ{}XgCT+q7 zul;NA8EVPOTVPP$+*r@Ugb3J>BGN32WzH%&dy2zo*jsH40~z_Zs356)Q{66{7no>G z1-g;&rr~PPu)Zpw=QVCTfr)-QDJb-DW)b2FKJyrCHaKpvklQE=ot%6B1Go5mN{~pi zVe$?);3+EDRTi56YM(zMc-PxG4IddHAY)F&YxL9&z0&~1dS+(tj%+zXL-WQg6e~3x z8#I&1v2yCXEeIWiAlrJk1tksQ#pOghOq+iQ&5H0Km6nnalYzd&B{zI76~A`?fgdlt zaNobV1%;Gz{K>!ciRIJG#kVSTmqqB3v)#) zVymM~#36RNWfpUi$C}|HA|_Mxl*lF~d?O**zE$Spc{Pt%+`(~9CQ%&;%brhV5PbWYuAChX*VTibfYO8=ArXH$uzrybx;B9& z5$T{ICqTEy~xaV#~VPY$MA$GntE7s!ee@RW<5?5NS#sor=vet0lt8AtoGs|R*v9r;2^55HD5d9vsbE6ouhst ziG(Hn^e73eN0qcyIF%I2L>jg2=Fp z6}3_NDfVu*s?FP-yz>x2zA(l<%-a&7 zD>9VxRSOV-1AN)nl4j!j-sXDowv`nMzY+Dhov7Kq_UZsX{q_Uno+ek7$Ih#4?GdKz zHkA5xo>h(kr0mtw184TQjg<7{>ljJ;c146o9Pka)IcKg-lj zw_d~f%=YMB;fah7lHA;WN^9PEPePLR4uw_^ocOaLBh$Qb#N|c}?tz!;!%^N`->%s6 zDx#C~&u0mv7R^xJdE57~_dFYOME1H%)m+^9r{*VJlA}aO>b48*p4Ut|M7Q0m596gK zUdwnx;H>xDJ{EJTBd5Je?3&1l+Zt4)^q}mJgt4WjrV#xiSMYzobn5hl%j#F;y!tPf z23=D*McnEkG5(o@G#~2D`T??M2kt*Cr z`_I$HBcw5omn-RU-v9QB<}QXeUs;I+k>yBt3BdwTXCyFR1t$BdSZ zT?`lL<4O2ccH>3mwLxb8tS{o8fe|E3YPb*o*tP!eTj6g0fByF0rSt##*?<2Q8u)*F j=l}V)|B&N8%MyM{$L>9@%hw^nKQfmTFQ!S|y8nLwbnC*f literal 34165 zcmZ_01yq$?w+4!$bV@0yptN+ifFK|#-QC>{Dk&`>4I(Yw-O{jWHr-v)xq-X3|2cP@ zJMKA-kB_lyz3W|T%{iZ#n@|NgNlY|iGz0_$OsNmzN(cy#AmGOa1qnP8Y5VO6JP5E5 z6H|~96MJdzXlH6+ZGwP68{-or_@PsTv`g<(&U*$dR|SV&g*3(x0*48D{7lh&0!Fk2ueLe6wmu-AIq5i~9%16ZfmU=?_*KDJl2JQm?BkPofQt#jSf%A4`a#zx?ZT zlOPK`I~;ktS)?tWRThSR`eWYXIw_*pzUvLECnQ^v5l@eg_g zVV$zA;!J_sZ&(}|e?G=^9LK&#Zf&eSDVO4=!$)q=Bi%B>N7;WIk-X+j!7sP=#rI&-Eyai9aaDK1uEM{k8V`A%!Am(Ud z;A~?2(#^uz{H3Intb*ESEFuJimk3hg@08tV_tQMI<0kKWmMSZlzo5`Yzx-y(^v;B- zo>^*9iGlrmbEv^$=J0z?y>U%lLcE7vm8pg7Od|MNlDQ}`G!JLup8Q>Olp2N5XMbs{*#D1|mxa@T&e ze_H>&OE%gnG}?2@^8z;e#Vz_u1wMFY=yy*-Ld>f4W()rJJWt#Edj;j-7pzO7lIwgo zH={cLia;Wth7aR&i@azGfBO)!vSn{07HY7;_+y?%N$=O-!qqga1Q2S?VcofxC?~P~ zloM3g&8RlE+x7LgB6+Vy_#Xej3O*GM%K-OO>6$7V@OfB$co7mM&J*aFOG^YH^Ivjn z4-|_|LRz5sqPx~!%q!l4LGcZ&5PYp97I(B9=IYf)_{9G9w0ElgyJF2s*CZSbq zZ#!}GUUIPNS}_aZfI0m;36u~d`7u+JIs=X2F}0W+UBri1N_6l8gDdyWob;+X(1O3% zN*+9fG~l>rXgg;3E>1t_-!)|P|TEw5-@$aB=v4VMmSG6cF|If2Xj8}mmDz=j8_KHUo_7X*Of!7aZs+rOk zXQimOarm8h@C|cM=BK0nZ+yh4k^Yg0*%ILRhuF_XhG4NQm)tN1D-npg*O{eR8~={~ zBjbbrq#Z3FKi)kkn7huAOvqb3;8Pjq+p$>t=4UjKI8QQX*RKM;ZxYZ(g7Jl<60~p< z_AP5+qKJ+tXR?){Hc$o!ciRm5>Q6WUyX?m0D0wJmLm@J*CcE_VjT^P@lw5fKj(EU$ z%jniysde717@3ku>xShoG9~DbLLa&E2Io35gYcp6492EmWi20l*t8YE28UPmm&S92 z4~-+Pb>=z>w7d6_Vqe=uN|+Ly9JW4ChPH{{Vg#S<;)^)CcF9a+HFFAw_PG402-P;`J$YY53BLAg*$9Lg$DulT#S(>r1>jKE|@ zXuqwE1g&t%k3)l{@H(1lF>8}euJ1`--9y9h33sfF{S=7wG(Gqq*&k~Pwd(Y~rpiN! zSNa?r78LpU@X0j~qCK5p#sj}+{kxgkoQG=5H&l(a9g112j;4>au=6zUZl)yftQR^0 zTWYTnaH{`OYFxip`LRUW-1sDZV7ODGGc9xI=y>FpNc-fzC{~Y|Bd3g7`(l0Jn<|SE zwwziuO|TpguymtLRb-a&$#MJmg)`@l7r$i9Ng&x7<#YA<%Jj-(*T+O33k7!Fcq8bvK9dFet!=~M+(;DYISN3*37zg+T zo;`i<>6%t2U9M|T zhB!hjIf)}SOE0ofTr>Lf?X_o&!gmm)`*Ujb;5Qn%{yi*gs_XYJ)adkt$kvorm0-U@ z(E{aU8}&1!X^(ySS1phywe}rdiV>>CKYxB0o-CqVRdSN*V-&Z@e5Xo(PI#K{HS=~( zI73y$Q;zsRt6_Dopi84r)Xsk&X2@iUf7H{Z?c8-EJz(1B8~VslE*o$A;DZ%~S7iJTIpAcT*ZEKAp}-S>s?lXoJvsEeN-fPFvYR@WUX--l0#t6RQz+S z>W9r<;b4}nN$ISwT;}JmTa^r_HC>J>J@+|-luRbNrA?o0mM?5p9`O1+Qa?ZnRdkA^ zu5DC=W5->*8~;{J_S?zvOje_;O<4LAk84pCgR-QI>!8`=8X7@GUe0_$(&FRvSc_yu zxj@=7ZIRgGDXv_~O1|r#cLxd^4uM^P`1nK|C@aeJ@m!TQ0|I|qrtL5;tl0WLrG?aR z?G(qT;;d99nF~$tylX&qt>sy_WO+WFP!)CF1BEua&ssh&EfH1)S4sKiW96c{M|tKV z%kZ_DGL@_I-GRtu+aZQ6cb)XglMo>SoxdFxbD5esvZpw=hOMmAt+;`riiPzUITn_& z|1~W|O;&;*xzFf{;)Nm+(P*+Qi<1Ufh&+1-)>}HpU;RuSOnT zgc3>?e|z{ttxU{0%MV|$#B1ESj+(dsW|ld}t$dtUe582MJ%S><>2u2O zK-X=;t>ww}eA15q<>`Wypk%_;etCFwW{iq!_>t03aev;EN86hx%2pX0(GW2M%2`#mJ|ZVY~r zaIzt%c-|54?iGSSgFSN}^rR>~SSeF~Mz|BzZXClO+R7)fe_SPDwAAqUkq`eh+m)+u zhi3iwpi0=H{<5bnZXUBK?&+L~FYJKrh7U$)ts~4|ePJwqiNLq83a&`l{HVl)=)`N) zrG~WW&u3{}4O7%+-6&l<{Crr)GY-o)p3UhSDUg$Q&ZL1|o|;@vPhOUmO*>o9w0br# z9{xM43hLUuE!jRQ7h}?DSoDlc);Oc4CMIN)3?Y4&)f?Rl?JZ1CZX4|VYE=hsc6n}x zwF{w{Wxx0N`SY)~qPYa7vj+L)3Xd6WZ2E<3^sHj@RpM)2&DQ>S&>@{{I=_U>>*f~a zL|z`-xAk-e>(<_|GfH z%_R?{vB^lo0ko~0GXc+qS<SOWq(p|%g|G5DL?Y5h>y-*MWZ)0pw ztR_{b%;zT|VP?VAPnVZxoP}m^<>zxqJrnVBywK%_5@1aJ{isOapJ4JFdiFG_~GlCnH_CLrRX8owsS}(1J zbPk%Py>@@^BrcNq^upNLm<4P0^}e?2gN)}>UvS7sgimDOyzCjD5%e3R zVys}D(X@!So1$+9e-Cr}8zw1FO8YG`+jW8dMwigCxyglG^J4VFO z*7yT|a7ljl{ISXS97rj+W@+Ur%!7-~{7%A07mp6BE?gch7wVwKZ8Kb?vpa=NU+ulQ zU;ImW<8rccM*;a>%3y(m8`ZAQsCP0c;L95efn+7rr)r2~%z6Lj{G*X_(kdVj4i2C< zuG42DRA{kKoTb(6)R|u>`F*t@xtb*B(t~H8^&-;p-yo_-1nX_tIx!q-g*SS*}uM{$G*h)8DAUg5dV|JxA6xhq{8rQHaSZGZzeMIvZlRL zL*`^sd-3}4^(Zn^vJFXpZmk)-9?R7&iLv>9U2P8@ zi8zFQ?mSM4=R!bUWxMif)v_PShaaKZ(11Bf~q- z?}l9xX^Hktn!dVJ@kK4s_8>F9LYh}9LjAQTVX<)EuXCEWH@DsSqwoNPG@zQ5pl_{0 zMyabX?``i@E#fec5bGPXAzf*mZ+dcchnfEj6ZlUIJ|5qFUhF>PBKh#|V4`T<}Ap|_du_YYzWgR8>Ed2fPi)p&x;WVIqi{oRNt!C2uaVig_P+b{ zZ0S&nGVf=d1g@D_eug}@jTpK-@|h>1-ho_|`hD)p)DwCuT)9G=#OKqmA)VS;E3mCQ zvT?*Q`_8zB*0Jqx5ty-ISq<&zW-~@btjhcb`c|{Zb>$X*XkHH=xNqCsbq?Rn&bBne z^kxL*h>!_DggT}_4$*Rrf0sv>w-tUY$1tLJ!Zt4c46xB47B|25IG1OU(o0*#IBZ9E82>%^UEvCUk56TiL#MFWwnpj zZEN-)5ork_giy5;9{dDILOE&^e+xU=*ZHK|?c#8)hSma28Dz1;u%k82n#i=CF~)C85jzJls^*Vz&2*C^_l@`7em^8! z_IEyPF~ zqUD3Z*&RUy^`nD6=_Oqyii?AlhYY#wqyQ%+V_Lf+K?AP4P7^`}Vd4x$8-q&8yKt0q z!^5oFOlA73&AcZ<^WHU6Way{3W)?KPbuWFbD2Vuwy?!Y?A5X$#SmO$$YxWsOlNIHu zj76W}rs}f1XlPxtQ{@>@O8iB+W>vgE3bhW0yiTq8Gmq`vg>81FKSow{>}Jx3S6gXm zMQX-mlBq}+igLbM-x|2`Q}KIxmeD!n$}&tL5b{}~ulOB#?q9v`)KV2^<+Z+doZ%go zK1H9C!y3pA<~kmNhjUK%cC4JyPfZongy+*Zitbs{>ttql%$4WIIs!+Vzt-htIk@iL zvqO2}otq^#YEAB(oyJ()!WB89bb8l2hs?@M+ZKNv=v1*(-bL+?y?Tm*eaW415!4D2 zItT6wzBQ2pF_pjbqK@D99V)vVs}Jm|axIk|tV#8JxxSu>d-V;Pk^*U(UcVGy(AcN8 zBzBeNl}>r&m-(6LnF`PL?c?N0z07rGrpX2?tM0__RC zep}rSk#=|I2@MS$J3_&f;i=X{@%i<_cjb_WQ!UF?St+iR=GO4lR;@ObhgFMqj3o+9 zTdv-BUSFYDNzWxw@qD7U0;F_ItkKS#2^oH^9kpK1dixoEt8`itKeJjhx$zr{I#6s}ZWHZd}(Ic*I~&EbSEK7xh^U%H-)Kfs4Co*l{uzr;?iw^T%UQO_qw zV^+TQ0gaVV*H}jdPHAQIW{|qoCKQL>7tj|9e;HX0tUQ12HXCt7$DCV2-_)6ELAV>x zu|g@ew51`qB$vi_d@{g1-r!<&a&mIDnPPVZ9x{;Dx*r?P*4PXnVhbR&?UlC5nVM2F zs21fXgngO}A8?}6XgWVQ)|@M$j~Yv0qtWd%f!Y>x~8F<-fI)@h=Lxy2U7NG1-n6@gn5 zF0mp7NBep$m1u}4l z1!C&sIF7%o;wh~!{%!z;>7K>L)HGL$EclBQCOwZHo6~YJZr?+25%mXS1I4Hg#-&H%lQ`l$yIRd8fWnY=bG!mmfYXlu5<)uXJ>=esBoOy8cLBgFnDFxeEx$r z3dL+ek+;D982S@a>6c8^knHWn!Ca;JD5cN?%<0#!UkFF%=O$y>QUb?+@ZxhxguHn) zi+}jt?dhFUCZ7{HeDf)1hKs{5S70`y1io6%PZL<44)NFH2$a28B-7sJ>dFvg)~@pO zCF6HB9{u%xFpWRq?)EaJX31$-kcWrosE;NCq5GAFyuAFctgIKpz5)oy*yP3={qf%S z*IT4~&LMa-a??ZdHJUwD6aOViuuhjUx7=N5%`Ys-E@@Snw+}G44jwKvzK<8@c^m6B zD{{C)`fO^$UQd}sV2(#a5E~g8dC~XQW^*V-O^)NyRIyf1gUjB>C4QIPKPh%C7M`R} zU$U~sTwS^Uc&pW|W!K!5$ZFKv*H<3q_fKcBOYXStrHV?t_k$hx?9A0NgC)Xy{|sop znr~^9(c&!khMo2Rw<*@FHoQLB*r>6aF8d62OLY!%x#Tyz;I=eWq)`dR4AOy7idDlk z3rOZ{Fu7+)Z*Q;ToVJe6^-3@WeU*HazzIr};Kg&kG&y{!fJ#b1qT1{_5&7Lw(lI&w zpGqW02m6C>@0t|&>H32UfGi28w{ za$uDVIc`8HOJK?Lx~1<*r&WcIDg-_Md;WS90RaIl?nnA1+V!H&&Q%s(%F6f|J{L(G z-jt~qo$ajwrbf=LL&HM2X6Mu&T`(`Qt;+I_k?a1+$(-Ax4Ax2)zxQj8?0@?B^MeHb z(XP3dfpJAz^_2r1ueS7XY5bk-LDM$QvTm{Cy(e|rr#=nLegNG4sL-Bb?Cb{Xu}dNt z%4@Fx3Z$|>_sh4&c11KK1AWm}Gqs8y1BsTF{*|Cs&-Flks{BClnNC_wI8UB@hOO&u zDzDSW2Q|0FK#(~;RSCUu^(e0r>^(*W?nwPFrD&D+J?h2&EX9x2Xx=#Z*$$X1irNzF zl+eDCw}Yjae1*8FT=4VIqPQykLLQ8G7l45E6e*HmcZkdP$9^6!{U{GT%k%!`sc}ZS zd(Vn*7+}hRFuO=D&8NH&zsW2HU+^TRO9jZ#1&Sg8vCo)`ACu`H-Z8y>lt+n(e0qkj zqU86DjMLba)|M^8BT_z}R?~=3t`-3q4nKQ9w}aM4STL)xz|pUnSqHYPDB zv851ym+=6b?zm?nN$^V`gMFg782^mORR%6p-z9yI8-PTW3VB<_Q#&%-bYwyl=%(rg zO~FHl6lPPS!tIu+NB}#_wN~W)T*>ONMBMBAvRZKDi2SI;ce7ris9MSgNDw-parUhI zN#IGnQX+i?ca1Fg7k#iO`JO+h{bqZ_vR%3H8(23SFY%?h5zhP|O9IYlx*D%KG?>Mm z{U5o-`-UaV0y}c#W&Kz-M1qb;8SY`)eh;Z+{EtmTuLhpUeDsVsTI{FYxcXpAABvfF z1;Zyb59tFSmYVxBriY-InLNjuEh+oPpM3)6jUvmk`tf66W$08Tlndnx?6+T&T%K4d z6gm)QP_6lvJ{~PX;*;G*g>q0zd^pA$F~?9cXDl11f*(qU?Auf`JcDKSsmj{ZtX=dx z@VR1Dyl2n8#Kz*G1$Nj%IG$syZ*4i8*CNq^ zRStAy$C;0mjI6J>w@sgA`Ytvm=5tn-QQ(TY*N^}DNQ5@ek~fm|v8I3**6`G8Kk!0} z&p%Js!bB+DAoc9@;6Y=_)ki#;+m~3h9&W?!ePX`k3BK@mxNHy7iHZrHy+-XcvQPFy?CZbrY_5{@X)8ifrc7u*5si~>`WLqmM?dZ%+ zZL`%@Gc`6OOWvoL%&joC^z<4HbAzsL1Q--T^Jh9aO01q|=0bO8pK5Fu`g3Jd)T_*K zYnOZ*C+0o%r^^h)-@h;Sy0mS%-X!rn+cvnUBhvGZ@wvT-zaeD^5ozpW`DLi4B&y&%hrd5s#5ToBHiZX#Kh-Z*3)f1mkVaI&?XNj zLMH8ANE-i4xe>C{<{<0Pt}12+fP4ra0YcP=FAI!zZ&Fq+O!ALW3!x~6}nnR z`t2> zgD_rnAX9j^h2T;pvKTx@#v;{k|MK)~f96w=VJBfa{SBVymSf}NboBJU9W;rEh!*`Ge7J1qKeYPZ zxnFN2cnw=~ zN=C-;9&#@X|CP?*@k%rK?g$b|@Cq+4@5ca(^Ol$|Ke|xD_n1tKb_;|G|U->t2e4cgv zCM0cOV<3S+uv;np$AyXue2YXL?5}zdkA_^YJiYGF%yt|=u?3e4f5C3Le0T@}+spbK z0|Wvoz%jaB2b`;`t01IbQ&XP+kbeT;MW$+@4Si}FzgwsN42ViFQ0H8qLh z0c8a(fg?D|RM*!G3}Ikz9d{?_fas@HYunY=7fSe6Q#tA49I9sqZqwMrWG1Hwose<4 za@dkvR_8wmy5-^C3qdYJNLE{$w7tE3-uLzZoR^l{Lw+y}jePoRF0LP7-4Mz=PECbi zM`F%=WG`O4*k5Q&SYFmgproR@22@1$ON--%G!n*hebtY@`}1t(YGAO_VSk638*qNj z!FEQJrZhJ419WHxP!v8P;rAaux&u)0czkZ0<9+EF8P^N)(pUW+ZuJ6v78>31e*W}# z*_+CQ?(sUVqpWpDun##t>=u?jXEziy$mEx${WP|V2Gub#cu3+h=icaQ8H z7%&2dVcsFwL8sMrp#dbDLq|aof3V+xT!_obMam91ZKb(6uKqz)Qlejcve@Foe}8}f zB1*LYLD|{a5jbi?v<4XUd=JWQJ6~sc zP51U~M4?(~@&XYdp@gXDg#>fj!ZZ_pp?$JpmveW$+^$PYku~#RhQ4kR&eexEn<%Q zAP6~Eoo2OYhjnIVrmmsEkmb3(lhfMnWMTEU`CUHVUVRpD=yfgbbI@r4Ez=m3DZ|uh za5k8&vdA?MlKGg*6Qfe7%GtlSvbNTFvN51hZAn1Hr2W(F?;?RJF+DA9K8tqosClBG z7gs?+f%SYHE&>fro@Gukr2KE|gHWMrF(nrlA&4a3n;n`O>lvlBz0JeJ$fzi6zO={~ zD#>T0q=Nw0evp%+=HjZE@^x}^%L9QT@Bll7a~I#cn{q&?CBmP#$1|vXObb=YB<8fl zH|mMx+zbBlYABJYHAV~cLUr? zwM08qF3$*zm7Cc^GER?=1_uP-R0E=#>*Nl2&D+f^W%}#ouh^h+{gTfRqGrti8608B z=Q6ENbtl@?1p1brKjr)PXHz9QVeR@ag@n>U1gr4v6gC)}nK^;TiX<2O240}14*K)w zkK@MY)*$l)Mh!#P=dEnQ0Np_I1!8IBO_y4HRHmT6Pl|?GDqO?@Dha(CMz36BNrZD^ z11`weeM_yXJdB*x(xiBDa7{~fo2MJyn1N6G6&ZW1)z1&idVnlXffimFjxR4uC!4!= zf9&l zih#d5@6$W>YBoFj^$%t}TfShs*1IV~AzxqLDx0|B_*Z6q)W8GUmfSDSXk7wv>^baJR>8Mb#~?e z2WVLMA+^Ql_8A3*9>feS@Czs{v8{yzpaMSUvHwXI6%vAy`!T5lP-(Rlbr}!MSv8df zoE7UQ6UL6w(mB6Cl7ZLWRAIk#P#i#{0jPus!j4g+A^?kwZ+UrH1RNu~reh=n0|P(> zXN(^OjIBkI@ppp@56;=O4uJ#sO{qYy-yQy9f3Z1*x#jBDmxb+Zb5Og3L0n>CVF{cLvRf~;kfq5< zf?T^p3Is03O{a~1f{KcYe=A|RH=Y+|Au2B+5!9!us2J0==X!l&0+fuN^}ble0_7)2 zNNmQv(T?TPZdJd_m?K?ugCKzd0@`NiKK0bo2yfI9&AOWesC-+{+P zC_ro=yv}yUgPC+1ek#+y;CE#N1veZ{y0P?YWU063Y zHv>)2s6UP_x3{_|?RWpD$@;h{9T^W*6>=G9Q9&j`5pnW!td6ML;s?$0M{X+KF)ll< z{yM>;i4Fa+MMaDat_PZ>mk-`PKD?f1w1xi&6upxQagTt^IQ?lpYAceDW(vg=086;$QeFCvCWzn4h`VYUZ zX;Nlu9@hlvX&qrzXgH)~+wY#=csBQ_&Ag6ih+_~GOappjERnAJXYi9b=4GQ254crk zd(8ja3`>$>UxUepm8ChZ>oRK2vA(&vy0Y>PNRA7ot$BGg_4W1U9K?kQ44_zP!aG6W zM3*?<9_jjp@cC!yoYk=$nJPe2bkjQrhlbv~d6U!O`Tc@X?cW>Cm7SkIE>&hLC}zQ? zZs5RNX>xcR)r^AKYjFHWxb^ure`gH*xn@$d3IAvK#LGd!eh11-VJ3A_tlLfsw9GO| z#AU((xw7UWa7 zZ;oqKWUjy5Q7Q0;Gx3%KS7+p6cG}71P0U)%06JljJ^nYVMd<(O&6JFJ^bHfKN;+^t zF0)*souU;b zeKr)-z9GYUKxn-~DLEYRpq;pcx=7RRncyZ3=R(FQ8ZUhoLzqvX2q0h=GJCKHeknJ zL9QgEtEQ>+)iw1Y$WgmUJ}r(X;YqkGx5=(5jJCgu)geySs5ou88CDKQnk zoIvy>+YWnx-EKX6d8r)r>QgvgAez(O8!wG@9h}`atBj9*Vvd=gKM+011ZDq)9~F&n zz*Y0|JgIF|fGsx3B@J0=f?=pVny8Ot>Pw*7CU(w{X$Fhsy(73Z$!%-k-px^$war&8 z{?Xz;@|;qR?D@BW`8CELV3nkHmF-&n5F>5A?iF-U;YGH*;SSTKvIK=|}g;taG(?df&f)=W#on*K5|!QQEB;$)^QS zZEk=%(w4)QSkvrhfetMIWoT$<0Bgr;gou7!zn)gmB%|LntRb$G@b^MRGPzc369hQ} zoaUTV`-b{@sRcq}mFxng0(*OVbI+|QeCEWgtgH#m%y+Svb&Vts!;kA$n%4j`h;4Wa z`lM^#^w8i3G5%nn2Lgq{+;;zw75ccVCNq0$4Q1-&t09K6%|a!(fN~z?7JW*_lh1EU+RSp@;jDzw1<&@e=MNK@aw=}UX{lX!U?=^U!=YeScsZ@PLS zeeqB5c`fmu3axJEYWkhc(5mP@$KY-v98!3KMnG&MgD)Md38hEWA0bl8mSJW3Ryq>VXE zx8Qq>LEG?f7|>4~=g`s6%$HgOEvu6U2OEZjkIv3A>!yJRaV7SH)OwxK`x!1o+A9>< zMoqtqOzFBvD)JRFQ9OFVb%APiif&?LMl1zy5UIn7Y=~T5=jdn*gIbCDyHxh0<73tt z`~Yea2kKm6s&`hST=^Df%EXjtUw?H_uI2jBns1Fo`YzsWAI^};N6@H+l~mC9U^kW= zP_hc+ioJu ziq_H#;+ZWt;GVE+y%T+hZjXoC{3=*%UnRXy+B`s`Oa)(rK*@7?K8vV(hde(;IG_58 zpC~dl=;=dHVyC0Q{#=Km!OHumXp{n!C=OT#&`BK_&5rPT{Q9G4De4Rw-^j+(XaEBlN6nNDCo^xL>OI2Zt_22kM&r!6^Z`E=_BzY3r*!9}y`)BUj= zbb#v&`@X-~sNv_~VF5+hb$_}Y>}v1r-&T1b4$0nvVm%OqNdkw%0L^5|rf_YH`2iix zd1vftj#3{$1-(EWnFdN3K-68Got@6}o!G*Iz;J;}V&}V)@g-YY#4qCR%<+jIr#)7r zQ{I)&f$K zp`jrh1p`{ESA7w00;Czh5z95Vl;>c{+;$Ma9%kyDX!u?C^&zRe0J}~zwkA)*{kz-C zzh;2E)Y==Z&V*aTpm0gxqt$`b@&JkUSQ&YegBK>VF8tWHwa&iRdg{4=DFO=(Obw)_ev>ED5FA}W+p$PV>d|7PygXBi& z6c!xB;QE4qDT`(Z`6TkYF|GAPl^#b;e18Cf2{=_qKoQOKd$VxYxNLZxml`Cx3$#AR;q#~dNwZWY+E*s)kuU-*e#* zDOv{Z`QQc^^;%LpIz-3D#^9b}zAzqu_<_We1>M_t zE1(*}a|eTs?41MoCb0$p!BG&B#P3sqip~$-_qXL1>uY-(aO2>F2b@c=8xfCzFg5s% zU{Z^vn(5kRu_*~#7={Bln1lop5STb8&9v4p$7Gn3BpiP8O$`l2?C)Dwn$TMHD9!x_ zTEfjszg42 zDIwQ+F=mL8!esMXA4(_$Y#TT)0n7y#7x$f~Cm)5+8Ez{~sS?ek&kwHR0V1;zDgWWa zPmnN4dF(}$%dvQX!luKLnLBI_PD(Ohf@<60F8k9lK!{UqD2>yoG==vhd{0icJcR-K zR(4K>KE5j-LVSI9)QQc*&#z{Y$MMr02yXDAKpYX2;d>K`Ep+7%gTWkK8LNwd@aY^0 zM1zqL1)wtZ0Nt9V!vfR0IouEX9*dPBQ;TNAa@mp^cEu2$V$;~P0NiwJr6^L~@8AG~ zeuMWwrz}v6jEv+u&jpuH;;{HW)PD-p{b$6){lIO%2D6ZDZcP{TI_W@Xj!sX9R1OOb zfcyz$YYgd}?{JwE_#z0v<{9J?0m%lQp8&N4A7{-v-3T;Mm^ z0(w`{H*s5|$qmr-vWKwi^u(KQRsRwMXalhw0;(gA)h@k_$YAYAYyEUqYgy z%z)6bLH#5i%jU7Msp*dtMnfBNk9FLe%fG`Qom>HF53I}j`g)nqtt*pW%SVtI9_}w& zQPI&m!5N>kZHxdWN^H8VHZe0ZbE&pii2^Oq8(%XsM}0;_W&@@Eu<@@Dd^Gr8{CXb- zi2CnEh2j?{-%djYBG)WeR8GUlC+zcUYJ~bBkj<;(HBv!OeDLitAWi_j&q*#(z1~W4 z`3M}Z*o+M3Z|XfOvtdNckC*@cwVNe;_DmFrlo8ZODy|WXI;}ul1k4#645fOlm(>N# zlS8HW{~xUpB&U>3H8OsLzfGrDqobps6gUA{M(B2*9Vn5X3ir~$snKy;=mJg>d`B!G z{y=x9yJ26pz(b+%Lq<&hVldn>Yonm_`0mjE_OM2Fv9GT$e{$EcSp?{3hrv5L*U*OF zz-TQz++tU0dP{;+05;` zzmlaStTKtS5c1}stEVSn4ychRsHg=F*xD@P;A@rTR#2vN?-Qvi&9_2ALjM%T4>{D( zzukQ-nVFe?y1F#x-G&7(f%eu0nw~&21Bc8H#&+Pj0l_QGiP$oQ!aq0|8OYRzV77x9 z!b`B;D1y_%60%}d8F6v(`uHSZ)4hNHo|AmY3&g%6eZ+Ui5LH1#RP5`?`cbvg}J3w{ZRyH@0+Tf`cSlrjQ-zLNH@f@Fx z>_t>sm+qC!ajaIYXMuH;fz zXtf0xC#?3%A|MxZ1Fa_!q)<9KI{0k?YeU6TsCo$df9jF5_k}XPHnUYLHFLIwW09hn z`XB=d+-zq72@?(*K_FcNTbp6JTpV;(4GWxN07u|`f%5A9{vh!07zXjo>8J0=x00UJ zRT>cp*YPpQ)Y~lyeHz{=X*~RwTLA29y1SSgOy&%OR}nlsF;!JUPzp8yaH7y}vS>9M zCcnS?>o*SU=+(6~V0)+(_aBW)QaIcm)B@0@K#RXWR~xmyZcOfbWdN5Bflb~GBvc?b zYuDL-ru&rp6If*)C$B*^13D!EC_iu?5LlI;L5c%rRVt4|M_dnBKM@559JpWzY6_6u zD}ddB02lp%6szZZ{RS=YahhBY$Ss3Q^V|x@qqyw9h-TQn>y7(vs9tKJI->rL$F#c2 zFDr}w|7&?~xjZ+^)>{Jto@@?h3 za{VZyP$z;q7qG@_<@J;tmHKTmD1EZr|Q<=aMFh>b15E?y?nn1B+EiR~Y75 zZ*yOA|K~9B4NR?`OEx|{90L|Fict37y#O#6{YRm`{{CO!Flx7W&(L3A-P|~yZ7Tu_ z*tHXp7${H91hv(25Xunns+7iO5#XKnn(tUOIT4_k-&Kqy~p z_uG=%MCcNUO>h3@kWTTLXJN4*gV=h9EOV-U>hrU+M#o3Q;%ycz$_$#$O8gE9!#b0Z znkMasDMS$xVz#zSEfs({wyc#yZIOTVbQ{q{jX`1kVpUm7ICbt{f*pc_G-y*e+#n1nY<*{`P5KKk3;dgQ)F1evHTGk=-RRtOZP zOapfPOq4tg)j9i;QDJgNvkyxx|auvxB9-EZ*WIxNzKgXN36tI{S3A)S}d zVZ@r1lzwai;1>f6OBAkMR^%RuDHZ~+CD-kvYC^6qw&fmC<~?8~AfBE1(qvCZEvvzo zu{nq%Q1TR-yOm0zS(BC<#pkjizkX2y-4EcHI8O!SR+MvwWS}Ymq6?6t0v?SMW@ zbVkN75dG;G8Lb`37;e$F&Yss*X9WJBhU?azc|YRfmiPA=TgRxM>uhXnND1a==>^?-%(7^b3EP%H6fE!S6^#q+`^lVhhb`-D)%sChIRW~Q?exA7Q#&h7~ zY+v6|IWk`)5 zZ6R7WZ3}{CtD=8-IUPL~GNS7dYCro0*Y2~H6A%4pE?7krv(CKxzYakUm>8ZRIyNrj zb{BrJ;c9H#6xzNaS2K<2?}fGG+lwBfnG!_bYBEXEkeRsOuH+gmzPQ5LlPIp#)Cj_+ zfB?V^S{y#s9{}ZH1IR7^$;k6kUvLpX%kJZ-R-oW=aGH}%hOl>)oCY{y{3WX*Bi@gH$bpe_13%D$J z8a`T)UTZo)dXZ1j332e1n|ly$;aIXpB%qSZ*#`mqO&p<1k2C(gpfPFAHNGgL*+`3z z;fd-74=WR$JS{sRYblW&UbQd6e0BfSV*AJ>x+PA^I{`TAyf+n5S6AmaCnzAG-r~&* zQg04i70_);1a}h*x?~Vo{x?w~X~<~g=R45oHMAdQC<*!k;O;D-K%ixU8B`t&a)Iam zpd%?9AT)N+#3m6*DrIO$30gvmm0?~N`+b1AhlGc}rlAQ23K4Xg0;p{~Zu1Vv06>@= zEw=-@&SEviIF5Z(-b22YWR{)@+AQGxZlFcmqi751|0(QDps{YdwyzYSB6EloC8ZRK zOeG~ELYY%!mLUm|G17n%ndiumF+*kzQV0>EM8*&@hwvTe{XFmcy=#5%v%Y(+*1DJB zx~~5@?tL7;yyI_OMQKflWy0{YLQd-<#SxN6g8Dj3XdKO3b4v= zImaZaEth!im-N9?#`n#CDT>~xN`1w;)0_VklaZ0K(OnM7cmcr+zXtB``wLvS=XshL zUhPs}@5!|{G;5b!xVg*Ai#y6Yif=iYnmK*XSmEAnboTex;cj!I?%T~H%|Xghk78ck z`^^#^D5+vq)3#6{gxJ>E#l?Q&dpTF#=;yRIV_%C1UboBIQ82CjuNT~^{7jtuS$>*9Kfm|qr zZ17Ee{R3<{wl6%NK(jc^@|9Tw!+P`f?QL}Zpk!cUtHBJU>J|t>$x+B?&4Xi!Uo>ls zWXBy$7=bCyfw-qzI^>Ef)%$+6P_<_$SNX|I(PVKw{Mm0 zl=RZ&O@6uke;5ztiD=)tA+|g^>v7G=SMH?g4buwSx{eoSJPY4F6k@uH9Td8y85tOk zsjCN9>?NF2l0wj61lUBrh=}4M1H{Gs{qhLU2%n`_X#J|L?u>`W4@7kqZO^x+q@?^i zs>T;Euo#Zw>%-jVh}O_l|!T`|*D&^bZAH&xnn=BKT=o|KojgN}47qZY9t#8lk< zw7-JPp>!i8o(RpFMmh*4rK#|$9>uS*vD~7fAsE@3S^5#kriYH^-n5HfvMoQOsF=%6=njeLD|A!6tUtFSU17m z0upxw$+23RCSg7)kOxCgdRo1p?ijh*^32QY%;Wlv?a#Mma;2FX1oWg{qHsSt$>d`| zm9%{#;gg@ziJR@?DvnVj>rPgb99M$)_^!D*7_uYM7BDCYm*4mmXBl&FaP*Fikuw%D z{mm3d>~LgpptP>w1cLqv1C1J2#O5ljJR&xL2Dt6#*PME8Cr+=K_v5dy7>2Z;#Ks2R zz5Br-?068jv@@~?2ZOK}D4zIc4MaS}$GZ|fnPdEZVvNB2kA-H5U}agfq#T5Xj8UkD zaQFvSeDSq`TH|>QB!s)qMqdJLhT%DvAh*8c`tS~eAJzK6XK;p9fBqa|-}f%pq>hyc z*QmFkIfhTafsU@FeHwd?Q>;>+I?(>p-EXqH+>YBeoHaz|&{W7~@+w+ZbhKWp^ouA4AfGyJbJl z1duy1I90tMbpbDp*_(ZbCnH5oK;T^BTL)>eQ)!xqY`%$Gwx(BCc~Q;^jZ$Os!(}~W zY;0@@);KfvH4NzI`z_-qn)IfaEO*y992!v(=EA|k?Z*!n%Vq-)Wq^>lQG zr)&2b7H{L16&t5B$v7@2`fK%|;furSTR&A^^sqdTrE;aYQgz4T^B-m#?YAbKKt&p4L77k(;;=xS?2=}|@gSGlq{>M)S~$sE@c)srVril0!5S~RcY z;o-SOXM$W6=Z9K_+k$>(Dz;GH&!4BAonKbCGB^*mJwZtl&O3HaP7$~@T&k=VUvhW> z9l{$0d43$UdYs!74TLd9aUP_{%2>@d;>nNLHVOoNa7lv-xLMF@%sXd_-ew>v|-{N_KX?nRwD>yWI$e4T8nz*)y}O#)`>z zoLHwC6>cuaoa%hb%Wnl*C9V&+f1mEgjT_n5V<5d~rfbq5D5X$(vQH7~{SSn7ab)#6 zIyxY*nUdA-LatGX6upeQg#uY)bGD%@4v7NG)XiD6s`p!pTF=zoH1|n( zF7x7O`_xNUd#(!i@Rixc$FZR+N|iR++TknpS?7|#NFMZt%R(*_f(k>A@bmRuk6_fO z|NWz<&z@mm&f+L|Utix3Kc#KR5kS|?xnGL-ti*xA4NgI#FNoLw(l_7&*)K0TmN-o` z;Hu2c%QM141hAazG;tBw{hB{;9JU^@;ljQ{5*Qp3@)n>uO013nUxVO<@+eg$C0cUd zeE%M6=&{U#(;cC$Uv~BcQ)Ojtu6>{zjy6BkMr1vTg3EdZDv7qPZXUREWfc`gW#ud2 zn4qO3RIT`*3gTA5Hi2#9W-=<}G#TRN$fUv0O=k_iHjzOqJyL;&5(}IQWCkc=P zaZV1xfdvGQoCz|P8DKE*-@)PG@1fTa4-LP{hp+uawj{nG}v z*k#R1_gio)FMe3GzIqkH(bEc>X!GI6qhExC&hp-7@Xe}TIMjZgxjec`r%kb4u)gu{ zZ3a329h~BsV~!qj8+d7%15QoX>UytVSQ+(Z5ntMS?^d;_@I!99j-u)e?$a>`xHfLu zRO~dtjTj2yZ{=r49bMgP6W^urWqvzW@zv{eyE*?lm<6$&jUjm!EyigxDq*uUP&lTWh`Xe27~4x6&=fd18E~rb%h^^E{t7aVpS6 zuYWDu^*Ze0w5fjHL!M-YM7x)QC)NJ09kMPJ*RS<^|D8$B|8@FXn!q56AYVptLmIYe zgZ%sj9l=-zIpzz8j|Jz8uW90Tb>Yl#{%zhNN)w6ayQg-4+H#-#pz-dK$I@TIZv8C@ zNf%t7ait*Q*kRqgg^Xf-`)nf=u1r;_yFS|w?wpA*nDFF4?|Jy|Y6KI7x5qy?ur zK9#xT8t%sXjy(^`XftI#R{oV^l`VVA&v09?EVte;KUmW+0 z5~UfP*&i2L?Qog=HM@E)txZ}yrepO}Oi{3iL9R@>+T``{jxFNU?(uYy2a9@2#Te`; z(zTj|Y)5G`IqEiVk@FARCTemcM2=ZJU`0po{gywAY+b9XPHWo6{alxhb35(1&A=$m zZzscN$5S>zCB*4p+ z#wMejyu8=d)xHlO{&hKeE`UP}^vYYD36f6Zzv29BVP_Xu@Mlxcz9@}t9#CE`4cCF( zyim@i?Yl$vYHdb%CwSpGTP@myC?OPbK|8K=K#91Hw|NgQ_TdLA}XKq`J8qqHDE^^Gv*c&o;(?syec> z=NE_e1l>o@s)jdBt95_%+HoP!DV&DoKY$Z`bEr!xafc)0dJFKTC0fpj21*=+po!R6k! zcOmpo;<1u6Wh9hIeRX(bBx}@^dc_FKg#zj`FQV%n1jOrGq+S$TZ4ZO_1HXAI#tZ>Q z+3Ly?g=VUn7Z`#UU<1I?V^-wTG|4nbNlPP2q5*(H*+ZCk#B8MW3X?iI?ac8XG9#Im zd4co==Jg0ro`FTc?ddr3`3J6H7y!fu#8aWL=ot)R)o(|LKPhfULFO@#M>a4i$)@cd zN&8_~B30j}uA!lmcZCK?WY~N4b$ZeCtH7v{Iex%bplf}r^oS#d0`!69zcBz+-odTI zs1So+9U(C?HXPw8_{=1Y#;^|5>a883)9qhV{`J+Eq_E3D(Gf}>m#Q|(lCK)9t`%jD z-K9=J5Ual592KI#(-%8W?m!hp0_+RWoWYnlJ81V8vM+uFOfZZxBR@Ys*qEHSUr0$8 z;1N=BlUrEmo<0lq|DQZZn|0f^<$9qPiIJ-3hy-wpZ8+npT7PuSDDn&>eX{o5q*@LG zgrsASP97f$#92{{T`$s&;u6rKxj7GqJ?>rWk-G7VT}U@S9%T{Y5)zlq%}=AH0J0Qp zH5@8(aN0?Pd-38$GDpOEQBV6lKTl0o9YE>RYt7uPKB>)5^j8ZT@8JsY6q8J75fJy- zDi}sRALe{*sb@PyN!B++;b%RnP_DLdZf>qv1_+5Fgo#<|cPQO@j0`3wrb{EU1!*$L zX)M=Ag@;SE6tcCt-SbASc@0%(S|ttmQDX}WMWjJ+RiW)P<>RdR-U8j_ zdR5&$=?nftvNT-(Te`ztY8#@&kD85bsBt3YTu8}=UC$9G2%%>#c0MYrzLmR%fz$KB ztdH_U?7DaM_uuUI?@t3{Uz3xc{}w4A4b!fkfdOCL%oK0{@bZ4PaB^}YTFYw85TLGY z=McAj=T$DGyvi*2#3x=Yb%bL1=!t;ZhoPHXFE;-Y#1o-#=f}{{9oU)rVyn85yv_M*pdjT`D*e=MgwcjtaBwXGRWB-nPn6G z$Qq&(Kr7=tcyRL364^#rcey}BmJ6N(t~g{7JpN%PQZ{1bV-zWW!v#lj%Chym9@(o< zol&Fn7L^q-gZ>`SrrB^7QcD1knI2`wS zQY`1@;p^6kR`z~eekaVP7wW63JW&^wXZJ&n@Mlnz@VM+89E@AGtT~2ooKQY9209TD z5sUk~Fy@b=qm|*U)B4JJ#NX^Rdl)O_5)AMhVeVb^w700x0hE=4LYm#~g<$$cQH@pA zZ)|0C25a3=JTCEFb@f16>G<@S|B#*B`c*-sDflD#maR%o6l#J{P$E`}h$2C%VX<7> z+S*V%7%jW{S0hnn%f@K>6)^aOg26i0*4B=1wLB;+9FJ2FX%CQtdTdziHre>oof?hT342s>B?4-xu%E>i$y)nJje7lSyn<%w% z-I56JeaCrsned?LS5|+V43JYT&d_()z@&sMu^ZK`U{w@7#>`Lyl5f%C2aL@D91h<7 zL0A|YY;$5*5^yIb<|E1~-y^Gn zZBG@Mj$Z%i>E*Qs863(~Mik8GxuwE!NBpzEXRlFMSy`dCOEq%JnQ}gtZI%3X+;yw3 zo!hE(80fPSe^Q-IqgEfHbM0}{xo6oEa_1Wjul&IsLEj!%AxM&2YxaXa`s`fE_~*a% zsvCH3uWLYnK2|rfa&IqX#Nf%fs|Pd4ZwGz;tXW@Qe_Bz|C2jQJks}B4<{gJzuX+f3 zJ`>kS(?o3$OWm`d%qGa=v76GU4`}c2orOW!3w0UsP#|<;-i86!GZGms19TKioJTgzt+!vV?e0yrp(A^}a zYOy=eKIl$djSP3H&h@>zj(r){ijviZm96Ia9p;~~CHmR^-0KSPmXw^Hf7%40AykQ9 ze<9Es{eg;&0~UfOn|WLPETnK#0Wn`TCd1 zz3$2@-@G4Xew0rZb{m;_H;{KwP$;RuS3t(=g}tGt6 zKh1q=7r&z7gFPXVd}91t97eARO#Ni3Z}cf}&ZTgaJyksxdTJprHYDVF{l_yhjyE&D zZ)Fts6*x>@@lQXsu=~&LmyI^Jc6&8msETf5aM&*!N$p$iGTltyCbu?(AyIf;L)*^l z$3+e^-f*M$81ouYxjtPBR->!Z&$c_Pdw)gBCRmrlAnGZtK8aWS52BMe++ps6ss2fdPn2`g}x=ovrjKi zL7q1#QmwGNMK-P_-Hgg~miZ?Yjn`}K`*%-J6;kH;8(i@oEL^9`OUp5^*C1++X4*6= z%!~Gbhup}4CtPMf%M6N3 zAC5(BQY=+|Dbp1ao@_Z@!+Gga`m01B`U$rM=7bvFT3x!xLACFb^rbmvfzNJioo-aM z9#rMxqD=*IhKE8Ew#FYzs)d3mX7Z&s9zLjts@9Zv$lMI4sHw7dx z58))K*~fvm0A>+r=04abe-;)9DhELmIt&#qAQ6yPLxg7$F>ByEbSM~iu|}3YNYzON zm@eS1&9Y`urXLe^#I`x%1T_?{58zBlltdUQ7$wl!$z`b@hXd6Z;tynyzO+gW=ro{# zC3SRGsqW(z{RGL2uuGV~pAZBIpNzQzges-A0J+l}PFx@A>cNQ$i-^>9JG|NVQbJ>S z!aFeNtX1cBI$y@%v*s^L4YXFp*h2W83#a^jZ~ghaNO3>{SMqIvHlc>DGux*t#&4Qd zuJ3W+_{Qe#$p6xDAucJlV{b>unP+oqHJPpr7nEMu=pQXVP?M)5*4_KK!|TC}tlVk@ z=bi~o!|tPF!q*R@K5wcc(P!qjwvu99GetPC;>L9sT7)-)}%*!jW48u4fI*)gBbFf>8&C1(3$HTc&HC$bl#mPeJ$tcVMJF zyAeSMIjCU?J=ZG=gnmCz9E-#d0T2jeD#%cSJ+FN5B0qil-~q)94ROz3j15&wyMo&a zRx(4tVD}wKR16R`3)v8|9g;s`0+hn`FMk`LgzNhemM7lSJyTZZgkD!wlH_iO{f&Vd z5ADzR*2?=Fm&p8Q;Jh3r=yTjQ>PymXwTS=VeLExP1|$1l5#5;yEr*GLV#+9c%O(!v zg8gbyp@R3X4n$)3wBk~3cSh5{TYTYKeZ);Ne~W_mljf_x=mpMGiJEuwaF(X;+xj(f zLgDawUvDRwKU@?=GO^K}v(zg|dU;o@IX4EhhU9wqsB{OeyEOL8E4r(4xVT7KLL!1B zj)q}-H2g@oiPB|8Mn*7vpQxW=Y&8n4b%?j8y82sF4uKW));*20sN{bb67tk4Awv^I z>m$>+BS~2}2_=#63!_?dc}52k>E|qiDB@Z>j$Qy8nWmQTdS@lcDg5u=CFh9569wW` zJ>8!k9v+T5_p`{h5g{}h$WXvp0uov=#}ij8&&J%c^IBz?i^6=1sK8Dy4gcP4;%nyi z`F$w3v!dnH2Ts`{ztmUHy+D$lI?NRrigs*QA)A5 z-Qsn5zn=a|T-NN3l}i+|s^&qb9)EmP75B$@u;z-HN#ciwT}RzylWQ+f_1LI$wQ}Sq zlxf@&jojz`SK7baZ7|s_2Ffa_$e=&PM#!C5j3P#FH*_g}D0VPSnH0zDj~<@d9yNFazc0AU?c#&wZ6RZUcX9}YwtL=MDAUvC%hOX_Ku)hg^<_03Ao(xa!atXx{NN@oz&cZ*46Z}UXg!u+L_)`yY7 z>vV+%+XgITomrPFzbjV`kJ?#$rDrbb{kGU5Z*x)VWa)7c_F<2Z3*#DUTL)K{!^A8< zUm9*sCbA7`1*B?RQM7=FJsbtg-oPK4(0Pvn;r#7@fK6-GtU>%=^KqT6g~bQxVkk_e zg4fJ^2eT?-;UNC;*{L2N#%Ea z#d^OJqwUn_)2zRTi#d0nxG>UkPlL=pllmM zjO=z2fNB$vNRrGW>31}V{KsONoSa0OAnUZi%-3O2GPU=dbkKzg-|=<)doQki#!xM3 z$H93#BE89Ehg&h5jNzJsl?cXP{ia`}WKUT#iW6=znAuwcTeH1!`c#*~Gj-RrjVPwtuz zwjK6)uuJkjBmYOk=j;Ii5$IZju0sQ;!IAi`kQJWsz;wqfNhu{#lC>gasScwU?w|CsOT)OG`?Kz6P2!&UgVCA?gP&!&L!caoO1T#Lb&WQ9t(F zAY;^TZhpQ7nj8)qG|RY(AfXxtP_pE~)C)xIU|?tWFD{k<;LD4Ptw#ExR+fGOmM>B3 zVC0~600R_2(*6mYECuQoSb*OHmjMP4Epv;5o}T`eS`#tPmL{0s*82mUsB4jVt?VCAlN3pUJ_ed&ZjwNp-#!DyWfxe0D>c_5{ zak{UQ7VLUM%MZTRe-$(`jp{EBykpX>!8q+h6RCGk!6r<3?=IRXrju9X&Qf-S%9Y6! zX>mNdF(i{blCBmp5_>+%y~VSCdyy33 zzLQ5Ohget!2TQ0~^=Ct-$fIjCbMIy6%ryVqbib}9;wqbhPu`LH-x?%b>H@2`6!Lvn zGjcmM-pwrMFW1pq#zFT;%dqj?(GH(m9jp8Pworz#HoeaMImUJJ8p}Q(q2wpDyz-Yf zM?ca^XnmG#W_*HmXp6Wn+%<15ak>&Kdy|*W<-cX_Jx}j45bS(+<|AIUmqA=%_V%3Z ziI@?!HjeQW?~c*~v2!~5Wj3|qtFOP74@)v*%WgR5Iq)^#=zMKenSImXRfFyI+4%>0 z;dv062>WMHdxaq7zrGwqkuMmHKEMscDL`B_+5&rHEe}te!k_^{qO!6w(gou8k2hee zeNgiQIW5dsdNt-)G0r&au98q}0;MtdYQ0?IBI74|w{4K7)u_1BUpc*dY+5}{^ZL&w z(#HY!ev$sfDtHpaRlOr4N`So=a2S#XwyBzF%}mpWj~p?Bk@=;wlXNYMJbbk_{rL0DKSj1_O7e;ivVdKW9=)|P0 zqa%F?ty^~?iW@-HQrT>qo@#w5Vl_lzhtL2FWq!wSYvUw%g@XfB5mJiaQ4wSkCa7>A zm5uTW3THl&2jqs*LCT$=NFEXq=|Jx%h&Ar%7c4JaXk%(2iYKIeQ4W=?d6YB2y!n{> z9QHVxz(oKGLDO0Og0mZV`RrKtL90Tzc^3&H1xcqscAk^!^_rlfqRO{w4}?XR3nFp% zAPFikY*TI%NM*FO|4J4pVt`PH!}#vqyYR@`w{I}fUsygUjKh=&fHp&#<1HU5&{grF z+JuBtfC7O$xt%E>*%QqVHxe3YxEiehOTjn~E?h)l0+Ow{vZ22IN>7y+IjwLv0Y4vD z#6~~evrn9v_Mh%?sJBGJkX4!9RK#|q{nKLAR+PY@QZ~+N8`{cTANhP2k0ej5p0w z)f1EYu+|}-Vb%2Y_uqzOR#;f59C64OQT!ALg29Dim`6<8wgqHoXV^O1vJ|)DGF~ z54-o)2}b6f7;*c=({4d-V+YDuH{2*J_7OT}y(7eG$<2an=p9?d>Acf#I8AQTeOY9< z0n!~!G>c?KH2$Q}59>hAl6aLrLj|;{n$AwA*D*{sI!TzsZ!gZ2vrJ=H|L{XV3wzvx z0WCy6-u@o%JD1P+3wgULtk(=v62u=DT#pr{p|oc$y!u}LLh+bij!D{v)Vr5zDh+oH zbEFjQuf513SH|pgMvK29M43jwYkF%(SnD#2JicYLRqE_qIz#V(`RHCR4Jo~zzZ1)k zA;!tuYw%^;1BnVl$@Lai)|Ax@EU#D#v@L9i^H}+S;6(?e#Sna5QqYoz9&6&`qag^X zJjI)^=FreG2t)7ivx%2<@29zTaTez{Hi-Pp%!n#O;VJGqA>z6M*eSIge z1PB$3f{@o=zKAzUp`{sVJF8vkE{)>v4-U-}T9-k}l5+^9Ou}Xj(Nbo?!{{o6wzwYs zlykrvU17Y2SS`RiC9MM~NFyq6Vha_^fam%@!T`-`yn%%ZC-DWTv-#6UPZ|aOy8o7hICL4nHR*{78+Qvc^IMYF49w>IUrFa$Iq5q|novSMAx{fDzJ78A zy)oq=M#o9Up??#psa0ZTI8aorBhaueL{(7qGFCZo9&pTbclCMMGAkhJe}`y-5f zO4@3srV1eLoUNVH!O3RPk;hMpd$E|DZ{BQ9QQ>UMHq2Jl$Td0%!e>QuI=NyqEKgqO zMEGdZEki`izyz|R1+~ZWEE~Re8K@HR570ReDj2D0_gGojjSOoSK1(ke=jj7(Rgi)4 z{R-?qX{Lpw6-N{gTyMZo2Jagh+MaPr-ms3HelPO@HC(f+aYr2m(MEF!L)-Aklu+>s z3M~azT7U(~tdZE#+4*;?mf=9{3mmR!kmP_mQAp?4ZpA^J5{8-wWbXvdR?yt^kf2&$pG ztFS!?F4yh8B!q*i2Tm{P=!Db{ZlNl&=~S(3?%3E^q*%92>Yhlq+@OR^Nn{!f>y(=< zx@|-AvO~BFM$X^I=S0?ZBpUxOS!@|Q3QYC@=V9yZ=syPWVx)2IDu^HS9|Z8gk8ZPi zdV08y{L!4TqN0LG9#}7*U|f(vN6WdscgwCqi|F#uUxWRID+!Bik_^#?NMH{zNZ^*e z9y-xu2<7=_b-qB=?(_ricBzfX8Ev|KN}A%wdE+ZpxBN?!|$ zc`{nT|H_>>0e*^r)WD>1B?oddqXGgK$UB5MhPAehm=HYmuIJbsr3GEzTu5toKA3scim$YlwOkKm*lbr7^Hg8fQn z*4D~MvT0~(Yp1t^o4N=wK*Di^8AXDot1HVh6Wyy3g`7R8sta;EuzmY6+1;s1$*)^m z&&$SizR9{+uB5E|VC9&#t!)sn!dMF{psP@AwjyKPcJ%rs(v>z+l!gEh;IE)bC181j z=6D3-9kMRr>M$~gFWF$6#Z&A_w z8LI;L_c8ybvoXPb>S<^L8$p1Z7IZKOhDAF>&iCzT!bLb=qKWR)_Kb-!2)uSv&E`O` zD!?Fd@CRgcK(-L#71H3{jfu|d8(`rgltU*ov6!Qdq(vjC90hefsN{+Q=UYdO=TL=R#H4q$bT2x9y zyuyw?gW=Lf%>_=c*NCdobxx|C=C|ZT*&A3S)uhP`3ZgL_i`@SB)uHhDIhUz5FCB(9 zlLmo3XriEB=^g_PN%0Cp(E0u>d-&r&#>O%|wxOT{tqcje4T!70b;=pFproxMqR7wb z=kKGqzIS{))=H)kO54twQBpgEV*%@|*uKxt-31%~)&owDU5!sNpx@!a4&-;KzG-e| zMA!=~+qV8Bg3+OYj07e3Ue~rk1BY;sd>l!mc=nIK(!|3W&6<=Bw=#wq; z=~A{btC@84BX$>e7s@dYvzh@3xE5d|DlGi|g=;$KUqu^4nbGUL9vfZ`6 z@!VX(t6xt({bUX}`ATdOPphREnH`*wE(v4q#A1h{+)-r91MRT!#rjRC-}^TT-o_&j z5GN=j!zwEu`ARRsGqi5x1&MZ`@whs@u1TXD`VOM4mp;=2D^U(EF0P!SA~WP<(C8T$ z7(4+p1M{PU#^3ltY&HJt@?{k0)Y<1v{Vw7DD=Q(fHQ?0aB(5o3f~8(_90Mf zMDqggh={GI)cjuVN@z#mFlgkPgeAFHiA#iB59a3PS#E&TR1kcU%}BD-s3_7(R8T9c z9*;UZj5}!aJpQ5sI#A%oxol>3$IB~g=dSGI;z7Zop~=|_jln@lNjs5pn4ovl z+joO*JyQg;LV+~0Sm>XKR>23}t+1N>vAC6sOBskqs$S84L?7rFKw6XFr%6JG`D+dP z0Yvf(a+d}#0f4u|({X=&-oVm{(p5|H8oEk2bds}h5j6in!Ug4ZXFig1LVR#K|9 zzcqbw%B6{Nra^Mzr)uC{Ad6Q9A22tcU;0dHHU3r8o&pjD<_Mbqx-HK>Jnln<7@s^K z)>R_T;lHQ~z+caT{ECYAK=+=HZ!Dgn)jHT0Fz-mo(P=y2&K-r=ujt&RBeT%Ygpel) z(HEMz;P%KxZxCXcE_aMW&Lm+b_?c%YwF&APM^6UmFwc0_082`-4Lxl68Lc%=kzPyi z`<_;Y{ve>eZ3}|Dp8%x--4IgU$<97Nx`bmfQJ`8V4*`jOw*^)uK6*Q`4Bx($M{J}3 zDqkCE0;r(_`Hg6M8;Icoy}tvsK^V2(iHTMGt$B2sMXp1lG%Qh|_uHkUqVXX|OGh?t z+_+)$W>Z{ar0xpsj&HFo_$)DMJ=Z>t3mu0xpQPl{UCKKIT_<%3csnCB?NyCY#j%0~ zy<_|K?KNc9N2h0|rbrJjK0ZES#}UnCliPPjhwwz{90wg1$MaT{J)%w1K|C+PHc7?^Do9H91^OHy#RyUYovp@z#!txch^veh zW`da|Rke)#N_MC}0gnl?GDW}m8qpJcu^Ozdqx|f<%g{E&F+MndkY>20e|;rCnL?58 zyU6)~-Yc?Xjp+FuH`rb(jPD6m^7io|?UAOhYNj&u&YrdztxF!USwH8j(ZmwkR#qQ4 z6^yo9(3cT&R{hfLRi~h!+@^?>Uc_){3$k#p7uq-N96V5efLRY+3zb2x{@A^qfio&9 zp`M_AntPe?Q%|dPQoDo!2gZ=|V!}z5S3CdM!SN2L9i9 zw*Qf9Vvo*+V_DoYTJI}}IK=EL-+SIaz)gB||LD55xjcHJ#GK@1&rwx+t7s?TpC}pTks4?gCP4%;Ptp!RhRrr zj?F_($CnPqyUB|+lu@5k`0>rgUuN@%$(}`CQG03;&Y!|mtcpGVdfASc(ci@%E3>}_ zxm@qGjU2kj%0hd}(%FeZ`-_qbEpKeZ#?6e?syEZeyPuA#v&wCNq$>5qcblj)z53(J zQE?%6$Qv^RZHnykzD{}jaHHSPB=uc#{${({#ZOME74vR1*xbf)`OU^Ja{(cD|M^*$ z^sg-6Dt&@kb8HT+JHwXwuP?efvAfL_j6VqtWxCX8w1){4)LGuC&la@TCvVqZ|8t9v zOMjQij@u8b_S{P-ZjTQ!XfUBq*-^?wXBg75ygK&%(v`#|&Z+z36PMc?Uia&&$Ko^W zNFuYn!NUIDj;qSm&(0jl^$Q98uPMdLL*#n+FiZEGaHymAByYBD*FRItEZ8-OM(9sPo9;}lDp*ne*o_NKtccj diff --git a/doc/img/RemoteInput_plugin.xcf b/doc/img/RemoteInput_plugin.xcf index 586a14cf4fa8c47699b37c68a19ba4b5998dd032..71926e63c8e050a03de2d953119cb98e0285ebb1 100644 GIT binary patch delta 14912 zcmeI&2~-qUq6Xl)1wyl!L>+|&amf$|M0C6&;zk4FiW((|fD#P~;(|njC>pI&6!(ob zHpVSuM#T_=+EMW(Mq@Grw=AfLBJLt8D!~l|s^6`;zd`fNo8vnN4c-{hC|^PI2F!^Cb$*uh2O!` zs^$*%cXi~iY6psq3`RpECoBHc7I0G(*Yhf1rLQ@j-N0CqjZ^3G=ivx6pUa%_+fy3ZJg8^UH<4|-4@^$3EYFa}PB zb6`3|vmUz;KLqpPWmv)W6k$X7x~3#}QX~YyP#6Oz!#OY=Zi2huA#Urja6Q*67>2>o zFiDm5px0a^tm3vg!S%M~w(SM6RkEP)T1tOr*U^HI+vTM}Rl zXzxmf8!ahK#P&(%r1l9`g;oqyyBUCwj6;&IothR3@(!ltkd><0V8;cxLk3V_2FCiNN@S^kg~n=|kGUZrq_9VJJl$OXkqkh~vVBKIZYr z994GzBasnVh>TKL$&J!MXXpX_U>_I(%*7O(i^ z4ZCYf!l(f}AvO?VKF0pU<8gY3uL5zHpYiK?di-{{A0CIr@HVXFj;#;fR9PR6^+rN> zH~>b&1UM5eh3nyVxE~&e#qc()<&LWl-I%NoZHPI1)N6KRjMj$}Qnfyuu-2F`(AjLB z(8!8>r}bkZ_Cr(g`KRvY%}o7T>&Hopd34fRm<7Lpc+^ZPLi`p)vy&Zoe6lO_f?Z(< z90te38JdzXc`;9TZv(_LFsUt%CndwLxKr>Tl_JxsfEKGq5;Tk5Niy4#q*^(<-Kc-k%KROX7v(t26 zD>BeHaHgvs8@jYSUNH@;QW{@PrG`9NdL3dhl7bKqg)wk4M6*&lkIUz{vvtr1j)Zu& z&sNtEcBG0Ll91X^3ka$B;*@%Y$LGEZF%;&GLEOll=Lh@12pA8i!38h_X2Kj*)`Ro% zkWdIqVI?ad0|Z1kvpBPk4MKF4P#u<3`LKV;bUk zE*ZBVzDH9MjJPgi0WwP9L+&aY*aWtMzOWYzhjB0&roq*43*4j1x^Pu45(;1me8~O4 z1~!4MpfBtN!(kjuhG}p$+yeJ7wJtO-@2?|5dWI`$s&!z7kG7Kb&v3LNky^KRgbL;cZyU-C7^IL2uX{4uH`x0nTKyPINJMS--@QJ@?cv7u&9A-MFpVT)nMK zG|ry+7vn6Qt;_bNyw2`J@OyYx>%`CSg(Z6(Pu_t=Z^x53@^_#!b{s>wbFhrN>u+2$ z7F{zIT{9M4GZtO*CY0NyDG6p=ml-RB`7#Pra6cDeL-;zRFbIai7&sZuf$4A)+@;Do z@$*AS$cL9<1$Vay8^YHig+VYB#=yyN4orue;4Y>)`?Db1#_=qqK70uKu^aSG(x~_m ze{XLbAZFB~EahPO_^uL02#adR9`(Bk4)UbBHBZ=!zX@N<GzxFJpWiM_k?LCe-XnVnmDqbG-+zAVcEa+*|mIo~!c3P6f z{kN?Y${tr%KFELDMNk@tIkE;U?pCyR&VwZ)eI%6iXMZg2_o$;@P=;oznUcj_q&1oQ zeq!0REZa&r`%}E&oOSlnd{J1NzWMO+Zl4_4?(~PM z&-XnnHiFROmReQKRIZ+Srs{pIs^nDBTc~eg|0XD{tNDthsUSq;t2J4cPWzLH}uS@%F}QRS6d>G~HQP zxYACbx31gpTwYhCq^i2Mri%XCj#tOZlL5lUjE;|^)@&R}LM0zizI-tGvU2`xSg1dy zvRI-UX-})ltE(ys*LTxVrAKm0mLbyWsQmIvGeufio=Cfl`mxZ@mMYWswWN>SDfPWR zze98d8=U2dA}#A)x_}PIyIT5*PfYoPd^)c1+SNHOw3?M0Q^GE4muTwJpSaf7Yf1C} z#gfuRQ$k(RimzDGBGL3wT~b;uOIjtG+7BU3eR;*$QkIk>(h_z(zR@R-cMP%grSW$* z(pBHlSr>geq(7RV*DlWI>LQ=f_eGQc0OD5PnSYj{KUSQd;%nL&OBzZ3>TO`Z_`P8h zPOh79a@~YuLG6C3suS)vtdt+t*3>+{k?kTYi#~DGO8qk7(&k@<98`anDF4+XNk$|9DRRNR!0V2*1;wtH5InA=zS}v`tIp4BOmOs6r)?`^a?UO#4aIBUnpZVf; zs(kKWvix5=o;sm?K3AT5@u0l_9Q&MF<})X(r|YH&BSl}Hf17jxq0 zONo^B`tr{0ot^8M&PI^t4a+;yz>4V|5+3!ThqL{96q>9}gThJ6hBd2c;w83uJhF(+ z3Z`^JjV3aF5LV#~AHv#QhX13osU zfx}6QSMHBKS+;xcKPV0QKD(o>>0l&jZr^}DK0S>F7Ok{1-H9YE8{PMzU9OJyNxj*H z?pwzm0DWg#nVLtDmM-NT=LFESEIO^^!HEbOV0kQ76`iGt_b4^J8ckYC)!i(S^o&Gg z_7Uqo=m6|t9Dk)9wS=)P58uQ*H>9JMwf0m|w=c@B=Z08`{j7w#n^DrGy5LhGRwS1d zQFk}WqUVNKi7#0Rb+e$cveK_kfDq7B^3o@)bEyT{dKQL@0||rlzo&=x;d!B z+zP)Ib?K3mjw_>)qbTiW8K9=KZFRvCD;8kiPPgv0qBULD7WyD#%$sV4Ev;Q}DOmkt zWjPmCcZwRH%;=NB*0jbiRV3A&Svl6xidL<-(4WRuMWFrI zfol8psHMiLsw%kSN7wINl+_dPYce$$NgA0FN08S{t=}OHy{zezyV0864fRR%B>vpH z(@w4G*yxwf*MT?8KGQD^Yq|U)kjrkxFS-A>)4uGK|82;=?3B70@&9e6H2c*A#S+$@ zj|Xb{%1E4TT*aUs{(M&0yPJZZ{mUsZSKreYRRFNAH$O<#{EZd&-VXc`U#C^R%lPNKmDzc z>PCe+N$LyqsUP-bGL{!@FFRV>IyBs=S8aHxx)E@X z()U!N*b`rIAI3t%v`~~j7-^CE9!jK^7Af{n3XHlQwd*TB)v-iLON|hNl!A0!kWxI) zE=Y-Zl2%rb9yIU!tw*k|4*I(KR%V~=S1uhH&WiE^L&d5*XOS60H^}o);K6*fJyQ@G2pJ{?SKpK z2i!>t2ne!_3CarUlxW0;UjW zVrQzINc0S+MADqmDUmd5u2xO5j(L>!b!qj9xiJTG#hB__OJgiEtYVZTR`bUx#D$ez zo=997S*!}<2x~x6YfjR?txVF+^sYWyc~=W1>W3?dT4m~E$!2F$8%sT4lE@Mg0AqpJsDFOLyB zHDr9e`>t5>v+>p*7nkdAs3`(D#owhNr}$fnn^Sw=^Ql2f`=WmM=YyQ>-X;{Fk zy|JI`$NuHc4&v8~+p86K5Pvg`L=^FOY)9_9o4F}r+K#!(Z)eyO4mLN>iM}Hi%r(v0 zLR?%5)OY$=xuQN07g{uuy&u$AB85mVWzx-TrB2XZFloKIeJP zec$`u`<(YZ=U%=!5`6x%e)VZ8>d=g6WfmLmHY8jeI!KJ3FODe{?|(p?bWKe8QJmf> z&K)mitrYXV7Z=xw1q;NY55@Dsd-KZpZ^ zaTwm?Y8nHRNX*9h_%v4Fi})(G;!!+>pWrq8K^zo}!|)zQ(=Y}lN#Yka@&Sd;kT~=e zJST=dii>d->b{&UY%^}hgZM6fgn!f8Yi8CcyM16muo|yx7^P;rB@AC|KN^0}qXxSr z9L7kZCrQibX_(=ba5w`SepS-LZ-|i|498JOH<5H3nN5Bfmf{Aqa2MKm!qqe)&yl!_ zH$<-o!*LW&!Wo#2%diwTpoP28#uJXFVR+9;;?8h!L^jglh*DxY9AOdD;Ru`9?a(kr zoFl%9H^gWUhT|x$TSBWnq{{x#dn8C%?o^C9sOvaPowAR{_=8lrTgI_%((3+D^x{~z zjN_6e9G8xHSb&vSi%m$k<2s2?<3;SoUh&=#^kS^5Y22GkA|3Ov04uQ;o3IT#@w6CQ zfajl~+S^wuuw|BHlMxjLSrp)i{>RxOL>~uo?Y$4A0;typFfDePs-@ zPu#mESk1BGGra-v%QfLa`f&VOyDF{a>}QYNd8iMh%01Dpu{P6;AotTGcgC3;~LzETX8oY#`m>-r3|#kKlsOf zD%36IwAj8!x93aM{e5Ee$f}YX=Gz0*bX~eA zwa_V`m7f`?%B%^E!|mrYF9oPVw}|svq*2~k{40Lt&c|%tRCcPQv$HTCi?Ispu?5@l zIG)AJ*dyi$Mot)UjH_wnOeDeSobv*43o=i07pxNxc+x9~BK+M>%C6?sw`6(rzve8jEd(p(9buD~*^#s>6Z2h#1*3&dS`Q(P8= z5jX}DaF(lSEX$R|iidFv{#kr#B=SAtsY4P!ME{GATbMb zaRruPH8!9RJMbi4z%INg76f4gj?wBW_EUD|vakVam0QKaSNf`0xXYc8`wPQ8>LYhT z7IUXm!ADT>j`URV2e*_ZmV_m{(8d$Ub*JPi@eOe`-L4KN9)*)|24>?jEX573rm@9P4co)AGHx5J2 zhmEU%0z$6g*7sEXVw{(7f0YB+-z@k@6yZszBa&1sU}{1`69 z)%XJb2I+S5n-XtftX7f47IVm|BjzGy`H7FYnuf)=tV|Ep%{&EI2AK-5fhD&uadcl5 z8&m8FjiWtki8b%FsK^Fs)7qyqk@ePkz%AnI&JwM+*Av8M?i`x)iTQ5T%$@pnZojs3 z`?a0hukGA^?c~m4XQQ;=>D*ZBZD)_@E5mA6)9^7apN|6_coHvQ7v2>21Yrb@!33Oz zxwrz$u-Z`hv*2S!Vyj??%j{M@ag|FDDycZ=;TeC>TP$J?n!`+1)C1%5BS zdB140@Y*cAHVd!K!fUtjyiQlsuo;)l5@C1qgkJG*2zoIVlQA9humCHu7MrjQI~{cu z51%G+5xcQh>v$G}OLy5_wpFl~{{S*oK{W8ZTlu_KIyG z=*3t}*6JdwwsaDCSb&vSi%r;uop>5AVmJ1R`$N!+v6!satkRhgN9TX4B0N*{NY3cT zv-;rR>=lJ8maSR2swn1>C8h4E9q6_(jDA{Y-#I%+I{VY~zaSxy;bmKhrzngkv!cRsa$J877?h};19e;-(xJuxR-0Df|XQ~4|k~tvD zpgQm)@xMeayUH)`NcrXKwDQXkmERe;?#=HE(Q!E5i7_}DnV^0>boYGnPvpR4WFq-f zh*NPU&cV5ug*o^HK8g9b9G}5Lt#dy4i%G1(=Ws1n;YNH3H(@<)#YWtQEw~%^;u~^W n=*SE+ZvX7VQ}y%5oOb`u@!9`t2Ln@Yn?LsmD8Fsp&KUh~6^9UH diff --git a/doc/img/RemoteInput_plugin_05.png b/doc/img/RemoteInput_plugin_05.png index a5ec1f341ed04606955514156c1f22542257d912..f363413417bba2bc0a1b45102997984d9e6b59b8 100644 GIT binary patch literal 6367 zcmb7IbySpJw;q%b5m8A2K~RtmX&Ab5=#&%&fq|ihE@_YuDUp`$?nYFk2I-ukd+0{s zzTW%&^L^{L?p^m?Yv#;4b8_!zKl^!igu0qM;eE>cAP|U9Q9(u%1j6bAe*53U1-{`s zf#pC)u#L2|x}vo7b4O=5)COh+0x`w;#)&F?Qzr?5j3z~DQ|~-q`j{c`Gy*2GB8N|gAN|KwehnzIFkE#J%fXazh#wLIhkw254x9q)7+7Z zZC9Xd1FJ8jlz6=J{5(aupZhB!ejmnc~KSq_8_J}Z*H^jm3OI-pwr)PDyVl`=g5c8bzxLsX4? zFNN9mX!SVhj53?{dX_q)mP&QDOMM|X-R~q#4c3Iq%yTQl85AC6XT{tvu8hkve)U}{ zbSPfzhHjEGPMJ;*CyJV&P%>!evg>x?3|6+Z5}Zt;dS{XR=LH28eTziNq9FQb%&0I{ zAhI(Nbujs*bKuoxbPSpJoy&&zAGTxicd(L0Iv3*UQma{UZ1BI+@B7K26Yy{y-lO>7 z4j7j-F_6I*ol9InL2NnYgtpzI-HhF)>)Fu^G*N7wvx@zG(;VOyTnlA+8K4E83+Wbr zpuu-kFmM5ZI9~kyV0q+;y8)eeu8OL%c&pfVaR`~yItUR!*Hc$neOGC?y}gx#D@fYe z%G}k;^0~W>>$~UjimK{*?;nzbKx7SyGLqUJGnjdA9~JkTp9hlzD;zKV5Uixh3coLz z74wvB;yCPKquonGdquJagP5#}4@vIWEXl>pi-CzY7 z;<%oHz1X5@nXeq_5x-WheZXtx4nsmmDeyJl_H`em5N>B+czC#WU*Qv=VPcY%ks(Q- zr>DQK^!V{(oIIc}P*X-mMv|GH{%aP=fXH_?7HosUxfELC4NXM zToUJEG^2})a0wSq6z*N&@D>q?nK)KG8i8~z#hM?yDvkqg>i}DDz1IpIlS{wc?`X^R zyf=WsdnK-wUYv~@Bx`*k6>RxXHJDQLY#k7QQU){0DbC zAS1V7F_mPz=li*r^at;(>$c*MzZX|MQsc5A;DzBtjG8a2jQ8NksMTe}a2y+if5DT7 zbW3B*4lKvz-o*2_MZN_O(`J~0>i#S&PnyT`H#L7r(B8H`z27^JiwV*u1|Tt)CT|jG z+JPe{aQ^(hTTc-qN2%mpu9Qyc4n_bqP52#`FOJi(8D#exxGSxTY?tWW*h0JMr!Iwd zE}nqrvy8a@TsjqE?mjKhdGU8O{p5T5`}HWf>v1pE?b#RmULxZ~l!r$l@_~y79HgeK z9PiKl3*~^7<0yBczjIZKyS}^YK8_Xt_>iDfkIEqJ-d>qT^j|~0jh`*V)4&RZQFdO_?xuM8+}#7?1a~b!JXHJO}gqy zaVb`!+%>HD_Zx?*U_vP$6Z4a{??nf?!V1G1q3Zco-ES)zC&+7rc7-KV5^-y2wfYgk z_^HOiOzFNMhs~^EhevapiFV}rScnwWimt9Mj`8~FeDL#mFZ8=gQS``Qc*h4{UF*1Y z=%1>ka;^1h%tntpd&K33j!_P~oe!Sayq8sjsfJIecPiv;V(Wc&v2TpN?)ExUgFS{B zbR`fT04*q}ZfML*m|fDi#z97^N3013$f7PTY?~gco7HO@q|eXIaiyquczK~lZd-gV zUh9>=$*!$E&PTzkND^8yGxu7ze^@2!P!}{dQq)YVI5|~GuP-kyW@nWS_nwJ3&=C?% z?1b7gyYQQE=3vp%(q7kzNGPVL&gp2ZfBN(!GBR@i@UVIFBX8=Uhlj`9aqPz~bi)<)eZmn*y3MsUx&9>fgVWO=W?X_B0{z{2%E^LW$Ay81hNm=aS?O1#DSkWA z;csn?5)p1LE;*Hz`}t`9)xN~pd(DTLeND4!(^h;XlEMMguzaijq{gNur_&vSu%UkR zE&nMuCt`t5*rf3~@lMOl_>}kYCPLmXvN1e$KG>^duWXm?jmEO0dhAGlfBzyK{>Ri* zZ|~dRs2o=e*Osm^@#8qk9*tBZ%nwvp=d}5leIm~4RM)-?`8zZvmD`o1a_*<+i1NiSpcPOhZ6oC2nG+2ZwGDry5wPl#Y#US$xTDk2r5N! zZW`|$atOQmt$!WT_~XSbs};%gMN_70PRXx~E5`8Lp% z_vP9^iq>LX+za_nz+W-XL_Ujp^S8IR2Ot#Aa~0zi6cnD*(Yg{x;`Q2Z?ehs9Hkc*3V7?p?ovb30Mbn>~}Ecm-`W24{~etTPQQF{77#P&p$@0FWw zslH@^TKch_LBz+8kCHe|)ug2E(E1)fhKOI+V(R$qXDVGcHR~Pbl`JgieJ_uz+9oQ^ zrGc|aJ3GGywt7G-);i}(#SiQKD*FLAL0IHY@ES4`(&2aQu+;`o!b?WR!^3_~^aYMT zRQPiV)YL5zd$ou_o%4ZL>jQYZ9v>g|{u!2s>RGp4Gr1qn_2*j8CkGvo1zO9+S8+Sj zUkjuIU$d}8hS7M1S9IJo&mH;s`3$p5{duek`8@92L`9n`klWoEHn}i>K)FO_T2Bn^E{ol z-W)F>FMwo1`x2Nz;LD9189BMbKGuri9C@NAPo4k{t5<%uY(<1Zp#YC0hY*mzHtPh* z#nKFJ{La}N&c5s5;4qNF6LzvaNlZreBY|1#Gne@tb|b^slk3sU8yPn@ehByg`&%gC z+l9{e!662a-uYS^Ei!h)o@7(MB6<;n*lHN^aAv*SeH+y#aVBjx>ul6u+Cz4X1+RkwK=HU$)O+mrgL^kN_1mU#Gx z`fO4TCx-ZvJ)t2PLM?j31(8Noe%D@Kb8?uOnEV6Wu1+z(1_!N{e?;rEk%?XH@I0gy zQ$c2k+TX$#yF*CG$>UQ~`!htn-~Ik7n|E}+mI|j3c4b2Q9I1v(;y$GA1w1O`eae>1 zVQjHAR`l}aOVA?{5*a0>NQ~K9oA=pBu}+E7`ePcJA@t3uz)+?*L{Sm{0~tF#8{1Bj z^v?d`H(sVc{=;gW1Rks018x-7qs_6{cvL+3iSDRu&kuV{OY` zI~5!bKgG=Ab*AuGD?lIx0MuM}rXGLz-n}(mQl-yEE#w@GnQa(tafkc(_-ss7z1Zux z70b)Z^SQz_`20Ee3BEaB4mg=YYUIdOd7n8jX!AWHBa?%{*j~uTSOT%bX4;z&LK(F(xn=>~S)#XHXA&>VLg$`WM&HR03{)r0TuzG(UWwAS0NWomETj zo+EO1k4hoc%l@40hzFE(YScH~T~li?99mB>J5pvKU_#(a7_h|pJs2;9#}>mxbJ;IV zl0;Hnh@__{et~cNMCln)_`y37P{8VS^3lmfg-u%)-!l|OV&NA1FwDW<%ze#)%%?p7 z0L{i9lXa@0BVFLeE6o+gB407xAq3di`tm+yMh!6l;AZ*t+;-SW~QXJhteYpjW4#V(lu3ZsaYYj)oo0({PrE`PK(s0e{H zh>H1M@{)b{Uf(KUhi>=H&Cj2qao?U8JZ;j{(z;mszzJ*1U(fW<7#q`8%M`=ZVos3$ zH#`8j7LRyBp-|_`V;irtT|x;72@n<*R&H+YaI2?_S_as_Tz1Pg_Hf26IWrSd104kQ z4h^l%HMuBd*^cC}F*9fTUY+ht*Mvn7zj}?>(w2*+3@Iph#m&u~CX9%5M|p;wpL^gE z(G0Ymq56B{UU)8skSnOFG6)OL9EkRrB7st8?dh`PsX65Z|5tZ2_}WfN(6mK(J5zV z0@*y-`k$({0$u3-%-z8j9_v^HEozlD*}%t)8&dCA-F-OsF5}d3W0>yG^|j~WuUFiZ z(ZRvN!$n#J_pj*Y=jS6LBIsCIKk(U2%#DBnbOJhI&j0p0ih?`PI@31^;Et(NgHq#` zq@5jDJ#4xgz&So4p%oB`lk;=#7l*6PNK#VLMGVGwmd|4?#d>2TPdVheSVKc&2i2BJ zBkILv)kiV_T^G#~Wnc(_cU;%oHZ&(By|$m>WrSo5Oq3a2oG-`zg)s1lUx<>DkvZ=# zNSgQ@$O74b&7NAt9d!p}G|&gMiLyblrSH z>$8vb_D7WYP@0g8tgMEiVc&~bu#}S%cMvY&5^#2_;Vj8FP0o4UwS5`wgJxU{tE&iQ z>k{VK(P!d*U?42sp6@T#XB_DOptL}>dLD254mv}5$I?lM?gP^|^$z4!zwnw*lo~`m zMfWM)+rjk;qseT=-#Aoz+(T4tAH&nv}ivtMcy6qH!_F2??EFUCm~6%)}4;`sFkLokR}=rfKcp z46{_|MNi3l<}W-Lbyu^NJih~0&3MDs-YX9I6MtY_isw$r#g*NU9qQIt$NBu$S;AL*nEO*_OgGBR2LDFU0R-3-;^YjhL7i~Ar;G6+}Y z3vW^Pt^I60I{@o?NnAQQI&5t0gxP{kn3R-M<9dd7v1`UFwX`gQ8Yng{?j7|KU3MvH z>5HwBigSR3nb_H_0FeX`cwkV_=1d(ckVCPb^YbV5_9_7908pI+N4Eo#k0tue+)%p6 z=4?ZS{p1j^v!LsSdK0_8+o%l}FVTBO`?B?*HZO0lFDED7B1W{aCd(g@hHur(1@=sj zD4v2pMHs(&ZU2+kQNX4NhV3z;S=Oo=4kyrF(3;?`sN+MJ7G zs>PiX5X=@pc$ex|1p+$08aha+q^vv*IP&1&0MvRiVH8Cxo&gcNzy>74#nJjx5jVE) z-@l)qpYLC8SGep^!lNI$600G<7NQrJ)H;n)23VoZgvGLBtYm)(R$WJPGt0Xl4nHJw4rjsH)tCU5z}% z5E9vN5{0=yl~|Y#)YRqJ;~BmdL!oW9P2CI{cHzCj-&Mz$Fmp*qM@O50BC{S!E2=hm zMa=*0dScmDsCu;kx!gSFynL2$va&s4vIO7TzPd$6Pp{eLm9QiuIb9nY$^JjZ zIVTHf%{0O*!46%E0?KY2t@&GPYah>a-EmcHsUHHSQInL!0uU4!otGRZP|Pw0p#8{&90l)=}m=~>gvL|#f-#g|65T&)3xvF`0lLExM;94 z`I8LydJ&6M7$-k1)iJ9#bM0|bl6pU_Y4!K5`J3hI>lLe^^3}~vMGcL|KoUwwNwfC6`Tsi0Ywt|kg4ao{v(qNj7 z+Fi;~v(m11gPax3rrH0laa9_7W$kk`Zb8LSUBRc81Er*ZN@?|=*^~4?gO2Yz zSOu;@+B|BF*CsN@so6An;o8c0F*Z%IwlMXHT=CqwJ)%x4z6ln@VSutvRK(GVPVu#E zlOIQmu_#bK?IC@tbLao6sQyRw^#4g<^H{n`@h$A|7q$!_5CdiFZJBe Z`Vs{fOC{jM19fhYqO6)sxs+Mpe*wA$BK2h?gSF)z$u)7x+j-r08M)*vsDTmamhS<1JNnZ9TjD_GCmv zj5X>?4-NgMH)j1UjJ$t+-I-`glcQr}(u)1U+bzPRSLAU?TjVZ7Z4MGyHv#igvTSjXt^Vd~l*_U*w7*OU8wJ&kyClh8iyEd~N@Jen(Dli8*LTn&3_+ z*??5JsEkvkhsG~CxN-Fd9~GGo7-p-Wyv3CW?c!*#RnXCZ86r`Y79`J0g2urIab2oV zcjsTHp3`@Z{dm|QpQc|LE=lY2zG|QzGlHeATW?5E@9r8gl+0s^IG~h#WMh9T$r&Tb zv)JbL-295gyh5%w%OEohOHf@&QB4e^Fw&;Nhl%HGC`Kv$1A6^Lqf%2#+Q}wHX<0Lm z2)8!(u`f`@#fQ7}5cx(s$>YR7k$hY~D`Lc)zg_hqu(Pqh>t#OP34_`qsCe63bjk!G zLB^#iIPUTdVpIkRLZvlQvsk@)jgzL%WFGTzd&Eg7V-C*wM%(~YkP>uU z*h$E3|16yGA%4)eO*2FvQT!&5*bh!hb@k6vivc5ujH%c)fZZ-Za|vpLgm7zX$DzyX z?DM-)lJ%6$8MLb_hW|d(J7jU_Sa&@Y1}EtMQmuMKzZHP;H+a|bb8YUVq5q6X_&AC0 zw%px`lFz*^qd<>zBW)=a={o2myIawUM>hM~+4I7+RhbQ~|BZL=Zx^t8O<`DdRB>`_ zj)T8n5ay-5VA6P(&4wtZ*edCKUi8>kzrE64I7)-V82!2^UK1IOU}SYvkQP9_4?oDQ z>N75%uCbROH@=JMDiLSZFE38^(5>anBsZSOS48n~mZsW272D-r3r*Tn-}D?qD7jdM zpRx{>S+*OD+{fac8>Vy`9bez{gU5qpk} zKHfr%^*|-_hSk2N)_p$euKO6@_`Z!RroV%ci3#Ik8Ar;^C-Vpi^1~w-SN3+^_B+JH z#0Yqs@+Fh!wW=kUkYz#@QYN0rahO-k`g4YCuR;$<#F)-~^SI{i1m&l&f8h*SZRWmz zBy-+<_;VdE)6vl}iNRpJEGZ}`gqW#@yx)h7sYvD|77e3QNAlGfvJePOd0Y>~B%^#iIy^PF8XHB%A-YMpkEjA{` z&E37?tbTIpb#ihZsjXt4gQ#}KgVuq8gdF$@(jaYVem=CeR)Pt>lJc{@ANV>YZ-dQyTpcNJ^+NGgm45de>&tcZv)R$ojsH7{&oQAPC z=qoO#h!MR08Ltj^fh5u-`UKx2GYmS}Ttqo>zQ4kjqXncA&6B0reA9qJVbE^j=8B@4@i zKf}&yXrDidQqY%ec-v^|zu4cyeR|;1%ziEy9CQMKKiwSh#M{m>T|YEC*r*&x1gOiT<`5H z4#W1fMN;<4N;vc}zt78yj*qwhMZlwetyq|ok&!v{y?tnC$f&Qcf6wgmRbAb|UDlXk zH8(dQOf8IGS~^2H_Qw3?CLB{Ol&+7O0icZ>Ry(>}S7X(`0&>*vgK9_f%C@V0peRdC0{=Cj}#Q+TtCc*}+3BvJ9OaTW! zJ6b}Cord3&w7;Of>&qe+ux~T{*@=gT=Z*jU+qYkC&NhhpZQBGKuB+?l(1eDD5>c^= z$92ZDiaHM6Iyv0v#qX_KW7XNReA_1eNB zOsgD(U8f&pJaD?)k|pJ-rm49w^)$zRvFr8z7A^-QN4z~3PJ4}>z7>6b2D@%5H!hNx zW)%<(_KJaxO$*l?%mOa0uCCsfE=m^Os<(-=IA@j*lB|Y~2^`fmEPub$&TX?{hYC_@ zHDj@I+2I1UE&cUO4ACg9KUjd(4v|3L&guH1*4(hqE|+omVSA#|6(jC2kfrY9)_YeGW>q2iVM0G>n-VAw2TGMZO8)*@cln3zqM9${#jzI;(?Yiql=7oCt$)A(t0)DUFW4R%C0v;QI|2?>dxV6{V$7!O$`g)Q2X_^FyZ`KYIy>wg z=P3I9C`yWok0ORbfKbf_FjzP^>-S)0ti(jHT>wBAQ0#8%A8+}y{lY+T-pJ%6^XnSu z=^a+H#;asVz;w<|4x;1Y?0QoL`?91)Jm5>9xU19EcgxDknB!FlXJ=FBkdV4w#~GAx zk#0^6AuA~G3<~?+Xi3?%yMpRt69<=Gcj727bw)Vw(0GqRy-gJ~7kS)v<=4psTEy#zp7AHE{zm^jpgj?h zk(Y#?bW-_jOdD0mjT_759(b19ejXbgTn?*t+9;H@Sb6M|Jx$*7m7G(w)0c|0MF5fSAuoc!g>m*0vxry5yb+Xlj7fpW{$ zNW+`EuGGpGWo5BlyLOFP;u#wa4b21S=u4pX&L1qFscUIbJu1{{9UDtQJ}RoT@4bNy zKC(2caUuW9#^#p0yL;+ZJJ`_f-rhXzO!1VX_gOPGUqp`gb=}4S#9Lf9FVim&I_Fn^ zcBibG+#9iAw;qNFKk)@PdY2!^5L;+@*HN=NTcZ%+<#7G|Qns zqXX1?0H~Q1$SCk=a|v0LZJ=K;!?6~hz$Vr9np>6V!=oY^pau@Z@0A+~yS=Eoy5Z*F z!0|Fmb7*)Y08*-diUh9VX9bNJQPD5*og8zcGZz5}yA}p42^ zfZdsV9QFv^0ZX^8Mq`158<6oRtEiYIbb9&vJ_Y~O1=ox)DlwiNCd9_Zf{xJH-k#Uz z^;`!bEeylTYSKO(Db&`=kw?6sW=&mkAgxDLdI9bV^M9o+PTQ4siP)2JA0t(HMi#3GoXU^$ObLz zy`mM)@q?)=eA*dbDxOGxvgn~T(bSuqqNk&40SFQN8Vl%`e*La79V24|a7uuW7jEGQ z8@N?Ne42ogAUdz$J>SwBkj){#@2^CvxKCzeCX;!SV)IB%AxR`zBT}G+2^aEk>qZlD z&0qp*Rg#>{kSPHxv~2JJLJ}4hc2VuX!}UuVhss|%J5yPUL1!<}$vqYX;vL5(bqz?a zg@pyPtY7)k+?z*5I`dmwiNla@RDc$!fCGWF`<88XBEvM)?ZXsow-`O=N zIM{zKl&rLHgH1l@Eii(l)HfrB9rHoDUOz{p*=2l)%57RNgWY)1ZTG$6D@UI178V$l zhj+Np$nx@6@Us(P`yR%hg$uTvvvIZBozqT;bZX73t$4Z#ZMrM%^j?)u-X`!mN$1Ey_NFhTlku|0@`IGemOHs zA%x?3fo9sZn>Tyj3O@mU{g$k37Fa+m6tI8c7$aM4TCiB`Hy`Vu9p!J|zP-7B1c4oN zW-slpjdx}IvnOF=U(t4K4O}ZG#FO8fNS0`tAwE6+Dd?afhNghvVG$}TWk=~^+HaCPo`~vUtCr8iB%rw-C7-_!( zeXiTifViT-`|WT`M=TRy;Jo&hkC8q&BXE_i+pk&+rJ*SdrQB3UUU`j$+9NqE9LFWi zNU7jraQ`#6En|F?nJ zKL%^RhiLza-G(SDfxF88nqmAN!2Kikd!qNB*ng&c|7+|Y)4<>B{t^2Dz({lQ; zEPq5~Jr*(?x(K*esKTUe|QU$ei?yWlGuv%d^ojF^n<4EpV~{;js{?4UnH7oNfd%_lF`RIDQ9Y z0tyCdM?AI*1*!%L$pF()Y1xw|S+nv$W?0#_e~vXZ&zf#|E;H{*%b+1CBe15m+B9=g zYKAo`BPTN*%dqw8$lSdAiB?N)_QcHe&MhJ*WTa(J%+9eyst}cvF+SB2t^SMY4AtYW zP#t4Y|6%o%+-FkLGP5!)G0`C9dr2fjP0q^9vt(waJ!#EFjqC{%a;H? z`7HOROavp5lb;ED#>dOU+78%}LivqH;5zw_0N2sevlWC;Ck06zfEM{Ha-anW+;q zQ*$l(YB)?x&B~YzF=`37W<^cTMN8vTbFES7nK{<9yv*z@%f!4KD7-vKF+#idrW>?!n_nHf+uoKLRWdW@V!OS-A4D@V*oDdkvibr${KL`! zR`-s*V5wVJVrL}yv)U#0MVe${mzLY@%pnG%C-j3bGpM{jpvIek_oH$X^AiT*jjV-$egR1gqVmg8 zyFTP>cLTSsKiU+`-p{K<>e_069dq>?8xOGJJLv9f~+vd16RxdC8lzC+E z?~uxybOqqz8;(4}yh>betpYE5l)$+i%tAb4lpO%kq7%W%o~Bn9yl+WLaJ! z>VeZs@bG7?IWRECMXNJYGn$dKaQAse!dX#w1P=EIZWqv?3!EnFLIx2&NI32aI#6WB zbrun4Lc|_~{Rv6moF?TUMPiFZgzposBP=E?BdjEBkk}GuRyaa>NrctEbrVqVr!2@G z=S4<)9~kkCC4Xi^TLNt9$SA;0qeTW<2jF{mSLbyP%BIO_7?h7kAIm{P+G^_HlWtbyTp#>69IylE=rA9hqmO0eZNX`1%O~gP zV;OmAtErt6&M3~cu%{sa!vQ;BGd$}>Fn1mWN~AdyQ_mQ`yzjdPCit++*nI|qNXJ}QRmdcYB zGa$A4Oe^C8n%E;6=gFssp!do1H8(EA=|}vT6<03gVMe}!@b)9 zl1e7lAc~5O4p9VIwgdX&5L>_+a2Jam#XoG=TI483xdm$|azLrkVP^G?@1YL)04ChJ zl6MuCQlm`{Y6Klr6~Tm%CLmdN)NR{bRIG_`)gn}b-fVTC#qY59TMQC{5vfbqez5% zdE6jeW#h@5lpD^!7U*do6tz^mMq)5?l~oWAb`3`^kpS0yB|j?ohMud%26vILL)Xs5 zxaCWsQ?{JDwD)xjUCoyay}3txOgmZu+TAV7+tKRKj@}m9jid5xLYu_)e~}pWHsLD5 zFD0_ZXXwFeVV3CSMZPV@Mo2KhmIG|*$moD9rqKkp)Z}+96G;I|FUY+EppWv5%X^^_ z3Y&VJ#~V`Eogz#}G9_!ggTkaO2iS6xFOESSutjeMogn21W1S!a*UsVBDg&oZ{M`HC zuguVU`IHoT$1*-Zu+!-H>*E!}fey5TNpF(}of_EsXvg@+tB3pG4SnDuZwr1C?KIjO z`k+M~?VvZpn{Eh(F^Xg@uqnvZ99v!;dvI0yEpl*Ap^xfD zJ6u)Bw%)HG`d5aZOTJq_x&B1ew&z=VlJ7Sl!`%_=&TuczT9_0TGVG{$ii*I8qs1JL zFjS2%EDItDoM~KsHku3vGH1|-6+OV2xG4`1y7_oFC`1XG+)?^tCs05M)ZtqWvb#6JkXAl!5X z9VogjLYCjA{))hu6%tDQd3}Osm$*Y}1-W|kP2W<^LI#M6@$lHSR(Uwn+)Q3Fs(w4fO zHQ~6AXEYSFkwK$GP3iNmp30+xuSCB^ijSW)vF4QGw}t-10r|Sv`h%`dDSk{dN%61Y zHv65Yz**X%rSX)B+@;T2v^r>e*R7g@XPe!hnFQv%CYbm2Xol@`lzl~i*p$QkSwnr! z?Ad1bXRhKRg99!Ed)%-UOkCYcN6}V?D!}!B6~gtww9%pAf4q6_&+0qB;1K1MM??2a zMxhhyj3qN-_iNQ==4w*jpNX$w%7I%^XS&NoUsCmEH+yKY>Fex4!LOO=f?x8{ae1oV zg?6l?@e4vYqN51$H%&U+Iv{uXUKVz(ml$;yVK2f#grf;F2%jUIC9(T*iFf~ta2w%a z!gCU%@pn`>qT5JhknUx%HX_Q4d|N*;Jq(fd9AHmJjo(`XTk3us*i%#7EGCj#D^ZY? zw}!sTvznTRKw(oa(X{&Gy(O{EB2-7dq{Ny|sI=z*dv4P1+ZP0T^g0j;$wMfMgmhaw zce=*uHudF7X|=Cqm!;!T5N(<#8;9P}j?WZqHG20~J|TUSw}BmCnay6SkSxUL*AEFC zB-n{gJ~~Gq+Q{32N1-jBoTCqGhT)NDBQK^r;(th)Up%Uk>8AbO1b?e zzzp0G2xsJw5vjTOjsGz1qwMCCeB;&|QXmj6g^m3fUHiZuRPl(pS0bL>PM{?=hIW*O zs@r{x4NZ`3hh9kss$$m5`gmIQ5~y82`c!1#PFX*&Tp}!YY$}goM(*kw=^x<-tO3~0 z0o^4lB7@W-5pi|A#4748T{^#g>`lxSI=xn*5TcFRY5cfZ-PZ$;I&R0*GK zfML)b!#9EM4%M>%$l55jcA=rg*IDfK{lRSG4l^qn%QnnqfnUvH$_hr6QrW~^q*`oH14>_F9afi>y&tMqz4^mEdtMD<{Pghv zSt(Tw+^@F2!CA|H@u6d!Xu$#TVwN$~1!4#`LFrKT?H>G7aE+- zx|3^eQe3lFwp3fWgG#yZuA)qRr~a)Difh$QS?LKXs5L74PW)4uv#av##+Q}i@3xm8 zTfFP&ftkwOy%nb&GZ$Z|JwlZx)vzb1q~(>)h06Y-muLL=nX=`~4CV02>B`YH%E51y zX$Lkcg*z3hl*(FADHmWAxQ;GY=7?7nWz7*~i$hVq->fJ*zIsLcMNw9rLRG5tQa!I; z_4^VAoH zOUzZ2)hAIAs?6$rYC#1`24(M;mD7~{-zdr#ALy>99N45xKUAo!+YfiCR(f5pL8?xx z+@Q=UIdi6DwqAAao{Eai)0H>RS5K3bQdJjJS9#c8L4Y$3w39)FG2|6g*JrBhGZoGp zx}ah-ll&agGIoWTDxgr{jFlLX*xlyWk5`Z1aqe(7=L5ewQ~66$5EkT{#_{B;=X*@LIGBI8CcgikznZx7xss1I7@@2P)Ic2K4&pXTjXLkY^u;g>lPl{?Y)>7q11C(Y@w_ifeZswEOaP&NC}b zymqU?`Ov!f7TlT1vwwu@FIT_>7`J;`06#N-1Lv{M*}IRf>dRl=nGpNl$1t?djD<$E zqgwLXq$*pi_3Rp$)_Q%iA(q-Fh)?+5R{4u2@}ofTC;2LfEi9i1oDPg4iCVT zQ|uqViT^A2CZN@k_mD)TPb~$ibop*2;x7I#P=B@Xk zd4DdP#@gBearWcYhZMB$?$Xt6TUQQiorvU+H+7Y+A7*A8gS_XXxBH4goG)C*2M-)a4KDUP zlFvt+xqsF-km1c=*H;YY{FVJM?q!3H{F1f$Y{UQYdF!Em_9kAuCO)MEb33=p^wi~)&|I~T{3fH~?S=F*ty6V%9UwDY$Q!+QUe0FSl z%?Q4JUfkGokGdOlH-T!ORjT1^hA=i-@#a-RHw2u&wjX1kSKk!)SORy#g^?ZZL5bjj4t>FMod*qV-$x7uu3OZg z_78|bEilgm7k3`YuX`IG#&vIF(EP8(w#{S^@Y~DBKq2%S4E&!f>c?d$b^|gZqx*wF z{6Q?ZUuxRZ89CXLv(mAyIsF`l{lUZ6pTp>Or4h!4p*!ese;Hzu1;g-9Tj03w7Q)Vi z_$?eAZXJ+Qs9g$ub&_(AY?P8hIEHW%;fsWHos>l+zfZW1u$Zunu#&Jr;(Z|!;pa8) zU-w0m%LYaN|6Pd7e$#Dw+55L&4E3WxiR6zQz}tw&FMwJ}-u=v4J!qXs{}EP7YVOlm zOOM_i@-`^D9m~lkev^-FaFhfQ4*-94=F!DpXf-<)9gqKOm8J_1N*j510^1TufBX&v zt*Ng3K5^MVg}UMDpF%S>f+Bka-Q@`Ub(;?N9fLf4qbwZ$EnyKMeG4^w2g$n$O9>AW p9w9tVc$)Ah!fL{cg!P2bcX>cmVq{*K3<^fg)yeQI+WEz&{QsUF+<*W8 literal 20499 zcmeHP33yb+maa}`0U?S=*c5FIk_1eE3XuSjgs?veVGWz8A#|WIB$@(o7uD@hOguiu&oxhzO)x9fhYTA`GCcF@&tW+fYPA9TV2T@XeR|eJ6El zIaQ~s&OPCZ4mgr^HA6hsZW zYCN@zLi@2uBNw3C_?&{pi>>*^$TO#w4ogB%0b5XD_hE zFDSIlr!urQvDl*G<#|?1Q9+(SLjBd2@wYS^ScGTd~EKpR>?fMDhi>xkc9EfPy6b z`y#a@Sd`X5draRk79PV`hsUs7*ovq^#(KCJyQv>z(b$IAZyB5LPio_d|E&piLyGMX zD<5hZWTD~^`hzDNMBAr;+G#xPP!fr6|R~h&Y2EL<#k2LVtfJeWkzakw3iZIj} zO{b?;PI{5)keq4{#nxrT@p;+Ht(dAhhw4}=v)qEI+=5liEyzb^YIc5+o{KNCt*}}K zChHrowh^W3%HD5VX3e8b$<8mfW#`$li!95oR?i>jh}BT zwB{7s3i2&^#f6Y-YJiQlGaw;3*bvEf7A+|%w&gCjTFIK+iOk4zX^ET;{me z;VV-V2fUuoVNBaG{~Kae)Zz%{o8vL$!YvWDoI zmD*yfMP^nXvK2}jo0*w^iRU(L6ZB?sgV+c?OPitc?Zt+inGc>D%~>u}y24Qe4hC`* z9Ws|%3-Nm1Gk{+2%jHjKDDgDsPsn#@Pxy~26Hpn87Vd@CIiLa%7M32pK4J`9R2C=~ zv=p=o^gQTQxWxG&9AWJr1+4|W0{Sn|=b+u_Yby0*?{tZ&;|SJA=^jL8!*y!vXUt}_KhqRJ47%i-in>wde@iO6DudMAgnqPA! zsvEGq;|t6lb~K3KA5MZ&$x+~egJCxbjsH?L0YY@W23cYi*zwiE~6e`gVrmYiLn$S>y`J6Rha(1jrBs zT=G(hsl?=zO4bt)a)z>S@EaJA3ivwbhIP+ATT?5*(0iYy8+eznFzuj5|5JRE|4S#pa;lrhQj zUXekw(*Uyp@rFj$qp?t5_Sd*;0?kwvyqXHKkCdwu%0YPm0fBKuc)8D_8 z03jVrVOIW`=u*$umERW=9y#cBd)E&KN^#VTpNh=S^W z!GyH}h;$zFm9jcefG#b{5o5NGQWMCUS>1=3T|=WL>{7NE3Zd%BzJ`4L(2@hbns1-% z5tz>TV!cE%+sovVXejYC=aT5VQ+qmnp)xv_!{k_}U-3CP5i}c=8(e=aN7N!6GzByd zgb&Ql*@$o;7;)Xbp!-1%&@&(>=xszhfEl9_K*Oo8R>685pJ#E-s30;shv8K}8{G=L z1X%^L1~Myop8s;t13J(vKMfyAO=$<~^t-cOI;TL<2k*2aEOXS9=GyRsuLgZ5{z4Wk{! zDQf$RF@iD&nNhHL37ey?3E)}IpuW*Yh_^>xPwiV&-)I-atL-mL{T@s=i-4WzRzu$= z_DC31Q`FuXP>);4t-wymcd<(xlVb-lMoUnSVtW?^_4t(o^#bwSOCFQ!HUi5#mdG_tZHiBb{M zlYbRFLQWDvFX}X0@O~JS8Id`kqyUCRhyhf-o!}!BxfHAJ_kc%(bF7oYp+((-=21r zHv+ewCHORk+)enA<0kDJS+DPT0x~|vu7{Jl{(AgAfgdGq@G#a3efH`BiUSP+jR(zQ z>_#}b8@~q~0oBu3=MCICKEhIzkRWRLBr>9sWS|zvx*=;Ivm0&BN|5aYT_KM{bEqSP zPST;!LQBXz${d4Ht{RGtJT5jJEPz&5C6+akaY|?6V^vu8YL71MRFC^}?g zSQJJ70!imf1k_^AZVeX++(O`6TVAwayCP(S_GhpSqaCHVbtH9hMxdHeuz3laqweV} zXi0sejSz2-jHC7~s&BLl;;B6$sm>Q@!!b-Zi-4WzR-*zn7!|rdBee!_8)zZ7a=CyT zd}50~rqYkN7X;h}UOB++^2O*ZUfMT22HvfN@$021ywEg^MK}Sc(5af@twMCr7-qw8_>optXgI*TP=%mf82yUt z#Se;9h_OZCg{BxAppZIMQ}P67jh2NnZ|t%iLM`=N3Kgp9y!Y>penLI90Keu!#Y@K% zrq!XHkw0JDL}78P>E-z_qp|2|4mXhw+7tbO%ILTVkdsA!k4qqLfj$C#5nSIpnz36u zgAlyB6@lnJGeHYM%RrBVUSuq02IvvcX2|D*aG50bY0w7hOFf`Bvrf*0AUb=n3I~X@ zBftS<704Q><-^5z=%}(dldg6o5CFOwJ!lCTPnn|w%2hMESp1{QcL?ASyNNmNAr66U zz$-eR>agP%D*UB74ZECA+fe6FxDK6YiOz+F3Ozy<$OXu_RA=HrDL+~=oj>g36 zD5IMU`s`aP9ddSfMJs0{n7G|YIKUImxHiG&NSFofTf{8Gg3Pazh;v<>?WoolY?Z=P zb#!JomEiz^5mDSr<;AeX2aJg72&gOZ!Y)YcW^mc{nLj_C8a!+OS=kq2P(aR`*+q1;bBe7 zL%8qHd;FVu2tU2%Nd2d2oIk#&{>bx*DDaJ!s#XL^gm5Ww5K7P=s*4|ZcQZHfsud@* z?)czS&R8MUQ+LRAKTP!UolF6nC(!hcOle(jy) zB%U@m_3oe6aK7sJl;q^wIp4Yo-JB?{ynWg?ySKfMrCzdk*XHkad40J;xV#R2p{A6N z-{(E{Tq5U(kd?P`SdC^djiv*REJ^AYM}LKp*;yHHGday(+f1&_h)Jt@s|| z;i5A%OCDqWYer+Us(r6b9tj>_1>a(uXCDt(G3) z5q$6Z$($#TQYI#IzNm7>kj-E7=?%6)Gb>i`@uf+8Q1a5l$%E0ZmU53}rQW^oKaum* z<#Dc+IFRF zSK8i{b9z@aFLq6|)TbZsB2ZkH=uQbzY+v44d@w{MTuU&oGyRDr5C|^1WiEs_{GiA+ zyPrzJ`-z6vasQ+pweVEnsqRlnmlv*tvlCCt*YMXr4Af6)S}&ECz@rIaU()}UBpbU&AN!wCYoXZGou`bI!01`h zJ!-9(X$Y@@zT=7y;gdzz2x51S9ixlY{GcecyH~>}>l&f{#VU_lf993aYqhnF;o6!5 zO-FIskG_HZ(7jsQE|G<_?NZyj)Pg5E*LO+tdN&ELcb)KhVbK=h^#E@WCJ9ftnH-*Q zeR1SWgbQkpi{R2PD`1tUA2{UMF^=<;w=1hkC@A7DR-RY=oSAzHzip`8Hc#~}5xgR& zb{79b!?M(O-JHMa9y#GB3P|`;_V3Xo;3*%jT{S+n_$^hW9sh01Ccfq?&d16V_{qhb z|MQO|QMzWUCV|%?`P5?=)|bfjU_d+W-Qwo&QgEWOBA-`~m^6rI?Y-R~b&rxp5f(iF z5Wzk7*6rq>yi7s|mh-oFrj7qm8It(*Lf$AO<$C}Cu_|c&?OUHT4w3rKiNouvxZ`8Q z8yXd(Aw+1Y9k1Q~EMJ33kC7(8gV+A+HvWEf1;FXY>O5%{RjV)yIZEdWVb2bL$NZzdp9*Q3 zx>jahSG23))aTh;pLlb7+d`RU7qP-)!(QOA!#UYfUmSN72MG({&^8O>{x%C!!Ac9( z)SPc=voQTlZu4RPw?0feDHFs=8Oi&LGg1ru;s9t226yLP0DW;3Rt6u1yjn3)2w8j& zbmIpJ^EYz<^6F_6sMcEjLb#v*{?OyqnTViZ)mAq&Ilv?wV5m?0ZIRx~L3|xF zb7D=krH|Wma=6~gi*E#RK%EFWp!i)s)SuolOVzw_X@j^vJVl&49XahuUqsu#0y#vk zAHqy+I}`*l+IFbz9U^ye_IC)L?jc~gSo~Bf#m3_s+}CfwlsR{Gxyv_a$^eRu_+uwe zAKi7dfBNKD`ZAB6J-Yj7X?C>AkLo_EIeym2kddB6-_%wAy=Y{_Na<+aNb^W(mMSwd z-IU%i=G2Ca%w+}X5$UK*H>XQ88!P>Dl{?cz(`y#&s{VOt`ev!(=#vo9Tg(_?8sQy% ztn9&wU}`5-Ju))CYTAgS_l+=*kY=cz%oq_eqHf0KL;muaBi6X6{okGnZjqH1mgbqd z{?A8s0c;?DPvcq=}fG<F6Kx=kKCrRx;`*xUMHx1@eCv~w~2 z;0@RFww-L-$=Pl1P@qc;@0SUA>_v0b~|j;QwF|cXc|XXXo6v zps-*`{(P#vTsL)8O`5-{qp3+vGQ@Sz#{V{kZV_cnW^Cem(CeW0)Qp@hB|N3cb*)RE z55De2CUg$}bB4a&?3$_8JncX1%E&Idk5&*{Bp%(A?8wn&c`B#dVeK^@NzngzhD%j} zHdu7sTDusE!P1jC(qIwjGBXEM3BtcsnnZWM@aP(5;(l%ByCB@*&HNbjH_%qlb`b7$ qWqu9X1;Tya%)OxfphKV|pcB*+EP$+_c?w7QHDxVR*wJ%Z(SHE7syV>` diff --git a/doc/img/RemoteOutput_plugin.png b/doc/img/RemoteOutput_plugin.png index f2d1d4fb7aee7b53ad3a9dd29189ddfce0a20dfa..b02631a0e924573cea6f7eea27f15be3e7870c52 100644 GIT binary patch literal 34612 zcmafa1yI&oyDvychop2!cXukC(%s$Np@b;iNQ;z+bhk)%ceiwR-SzJMopa{Qy)$>u zsQbm&f2{SyFP`;9Sy2iVi2w--3JO(5`ke|C6!bj!Wr+X}ega+o85aB=V*U25vdr7J zWKJ%Q7S?v=P*AjS{&7Om9m?3DN)yT9YD5QQ>#;ff+88*_ll0g*;y-Y7+*!SMNja^h zRrHA9W`cr6BGg~vS?Uw(!HJ!`>>L`B8IzE0W}&P8eEMg@Kf`lmt>qpnN83CNhu|gW z5;sRt-q(S$@Hov7jCc6Zux1voUE>o!Ir=ZDl1}LdS>9ehYWv%?n}~|O$uj?Bjpc}; z@vdKXHl3=S&gv6% zhP~duT$a~=sqg>tS9$lISZEP`!;H<6Qi2mS>A-M(!K1b-kpNo%`8 zK_O#7enCT}W#EG!zI2mOka)QT3j>D9&1?Q3(_2qX{kdnQs`8kE zjFz6+tigm}YeSP_0(2Zdya=Z6cF}d4#rxu!!!j#V%RjMOBV2DlSb7*msy1 z+F>74Zy(v%*eg3wSnvGX46fl^j~@d@8g{aLg|9Pwap8=_F<`|oV4J=rzWL{WFm=Eu zF^n7>$WO&WG$0?|S;76!2iX7hjsJZ3U*Gu8hyPsTe|`P`f5HFPH$uXd0fC~L8*|>ioVwrR>665l zQ6%^NE12@3PeBV!3>SM8yY%1-L1a)CaZz`R9#7vP&xtwTNI^?PAt~DFWHpAv9&hBL zDuegWJJ(Lrsl3$%Uex)Iv zvc;1Gb-XwGKpGfK&LVn3<`f;`k!yS_dEypod7Q%e3btqrDM;D$iX^n6Oy^i20Jk8} zq;$-uUYYI&}Z?%_s~NI>!01rnHJ4UT5HOGmT49x zlO($P&&}NS_Qw57=`@6YZpkf`!^%V0G*Ip&R*pRW`X|5q3ZlJ_)-i*D&hbIOSJ&da zxKX3jYZSe{kAE{UQc&orLU_W8Vnippw_kt%H@tE_!QQo3OAQA3GHJRPpZUg1f``#v zX})EVfoV5RJtNPM{($M9V^7OlM7~Dx;K{Gfku2` zRBQC{!=^mpSh{~axcelb>Xo4g%l*vKNmie4lQbI0pjg=i1bhE@;#XpdPLDC_PD;x$ zQt=zisjtSk(@X7L^3*Uw;5(mGk2*6hVnZ-;N(^0sn&QVFzxc#RjT#W?ST)u5t$pz| zqxKQAQvQYhD#zYPy37>vZm|R%$b7P*pmI|rO zS&zehJG$KEj=$cyuWF^_Q|{#-EBHQ08C@P^Fs4oq85?Ju;EbS4UrnkK&!W?SJLRTc zFKR;cOSE2A2C;IQj!&o?iw&;v7!=jfG?j4VaMjjR@H{1iNTJ`Sg%zl=8t(K=MmpoA zDHKMyT8zi5r0y}|E9ET97CIiSCOTmf5Yf6UUFiAJ{aT>W@wj++&M5?E7atxxO>hIV zhG{1}KTBa+a>!Sos3*Rbv@hxnY>S45y>Ebu&Bz*AyOC$!!Tzp7f8sLX!eYS7!RmF3+sHCp`n62xT(f^Zb-C&5gygHR$A z$#b_uf~5HLG@sFiP;?}?PW@i&v~~ad_zu3s;fiu}A=O9(FTzTHAph5k0FkWs77zXBq$KNKs=6PVD(W~k z?m5diO9f&I6P)PE#5&uU#{>7rIPVt65gd6?>%#8GTB2CI;oz~6oLp5@9|AHma!ij? z2d1LiTFwg(ACM`k(WIFh>aCaT=qsUKd%uSDhMlYCo5xh9Pcp~Fmc)8EF5}dJuOI(j zf$T7BQ*8s+C{Agu9h%V)D<^9K-H(Lils~Rzj9(Mud;5e+J?p|5To5qKdF|OX@-{d@ z^2>`+9D=@Hx;JYo!aL(-65!6ZhPO2kPeq2IPD(L@yls zvl40%rFY^yvAX^1k6Dml%g4wA@nM60N;>_Qv~&zUIJb44Pu2O)@toFqbq}N<{J&gY zEDqosV9v`{NVq!d-??`ZFnygdk5rh#O#jI+Q){x)E!*)!f05xjP`E1gJXN!w1%=_W zruS0}^_DXyU74iy62`h=$}VZPhNz#8Skgou|I=Zni2YXE8?gY*35xFuijVG*@odc$ z)#8scR`weUMmq#aH+aveD{W-rzWQswJi28L)pot%B;fvryTuzlW3$WVk2|y;zWxNh z6}{Rfs4`yjYvoYWa-=bG4JW7OOK-HDNPKTW91b^hx;*vOnF6GDY+dMY2n%s?3Z$tX$N&BmPB!Q zED8(5kzlO(ai7=Qj?$yyK#L90K$1OWd9vMY zzCYj?a4gY?sH@`<^1XV)bKOl)KntpW5SiL%jbiimAUM0Z%6wT0#2cE8sK5FJm7OT;x3V1SCR8(kiseNd0-BHofvZ@(b zSZGR&Da`UgqM@M?zFCE#n*?|OQwKbpBja-to82sHwGLBu;FEWe>2dQpn(y^d&3v8R z9DDJK|J{Z0>hF(&4<{Y?DC{1Z0DR=&Jg*yuOou<-UsqX;QM5kY*_xP`@cLZ**wXUw z;NIQc)z~47(zML5nX9ClC{)^+v&^RA;7~>4#MH?lk0{9v1M3|4HW0oaQp-BKx=x?& zkI%Q$9nXHlpvNYt-g;?zdU|e;WRKh*w~c(kqRAt}$|)g~r%v$B`1KuW2SNS2eK`%~ zv6XBO?(&T{uQ7Y7bi*&xl_Ix*heskj4q{uzN|l_|$DXE9s==2Zv{xRwYAK7j7&CG_ z@1gEI(el8*7CzF6q{h;8LFP-%=t}OuoAbM&kR;sZ(d}+y{%o~15UZO$+S2XWjOE2h)p1 zE6mmI(WCakhi!zwEZMU1>--TB+RPHdmK+!ih)Tg?ZvqZV{NYArUIgLWcH~^svXwbg_eT6o%_UIskqu= z|669uK7-iMd1R(5_rJelny~1NB~N}1yxXOr>jba~O+PL=NlN3DzhI;6cg8AlbvK^w>mK8&u;K?0TCO6w?v7ue`o)s%LMCAQ2dUXA+;N!Bt2j{A8O4(v~McN5}Yri zy7hr!)|nEi)Z)lV6E4V}oM5}z; zEI7QaW#YN0y@Zj1(3Lt^qOJ3lC;3ZHJg#8MAg^D6_)&tHUqy1THgFFlG_8Il|MNO` zplg%~RNz3@M2!jy&Fb|MDfOGoKR-&utz~a3Q632Rr}i0=thceabxf#tgaj~o+jgD8 zGgX%=(pxNfav-hdUKXbEvp3hj(6P_4AzAHio4Ddu>vyJx z=YVvYrxe!R+;*iF`~^H{TU;R)B}Mv+@?$r6)fAF~`b$BEvd>!rSTgmAJyF0{)^g8VW1UJPoxUo22ih@K=}d502=-GIGd$CTrwjJlq4HjzX@+1H zp>8Z}U%;F0ic@mb#n$+aoI7PJ-&J2*uH8*+?xUh=Ska){%3&GeJvC8fwY@4;yZin= zE-)BVcm+SKsVa@jcO=Ey@x_yHN|yq8R=th7d6uf-X`ScY%JejPP5;Avgo5SJ<&kaB z^M)DxOB0p}s=+YmOqiR1o(B4RNK>eJK0`R0IDbcsRHDqsZoMs1zb08KjAy86n0|NZ zeo3X79YN^8lQ){Y2zKtpw_c-lQ9X;)9a?OptIP(J4+)YI3m7BpBXle%y7ua)D{Zc1 zp6)2C(hoSv_5zmbP`ErT8a;t7KEeZ@)RmicRc70`$<{mDzo@?_HCTIJf4P7MXrM4K zwk)FI%#st+m%LDKAv3TL8e@JIRY_AJ>2X0IaDKjxj7z5nYhI5BK%Q6A^pRvSWa(xW z34QNMg;QoZD(biDmrkq~*++>#AgA&pAq|;cHP)Vy|6X|gVPeOX*IjtwCzf2XC=Xh; zz1PM~kYA?vQ`WTE4&G_EQV$!!;fzg=2HnZI69vU!`n?Y~W#>p}L@K{-llB$qCZSJ^IjY?wJr*|DzV zZ{m9ah}kr0;uBhO>R{@LitUUgtd!J!#iALNPsS+BO~D?rFrTK2*}4=EJweB}`4dknuqV?-{`$pue<`sY)IFrVv>M{^M}G(r{nThF zo9;k3&e%ObV#aXkCgnP6dPpWTy((?-#oOR$aNod{)B)_*@;}s(x-SvU?|7sdYVY5E zsKd%VMxcw^`I!}X0KmbaAx4fne&t(=4`1AgmM@jGvAnQT(5Xv3Fsg*}s}-~ZO6TV- z<72}gJRNq2W=RzIeA!Y!RnQ@ByLvub*Ohh7o z-x<0OsVhWVPOpc)qf$N9Dn3{LdNKXzorM|KzBoT0=8eAc2QPg%-iZ#KMH98I_R82V zTeC~%X z0#}h1BK`Nlm^we&BBI+kYC0Zld%}do*liNYml)5WMAs%}t+&A7< z-i|{u>nz*JwwjDOR~SF_^f8fhm8!f{V6#dIe3r&P|MjOs!+M@aDrp`49qAZ7dY{~l z@|Q?7Lew)6>AY_LP-%kP%G27=L1pDA_A#V{eUqVU2Qq4%o z+$kF}sKOCT4qA%OP%JchoU$&+{aG=!5+91Uo)cs=WpC-8^fmBn!|!XJg!H)<15_!Y z3<#!^Q$E#{j7m*D^1y6w567K*sM9@RmiSo{Z$?X&n9WV!xcK)N*7LLZeMnJF8ZTsC zcO1EBOCAbiDZf1lWsg}JAH-sfk!gvI(=>nnXTJ<_KrrmPOpmNJQ1%GKQy(m)st}jB+&J$;i#NGluv2 zr#=SW`Dp^Co86iz-DZ|9k?Ka!lWb|$$1?Q?<*=KEv&YE=Xs?Yh)2-3~Rf7jlB83`+ z!-Qbn)4OY_fojsF+|;e-x6UcWYNIm}4~MBwP>KXpBCX>vhUiQy4iP(5hO#k~Br}C@ zVy(>h3e?jFGCz|?=OMNF8Fl2#e~CYQwWAIT=thV*I)Lp7%vb0qb zRqyHE(^H+Ylgn}?;|^}2nb=*PjtR(oaq2c!?&eAaR%RpbJ?8vu6e#2yhCDp*t0Str zL`u!$MxZBO%?5pg9iTTJRL+WckH zv$A|UG5u5=?CXL;BEyE-ILON5ZWx%U>e%a8&4$`MS0hL@(E9^J!yUoQk9nSJ$y|0%S_lkXPOzS0UMybV)Tx|t zP!PasxjHm#W-FxxO8|MFBm2kx3+Fe&2{Wya#X4NIjh`VvDdDf`;^bt+w1S{lbs&Ds z6?%sidk!O8EvJtmJMv8CulElS0=C=M==G)xquSFMhJ}xM-oR>!u)Vphy@QlH0BWVp z%M`IBMPPA;wEH61!+3QM#WGC0mb5cv8h83{L_Y~*G^;IZl)IrV1D;OGc<@b_nG&QtgH zB?$$|(yZLm)L*xh6^rhA#RUJ+34Zo=kOqqdZcJOP_@HGG8Ml;~5}tcJi`LrG911$KyFt3$Po;pQ`^&Bal>wRNAO&grEY-X z$ZWBcjk*m=siMuFbe~7n^zbg_p`fBx-zomaK$*b%dq;!>R_>d#;VHsymY`#rxASv^ zb&>*)3FG(N4`>N1VWit)14cc#>4;d=P6xAWXe5GGeO_~ONi6XPYisQ(h5>y))r+-S z{TdMLxsWS|jfH%{xmkoVB9dIHlV-h+P)|Z-5=rfrSbhMD}y%TUj$~B zKQaQlzh-~#F+M#%-)Ox*GQIdamh7LEl@&?E7oTF_gBT^*5lt*`bF&fCH_~>;rc*ps zY1ICr)^2XSoI-a+e4(bM0cMOx*K~l0gdDCV@A-1|xsma>alvZ&77Zq6V~MrJKUg{& zo~BxsO5PXNBw=mA&`Im*@i-8Rm{>taCrw>-U@TYa_HxD$@&rqsD_ekcZe@Bd-|oyO z4Q2|Y%+6{-*fvpSBP~Whl4<)-Q${WKLGscyp9_}Vm2!#GMwa6Yq1CvNwdbsl45+3@ zu;y>E=AMT?UX>Va4t$#suqc?Y;zW7P9>Qfit#ufG^r{8DaeoWaQA(wepM*QMVFcdb&Q&QS(c?>eGTl zQ&cL4CAKQ`d9U>a!2yad%m%dELfENTueAH3{_ld&j}loW^BXLg5ew zzERT`ZwxjYSHZ5cxIV{GN_lO@N%aeIdmiZ(uSC8Fld_=bQ$Ak!CXatT@?MAFdth9&Q z|LQEq2v4Cl7^J2ta7)DER$*;=NB z<^cx@f0Qm(l++Tcmbm4epG|2;=ZeOzVPtk#a=N%6;)zH1luT$JEMFrZ zy%W@G_e_vW14+E#!t_pq(f0%xUeTG`-YBQ^EC11Yz#H;aOANORQFOhmR zt0)bM0m-Y>}Lx{Lrb*hbHH33UK&5=2uoB$Q%9!9GLr2SJYy9~xGI*C zwFq1bp5#%3RzK~d(#*b@EjbDVaTq^l;+lu^(^JeG_ct$KV79(gaTKcR-Jaoj${+w6 zU?d;!7*Zh`jM z_bednfXaUZ@lZiY+5z|yPNG3qF8W9%Dh|Lt3L=w^s-3T=>CsDZ1Re~+4Ava^%rnv8 zOv$LvKaIR%(?kpy6+`bZb=uQlUZP1;AZ)SQ&6e}022^@wY+mvoDFXw{(TV@kPEuoh zD$n(DeDqF(wE3atn{sTDhUnr)`O5JN4Ua5x3B7QxRnmgW$}%MLxoPgjf%YRsr|p;pp5zt30%r|D zl%(mc{@0g*jx0i0a(%9Y9#EfDuGEpMs_pGNTAXxxLMQl(U6YWwWEC#gGhFDi6IE}y zDocv@O9XU*gMz7(j%+`5C@(688Y*Q++yU`9L#C<4i%Z2WB}%xbXASI#do(Z(6vV`! z;e$VZ|NfnRTY-x{38r_ofJKkPaQ|HL$|BM^`srHFrA);hs7AdGD zbkK_P`;^U?O^tdj12CEjC)N%Q7Qd}0m#BOhoe#lUSnK3oxJ8B_?LDS3HF}(%1ZL4D z>1nh7{(B)de3bgeMvb;|&Emd+0b3_$Rr<1L?}R;RtGf0y+J##}T=g<>Ai&xt@`c;m zn6T8MnJ|>}sQZvRySqyiPkmRTr(}zL9}Pdj0x!XHSpo>ThTj6_wuJUQr+( zuL2gx-QIB?~OP^Ek*SVw6L)7&Fw9l_4u2J&-{FRKqqx_ccc*{R#`qc5xRHD`g1;_I-8smONa+*)|eQBv17|HuV|tBUw{253Ljxi{j0V zj{6`&I2RYBLY$6~?FUfzGw(eUvN8-E>K*Nlf^}5+pJ=Y~PA?h42p2D>Yf6-L%mh3R zdVjpnWmJgpY01i$OL=huG+wQ=*e3UV$%z65?M64c)6>(h@&yE_`B=d5wVk_x_Iv*R z7V&WE#cLApV#)%34#?9#aZ zH4E@svsBNxFP;jdo!C0HxNaS%&E#i11Fw&z`c05P+jTowZb^qj#*Ix$>6xuCO=UL^ zpW-de$RGk{WlsXrWR5Z^XvXMNXU`g zcvUC0 z#USEy389ipj!jI2{k_^A{93?+aib@uOx@mNJWnRsm~?n}7zAKKR#tRVlK@mvQPCS3 znu%&_RX2WOZ*T8vo2hVICY{){v~Yv6vgA?VH3T=G{6W?rb_H1PPvmP3D11&Uj2Mw8 zUJ#sbsHxcjERQA?G1xz|7)WNSZ)h;)B%q_EU46JcKbWtHC~JF~-)`}rvf%=7okT8$ z*}N+pr`mQVT1oge1UwfO9-gLsAb?6+IbkHNx9Dv-2zC8i7tu*P_={Cf6Wx^~i@ET}ymM*Ueu?cu+GdBtQls<9 zYCAu1^D~Gx%-64F$_!e(Znsj{Qsw>5hj>CheVXrVlgUG~4$r=XzFsx*_S>2A^p9nKUmWlz1? zEzAa2Clc_81nbLY^!tO&JpsEJg6sC6@#Ed)qU(qd3O?(H!xGukC7c3a5_4$pnAcAq~lXe;=fOE%j|VK<6MU z2|wh#4Xbq7lGP|P7y`5hpU)*vpHw8c{Wl<60E(iqv9ZagarW(b0Z=~I=w6(pg+j<3 z>DYQ(Al}Bz%p4LHrrSAT#%|1+X8Zj9{rii(sR)A>A2!=*Mj(WlbEL{+V`H!G>{#9k z+p^;Sq^h2qn=>aNWHo{Up}5f-M+tUIqg3z9m{lH#YHkJ9OvRq|;FsQ4bC#!9R~ED7 z#@i3;J3R}IZ9{ug#dGDxFeAeEjI#OhAZ9Au_ca*WNx5vWp8)|HxL9fSb{)K%-|yJ=*bSJU z%tm+AApsAjj;=9#dn@T$iNQ%8p5Ow$FbS%)CHVpOUoft<=r~{f1+32b=v(x$0tLcE zS*q03)V#vN6x)ej`LZ{7D@o z2S@Pg<8_p+>xUia!;zwHVENA%Qcf8&eL{qa6B_Kbut@wKEfQrVf}55bYfEH=n;)+h z=4x#5bV`yT48i-%3}oqWf|9VzNS4sP*`DABK<+{6Sl1knh&;bxVTl3TppB^E=H?dK z3QWz9A3r9~+f{ttyY#v65keQbz(5ziA@gZjc8TsY`(9oi3s3_m0V;hGpT7dNYE4Kj z$Th#~B~OqREQ8r1*{iFoE)rzqQD-WKMMTKetb0x<@T_fYbb!*P zv!^95AF0kaTV<(~<$t9OkAg31Y)o$26J2AwxJg(tWN=@(n(&H8?&X0YG+obHRPLxX z?ZbmZ)Kc5|umBOi+h>8}=7iIYp4>63*;;!-NTn+(+Kv_kiE4g-b(mbh94g+P#$|i6 znV{5vsr#^v`fY^g+cYg3LDaANRn9Te?3^FJKPzFm+BcJx^}0CpwRJsfCfVBC<2c+L zMx9)EuE*5$nRoB%EMNuZozH*BoOUdhVjtVWWxKeY4)Hj7b7W)@+_>CWtv*FYTI;Zs zwz#MVa0wWAFf%h_HR(jqDAAD+7k>eE#xGMS9^?S1@Y++uU{YmlY;4i@xa9#<>YKZ} z@gh~40;Oz&9F}uS#3U*vIi!Lyt2Yb`1M6*hy|@uBU{#_Bd8p~=`q)z?)LSo~0VT~4 z@QglgdnQTf>)h;1fap2(Al#juoh#h>>FHivWhApQFd!=_DLp(qe2$FVT=qTg0swGg zmyrn9R&n-lcQ3iBGh$(3adCAmHp3$( zwzsCc0o0q3h2<(debVYs;f$>c!I!JlJan$JJBl!s-<=+m!;LX1(yoqRi<-ga9te z#DoeK6$+xJe9z7O5*@t_Iz+7-(*5mD_Aclx^hx0Xk9QTm*AAt64PZU1EJu=U!tJMi z;#dx66lp9n8@5KKrxTKpkf{3@)801ZG%HcnhJ%*Tg4p^xLugIbCQ84)zL6Dlq+)+C z$lwQRVn}Fc(p+TR*RQ=`VS9_qT6NaaUHks5Uu3_w%1Er<-L|cHPR@GTw7`vbV;``2 zuDPO4Ks<(`Ir7Wn6O!a%a{nc$s~b}M)bn(_!lKT%_*v|u;Hj}?HM4B7tB%{*i`DUl zMR?B^cYEDeA|h?F=f*%Lya6%B)RPb&|CPs)B={vdH9dVe5J}=d57Xp3E-WZW-W%QA z+mn@+Mxdjk!RPB-u>!~jWChn=p4$LZ-Rjns`K|szE~rZN^}NLvCZXbD02Lilzc4T`xY(Tt z1DDtN!~rrPn*8l2RrWjwR)D_^aUN*f==OEB@CxYV^C~ z`N%>(7qnG~^%MYUDQakFq-JIv)o(--T=LebFTS&~@A~}wTZn@^4~Dnlqc7!O{#OQi zj=}+6{w0eeU)h2|ebJ?ExH>&u1NzS@fU#Sx?E$A8Gqr(aoLqM%*Oj z5eo-Ch^EzDe_si~eTRZ%vXN4Oy@#4KeKLP()u|s-{d-AMb&DX2=?|*aQMhdGn_V@H zqz(naI0@-`n&*Hf%C)!v&Ze#eVf3)^Kyf+6BL$i@EsK9UfugWl@e~LH?N96AcW`?{ zt&sJ>RF3>KeufRuCbuejNOD2t1Zr7OaPaL&;8Vv+;PX(c|9!vRIw~5P1*q25R%1b3 z5qK%Po=c7Hk;DSYpjjrOaVy)#P)Z#f)aG*m!y89|koE-)4GmZ*JD4_E_S%S&H5@#6&2uYhTQ$=H_4g7#GDG)H z>%d_4;(e6<&d%035L`K&*WZx@+!%vq2nPpex6+yk%2JAL3g9F?q=AC~zx9BSE+M&y z=bDcX-3u%vT|bhs$LC~2(PUw=mT7pnm}Yy5RAe^SO!n8pBSi_7tYwKQE5AL}S(yK{ ze`I?!mYP&h>{8!XSb8#7b^n)#Jo0_nVn{^TrvbdPxjTEo^kDSlkTkQtw!R(&E(|t5d8dq@Yk|*o`(&@UtkSpxK2nl044t$VB_E`-(a~bV zV3rV}h=>RXnhrUZ7PUiR*e$leCqKCf&x&#uP#oir%37`nR0|c&Ay?BmNOx?tu6PDp zoyuwbs#v4sr`wO2-HF0fR%7v!jb@7>0(K6L*CGLekX@9JkjN19j@LBs4h1B9y0@@o z-J|6jl)MM3aS`J5Af4|eiKocl%lZaf!b$i<`g$j%t4G*2#t+|vT;}V(8_n8k?2j#j zG1x`H1BYbzHh9s|Vr9w{iz`y9-g3}pjNpNw2X`lt{98Ku2lVe!_QLt!!42g`*$jhBF5?t zEPn$&(A_&SRl!NxR8LXS5OX)1FB5%EyZFvj+ce#3O~{g=KV@fttDB>wd$n4kw6Oo= zQ?{XvPwICf#;jMbY#zttAg-XOxZQNzYP#7QS8czLJUA#nz%##2tp=!=p1wX%WEi!| zKL7-+{Z1oaRS=brq==YSlSpn$LHcU?xkz0daybaS!EN zS#Q93C5JnKhyTJd?ToL`dqHB8DCE~Q1Yq#Q#f2TzVG3sE;Y!#na4qw-4+jSaDw)}K zLhfts@2zG`c13+z1ZW2crVB?uU2_L&*5tSObQ-a$*~-?(dvMj@>AtK;lCbE1m&>L! zQZ%#Q;ItMbAss=JTaTM0OT{(k0xapxogGcz0;)_!%WL&m8whAmM=)hM_sBFFC@`&P zd9n*VLpDy5HP>eAHDgbDHMj1%nQnK>%g3j!!S{2|jKrpf0Q~KBk)Z9Xx<)?8ZNk|3oazE^vcD4)cM}AzV?v5Wiugr3ss@O@4b0@A_B>c7|PB3XJ`u;tp zgv9@7RX_MCO8=mqy3olebL21;*L2M3E6g;(^eN7`-UJrm;I2>EGZppLQm-}MTUjrU zVU0*Cg1(KtNgyrPPxDm9kep;qk2E7PnY4W<%e zoEjP6pGOg8EKPDc97#r?Ez@Er-X8ASi2W+BEXz94t0_^aS1hIHN4Ju<_!-@i&hl;M zwbS*O%f#VR{q2u0w^aFmcwg4K?IasSNk0fgVEK+a0adi1i4+Ov;qg8n0sw5m?4^^! z0O}PO(~GY6u%Nx(#21V=u_IBGl|@=xTZ?xMaiMeU!qJT{8|dlM_VGZ9R`QUB1=ArP z22r-0Hen50ylb~Z1PR4q$W-G+F^FF5zGVSwE`myK2|;FMEoLJ28&7o%*mh`VrDYcJ zeGKuY8Ntwnt$`G(8E}Mx7lTAt7|eEyzFV+stnCxaPi{B?Z*J4kK4Cz_d#FugJhc@c zGm}4>b}&ou?XS&alWjUtRy~bG76wp*bd|6h{=y{Op#oEU+w>Xr0& z5D0v)#$3%-xCpd)a!+jx*qrcp)kDjaW@6N0uZ) z^=w#p_~bdt@?VcKJXG)9R56Jg-F_<^ehQi|8#ej#&fz_C4>}P|U*fyoQ;Y_>8Vv0k z9R+}q0PHV1-Q%N9`10`J2gtCTnApdq89vA%5}mUly{g`$XF>z7k%yTXWRixLrDg*P zE^p47&#YD&gls1BHs?yO>&m86PKMWrWP~Zc=ploCz@%IIu0+EX;3u8iv#YBDi3r>b zUh;%^B=KO}`9ODW#~qe-{e;zik&-AnYu01i`%fh*ML=NvzolL*+5ACeZG9JczWSoY z`q;qsf&dOAb_6%XYHQbvD4kq)50BEC-k{s_kDz9B6_O#Ks#(2UF@Cz=RnnB0Ubf;S z@bmZI9nFCO0SF5Rm!nbylwUGH_JQsn8y_z&DG6^=qXS!)Mk|lRn(T@KXTLZ<+Ea!e zw;bnX+bqK%Z)(}CSXwpLQ5@WtLXS;2|Wc89} z?aSKgE_BcEZz+-A&-Uf^UjHK^Pwo|`II^cg8bNz|`=WCX@xfA4ib6U!z~!HHN^1Ev zf8Ya+s!y#}YkA!nd4wx48Y2ax6kDHMJ0&uEI%e!+?(X_rD4Zpp6Zf%QLjc_Ua(3Fy zD_G#Rm4v0=9_Njn{Qg~h-&7k6Y**ZN)*i_XM}I#*2)xRbjJmTlKBg$FFq#jdh|?8Bme+XZqkL+`uyZet(ZYO z)Dg7$muo&x?R=%~8F}ABZ>i0#r9tEV!s_oUwDjw#R&D{HlpSQPXDZaVaTM0C`xAeTM_GET9=`KO41wkpYSD#}8VdtVsc=%6OldkpWQ{cbA%Y z0A>Xp{uHnV=cfm6D4lAnc3{R@Ej4n1KJWK%+5;iyxc8P>-k+MA1zYd-7$jpzh5!M0 z5BR;gd4qc}K#~HmyLNJ579d<8k3+eVC zNqmzIJ<-HgH9E!jr>nu}uki4sL`9(hTFeI*f?_mm&0uMJP?PtR0H%-%*ZevY(6*i)?>F}MkwN>7TbjtTo+x0{ zss0R^6Pc_i%nnFfT{VKJW4}MbGyp^gM(^toy23~UdV&5T4|e}^c(@7h_Q7*SJQ8~@ zwga2u?_?1c7LDR&A5FGd=Vwg7`$K?SL5hc$GH>{y;-Y}P$Vs))nDe#FOn!>DqK{ak zwrFDM5BZ<$BNaFRs6ofY#UVjVIZ8*)xjJD z?P5|&Isw%N3m7Q?OwzZA04}?|m11ZCGU?)IDIF*|SzsohX^`_7aJRRBF?WQa7do!A zMgq1A&=~~W5AXr7y#d(m)T_DhQJb2JgSmvV*1K@vrvZ3X2>erV@r^?t7-Y)>p>b|E2yb8eQw}EA_4um{YAhymZc&T5)u!6yX%Brf@ zf?lkq-H|y!MN9UxQ2)%31PGVQh|smx)&k(=P=I%#s;H{I21W)JwY<^fkN1n-JDJ}D zc;ZJ(m(akux%oC@D-7uFV(`FEDh{+t-%^^nsyt zo{8@g$WUNj2;>oPa$e+)^+E*Xzd2!y98kCSkT@9t5Ehcog^HOJK(+Y*Mxs`ajub^j zKj^1Lmnv4ep5}gG0oBRGWuwal`(NlmfM9#?g zYy3U|z+&Lx@CH2af=&w&03rm^U&54w%@@Ai!~)nOg~iYUsQAgv zYU44$v_c9EIye|lKm(gP-r#CEFraE;LcyR}Y6gzEfK61HI87q*au)WxB>1JTe0L*9 zIvFqHm`OEP zUms=VUGFqGZjL)(!h#-BYrg<53SLD9P)tB9-@SVm3tj-^Z9i$?gK^Q&5wInImhSl z@39C8aX?-Mrb!>V$b(S@%Wb9*149HT4e#3sWvrlE4bXQrSCgc^ncAMWb`%=7^OU>D z9X>K(BMtD*0Yeu93+t_q4?jWFCouo^ZDwDtB_9tLSEqFb7Z-ON_!sf<@r()Dlb$c* zAbb!A*A@Qv9*_w7y%?7d4nzrFFS@>ykQggeB87}govd{LRiwPXKUkj##n3#T{37(|t6{Y~-a@7C-&D!$ooU}jp4id(1xvfx z%Q;p2={WE?9XMceL!?HaxiK0ve+A`dZF92|GByX4RfZN3s$GDDR14&d?=E)D3vPk- z-vRQf9#{boWdXbx_!p-@i;Yi9lii;#=^GlNqN0M!SCIkukWwGfmVf$A?Cv+LGqgWQrE^3n+iG4!`|UnFyl z!8YiD5UH`90jCkv)ZV$daTaTqK}z_d%K#Hd_}J=dPHxBL!H+O6zk(PB?h^OY(-R6Y z|Ky%)yb}y3FDM27<%6;z4YN^@i&m*oN}04RXK z#hh*OWP$Qra>s)lnLTPcEW@O+S?`TnSh({_4LSfR%}Rx`0D^?)M}Cof4zMuM1= z7*ygRh=&ilSRy}gAn<|DX*>O^;qEtW5(E(a(y0jt_R<*eYrsg`SKeN_`u~~*U^A7> zzb^a=V9E(N$;J-4jGU{R_KRBQ4aowz)zP#r&q@h=ZjD%EqU<*#q0a~N(zzq+qUIr?S($dn+t*xoQTF&R* z-n_D2Q>Utt;NT#b2^24z;c(xZWEZg32Ynd8Jv36`$Ud`m;4^~RgpXN5LoC(cpjsZd z#XBh}EF7FJ;O!1PyrWoz61P=yadDBBmKH5iQ&CnH1qb**7*PRr4a7%8JuVMU%7bLF zMseTpaB0;r^~Xy z3LwGBaa@AgOz<6u)mby6om+IFA(``F|4=8`a%^qDcY~CAH^`ozUAAPW0M-crOsP~j zEl{z+Fl4pO5h@Bw9_U5D$DBVyeFkPFIXiph_&p3OFy6XjNJnyGg!|(t&97lE&d(Vx zQJJ{8lYv(n3H&-eJv|_fhfVQ34(BTW1`2gZE<7lxD9AF%< zw?DhQ%m-rRv_g_iO}>fK>AFM~I73- z0D#Y>tN}b}K2k5(3()h;cfWv%rQNAwJQ}5}Ul&ghh26);uwMC8Z4Yah>%2Zd*+nv?|QUG%EpScUaGej_U zum(_IiH7A5fVfoD)H?mIm%>zvAd!$ff{Q~uv43d?dJSlT1SJ}B03<`)Sx~%+Sxbnh zn%8~Zfi0r8_tFR)v4kks&rjEF`RmH#N(s~oH=vM#ikM5a0$2zH5W%nlg%((GVY2z_ z$+`~4e@Amb(CAE-p%qm*R<|^XCq_N0H!Sj`N-HRs1H#~TyqpP~@!}WXZ=P+DF#wc7 zfrkP2u0pWapR^PGQ zGyrZ8Z5J{X0m@;9NMII-)Kp-z`CR-per@sM1h`2X$HyZ8uYfr(z|J7k7NE9U5aw_t zR~!?-^SmBho@}W;x0B+iv*0>=uM6_3q_xe!_h7#78W|bcdo2{t>*;of>H06QJDX3t z388S7v)4MpHa0d?ZRGa=b-P)4ezLsH%*q-DVK)qVi}%f17@$Q!!3D=WJ*btkPHEl%=} zpy-bDkAURzzCWx3Dfnk*CIzewPdfSavTZbZ}k5DbX|EIP0j?4M||Arez8YBrJvQnW* zDs6={NK_<6dnoN4T9VMx($-$IG^DJep-DQW($tQ$*Zn-cpYQK?eecKpyC2u}$K@ZR z)A>H%@8dXL<2hdE)Pem~&VH|oulBPH?t*;4s!~sfGQ(0v>mrobqm*&O<;bYs*E?F5 z7Vfrtek*j_es$VDkn5!nI^RaH)kkz*8E^6c83P)g4t(gD_F*r-nP(wdK414Ul)B*tFmOBjy}veM%8it_p2Yh*noA%J}iS$??lKUuZ+=ENErWC|=4yCE11kZnv;- z2iu1c!v^Z#!UPF~GIW;Zgv7)+hBON~%A_ z`{weGbZwxv*G(TOByx&~Y~ka}QQM@ezQxkg5`=l*YW37jJ{G1oL^{ppS3F#1IKq0C zq^uUdxH+haX`Ws$Q02Zg=;;Pg^Us$a9Ie%hYJQM&;hyFLJ?jqPs6YYpV}aGTyc&00 z_X)hQF-tv2(XQ2PW!dffK(v7rJz~%IHJK%?xz*?w43? zQ&4Q)D+|p+dznjEbtvzalG3`T>xC4nGb|JS`Y%jwyfvWaf2jOVK;6O4)LNTs z-RoZ*5X|h#p&&&6ql2MnxGrzkw`uw)(MGd|vd<=4yIeLp(k@kBXB{p53Qx!yChm0B z?2e-QbF}O7y#sBcda*p-91nJfS$4Gy+Jb{bz{>? zt=O%5W+WWFX)QYI<5VR#RPS42>WN+U9(=67xO1ZvX1N?M;>u<3(_X$(x*GTOjw@y(=uYTBui`Y$)jnY zzHGIkyNC`)Ewk)B4Zo)23&oL9%YOTGR8K{xaY_jI^Il;&T^j4%)ce7wWXrY0ACkNa zlh>|F-g%SJH9;}@Trv{Pe<j}EF< z9bK6g4qM(GT+>;#>9y!j@3EYqHe2nK!|E1vr^T`Ip*gizdv0jkw!V$wKsUl=|M!qHjsj~kyDlo0ma3llLPf!`}TPObLO`n(H!OX z-{tmqBZ|-Kkdlf;pW4dKo_njOQu{_I{cp#tX(#S0Uw2%)b`6zirjT1hoXk@(yZdO? zM!Aey(s!WUOTml7!^8P)`jw`~JL@5bs>b0<(=N_Eb`@CFx7TjsU}O!EkJW){P7GhI z3(pO;tNr1E)fMu%;t>VUxGq`QgR=|h!0eVAr#KS~o(8}iKgH1*@+;zDlo zwj-mXP#K%N5xQt}N9@8w+rX=A9Kk|=+j@i%cj^o*Dy6bsX~&qnVrzP*k=$w_YX#Jc=ZDJr=ObXr$tcNrOf z94jl1y}X`*VK3}XDBqFa_>NvapUQ60mTU0)?_d4y_kLn8cbm3mD??*OdQA)~k;%G5 z$}B+0AFHdIrsFM)jZ@t!3{brsx>Cr9TGiabLe%ybv((bxU~{wc=4uzF9L99@^klG$ z+g>>d;kO>hpz&g*D_SH=V>i$?tQXs$d*jACwA=*sr)tf)HZVWsVU~kd>C2j4Bgxh) zy-O2M-biItRe;22(TffHc;50O!LIvYbdcZla-a7fY%I5*F5y+)U7WNOM_0RYigR#y5zLc+pg8#{)c!r?`3?sXLW zM7qhyE_`}nha7^)RtPeIy7yUrFMnY=F*0o56Skl}tj-oCQr(qHk!)Q&EA>7&^mn&U z+aawxg+0GUs9f}~2I_|e2FjqHqNAs83K(G8uJ+=(JSfEl^Ez)9;}22>KPQ-GzkPiI zl#-^c?ju+f(=X`)5dJbUG2wJG0?u3!rffB?`tk#}0X=9{MFkZip{uJaF>FAqsj2|v z(5bMR?tJD{oDWkmPTd9${qo?*$+033I$+c&hBs~_%vjI=HNj{dM;vXS!hlgi5LRx@ zj9rD^&lgZ8(FJ*|EQwt5-u@!6MRT*S!jFWpiq_O``HTiUTNBS`I9W+VM^SIMNAL84 zc7MoIX|t=_*k^nu9_MqTkGNK6Uiwr=RC!hu7pdpnsB|~|_OF|D?+Gj~g zjW`Z0EG)C&RnjhIuz_spE}QAtEBX4D&#SX%Klax1-8kD@$SZoM@EzSbcD7G)=R}X_ z6n(tATE-P%i=&GRt<}`fMf7Sl=(KE`mS--;Vo$Bvz>z7Gm2SZHICOaF%VlXyEwtsBcG1VbA!ax9SKTSCg%%Xet9(`8jxKA+`H_4|GD`I*n3}B7N1Oibh>7sB}#Oe)G!L2B^Xx zv=~qJcF|;a$VYW<+NaUDGhz>W5o>5(m6(x-7qe`Lqj*QjpQdaVfi;x%ZM!(VnD;Ac zD=>^{uTM1JxSn@Dv&r&cyzC(5^@mNyzS~%9u4j(w`pk(u%dr0xxYvtW_3Y{c>BbLg z-j~nXnNWRAGItzMvwQTFvR?8QW3Z=W=YTQIPS-IoLb>xf*=I zxomAw#sEfvuDSN^<~Ys^p`VuCFQA9hOBH@!JJQ)|s-&z8&f%2~CySA zdKGpiYj#HVOGq7RzuP6XL-B)3iQ7Wq^a{vdF>m#do2%P8lj6-!&mEC8F)=xQ{J5^M zad^h2*aSlh3uQyY17JleTUuDRZ{Hq0x0y#hF3Ih|D#}}WQKpVg)~oIvy}OLhX4EPT zE}x%v7(BdP?X|xuaH!B71Lu&Dh^eD<^%6PGa{boUtZly3)v&?d zOS(oxw^rP{#slUBBG)(nUKl_iaNr08i5J5z&n$<_BnX|BRM@MlSFegf2aEc(C1Sa9 zyXABDC1?1dPU4WFhLLD!sh|tCgk0mCto6^Ac2l_mcs?03xfWh?D>g=3+i)J zgz(jnX>`YqNdGT1;0#mD4li+ zkvM#UrCowkV3p6bPv{kfmk$V7FmF4(w;o9dJ|KQX8JHrAoTp4*EPf`U_FRLr#9j4l z4k0Vly07{c&TjNze}8|bb}=DXo}>PNzoZKCfMUnrdk}WxVW$uqG^&e~hl3)f23#KT zvukQ6=_+^fv z{t4&5_wb3I0{p5D<`%!i@I%0Uvjc2}RY6(ROo4iFru<5fjH&;TnOuSjp z&wF{Tg0}cxMuyoP8vXNqw9Fefz5(I%udW2hAFqRTJKSv$;lQRZ+R0FgT?Lf_2Nkkh z<=o@|sqj&vo=R+dZt?vX6`(5M`*dh@h#KO!m{>Pb6CwcMseXWz@mF5nT*R%w$s>gH z48fw6gG1Qqi}&ru+9QZ@fP+H?nLJgySP*d6B2ZUCzkd7voxB>Qk9TbBF3?MHAp1bQ zpj^=`w%(5?|0QY$G|(T$w@Hl{&?)J(@j=M*L7`NQoeu)yRF2N-Nrp$>j+dQTw$S4a zRlNN2rZQH%*p}O+YYd8Wv$fxsVOmMOR{pw>`>>GECI~)Z)e1n;gg6Bpv=4S~7sx?W zvW51?p$vf+VGfcJLY<-@PJr)GyTsNHuGzP5-{yOA4!o?rTjcmVp<@tCGi5i!ITUrI zbI=UGxg~VXnl&(c`C;qFtM$E(OuJmbgJni`HcpXOP*6kvNt0!Sbs*J5+3Aa?=r=bJzj1sZzFL6xM%1iDTKd^uQ`7&p_-7azSsMY;slQI<+91kBG zSiu70Y~EU&y@f8NlJLJsr9frhp!NcHLl{ozP_PIoFnc}NDsWftozF%Nj)y5J1H4~O zXeZE)%1RZ*uF5`QH5WFL@-SysZY|e04?*#O2$P>r1OQGG1`hpF8!SOk8T3uoOL2iu z#R>TN>(@u@5ftKB>^yivN0$y^G6!H?oO9YPEH*LKJa{m2ConNF5fJg4_V%YfOxtte z*`tE{7&PdIwl>k$`4c7)@>ytEh?E8MFt9)4g>vM4M>d9{tpDr&QYk`^(iyY&@L}cg zQmZ-cbk|jA{}od-xeU=;Cj&Y7`vE=Z*IR;H_d~qRGpva2kwg{S=CJZ{@vJ-xD#eiLqkJK{{DCcEr8L_`QOp5j#ZMVwN(V2RTS z;GQN9PrI1owR2EH^{EqODD{aAWwcXjae@||9w9`);7D_g_AKl{*G>$I6ekFbS~?6j zCJBA(zxch@a=B;a5kz|w%4Knhlmpv$?OIV3*=EM8w%_hUGUKP$r%K0HrGAkQvxF=I zUHYcIryjol`0*aj8=6-S<6S1GH!AAt0|6je?S#CkM=li$7YGZx2XBRf02e&;Z> zn}?(W4+}|QYY`Rz_4qHQTq*?ZK7d2-D=UYTUKSL5>EQeP@uMy*zeEy-{RM0QG4}E2 zrOsEZ7bO*wSTr;=4jn$+mq`ct^!WnQFRsnuk&!IS%+d(<5aAKOGGGBfm&aYE46Ea}z>G9Y=p4@SSooN@q{h0VV`hS9nU7{+#;-a(vrvZps~+C)WYLUIBX6`P_u ze*9PpH5GIJdFN96?TWs(oVoBY>e4?GgLL5njJdhGf!7-OY+AEN?)-$kk3nBbTP;m( z|JO{dcOco6(PN2%cfK=u72(O=-kx;$z%d>mD49l?!7h2WF7l{Wxx0jqkB@v|?b8|E zE0Fv_@(3jeyxD97kdkJA1m@-RX<`u%J*d3)M0bxs=fN z=znT}s$nn!88(AXFaAaw}am0zu3Il3I8Q2bWVN4Ab zY4G5W{(})uL$4m;j^+K1@tIg0%UPT7x2`^;vecl4?d`jFb3i)V;Cn@Uu8k7mtJf_7 zBg2u$ADSnXoFD(F&7o``6>c4({f~r2|ik=={rl5xxN<32Cux%;8Y=h$hoWQ5up&{OSec>R|pQO`0Y zdgLN{1;2uG3TNXE`*B>lb-g&3%O!Dt13l--Gt;xb&f}2MdQ&TUtBG4g_Ob0wYFX@1 z+hiP^F8m>3z_@M~aoG#cBR+n zMs`%B@f|OIGhDZulm5WP8gaMM4PAA=&lPh#J8@gevx`gBan`ki`-wEK7jsVPmZQ$9 zfom>%v#@D9&;Knmk}Y>yS++2U-05ic@{Z`|$J5V0dX&oEkHoH`+$k)QtRK{a(U)uKM&G1zp|L&okCaBr66?Ymv?d2@@hX$ae^F1|{(e zG*oIAV%uLD7F+iLOX`LWb^o*oY&XQV4GBB&)ja$8?Ut|JU`R5O`x>;W=08e8VQ5q< zG}i(RkmK?p=^XRs&GNu6t@|tu3_ie~YtoXs5j7JTH;t;CW`o7Wf45*n=7E4i-d*&@ zP~)>Rn@E#ZRwjWG@6gqf5M)yt__r$%Xsqgyntk0wJu5J=lg?1ue1$Dzvi zSp0qJwL!vZvwTyKUK6$^Q5&fCZkqkTpkh%IV?Vwo(|ya6A9ufwznj)lOLSv{f{Qd+ zw!>co&?odj;)U)@;F!DhDGF@+wlJT)UoM1lvkCgn?5oXX_k~16$heM?_Tpd^Z-S73 z6Tdk!5Hxhjq+N>zW(3`H^nf5hJSbk)LTizXI0uEJWb=RZYCw4b(kXntKd0S7$~L6P z2JqNGB{hqzI8jX#Bno{RGb`&!K+QnJ5I#Loh|&;Q1u(k7jxuo~`Ne?nhtpeObVveF z$;fdcoI}F-F_>*63FVj)H=M>3!Fbmr(vILm+~# z2h7P%WFlxl!X@3rkZC{E*KfEDgqgSiQJ=_5OIHZ3zMlZygI7ul7dLlFSt`OG>BQ_u zzEMjGSo&ME<0ZXVAQ3fnoMnGfb%!PuFTNV^08AF4D-U3uKfy|7QS!7TYNam+;P$vHVC3P6YMFK9<=HT^|u*h0ymr#&Z9>|N!bnH;Xyz^8W0JvUX5QhCl|60?nL)R zv|~8?cqKQPpo8T)U+(TkMdF&QoLqsy#O&`L0<9wi)2yAnp3|6|a<^$~i1Sdmg5gSX zAiG6lD%xKFz|zxld*yr>-=IrC3j%6$GLxG`8JveWb)H6JVX(KX!==Wn`w(J5nUTs8 zcTs<|98yaJE1`N~lJBSYL3;%#B~^O(y!za|l=8<`Dba)MNs5z;3=Ol&|X!^|3mad%-<{4Fhi(>M;X@|_A`>jGo~9V#^yz)LLfJ4gZSIhG$NbWE=qO}Mq{`XRH4Qz%W#eycb(Ps0 zr{B!;7~*rtd~t#)!Ql}RT7C;z|35v|(6rBWGqbZe2-M)Y{x@CKVC48N>~GaqS8)s! z5!R7QaB_kxT99vsdW68TS{YWbF(9OB~Qqqihs|ER62 zD{umy*;~2;>iI3rwyvgErX?skLKVeQTO*~tBPV`8(luXSB_a{~ZIXwT1MCPQ8J-TR zw_IEjT+>;I3ScUNtZ^M2=XE8}a9*r8HGQ@zh;(Ss7BK-W;Av<*AuRqj-5O6s$K~56Zsf$C-k8! ziccB2RGyx;vU>hmym=+`$rCahh=^Q4uXZCffp*eyiFN(c`w8T=pz~j2D8<5sj2=$^ z3>AxG5*zD}=X)k9#v%D21Yt%3|;5xzp z7?Knf5`SXs7lBFuR&@9D%mI|tg2!AzK_OM02cQ-)%RF8>`5Q-eVsf(CM91A#^pPqb zi_k;aAB82LtG|{yiIrAy-S+zae0Xw1hNg1)S(Icy&xp>Vc3~cM95>VI1m|r z^g8GoFzs|?xOnc>)i+JPu2D4E$HQez4&3Rr8~iD$l{5 zn*72-pogoyl?UC9y;NUA@x2&*`+SnMZ<;!f8sZ}3@B0ToE+1hskgIkOxH+t}c_1_M zT+5~2A*JNh2;dW0mnJKcH*xzYAeWd8EM-;ip3@V2lzyy6YSY@V5tk&syPp25C@YHD z-6yR#bBP9dG4I)Ri_f^Yv7U8AOy5H%6oi)TCru|$L+dy?&XZ{(wOvU%OGep^9Fnx0 zd9=6N_=Ij~aED`;qzl)$8+rvSqdza3yJ=!=p)zYgt3t zV=4BP%HzlFxlWn9nV*!=gfmyR!dfH1$;o+Ke7JC~95Pf0qa%@VkP1aXO+%jyD~x=U zA#xE#Wp>I5>Q~e``!FLBpw)Aa6?fpMDMBUHm_bmJtXz^PO9p*GDsv(0@=?*ZUd#!s zyrBOsGcm1Ub)y(%>+yzS^06m)kmj6g$(ISUlf zy9#L!zP*5*NYjI=Z4y2r2>}m)m}Rsnv|toBAQ_K#0=Iu#Q^SA~vKqN;zbY1XW0LdV z7+|`;DP}phK(LKMaUz7KB)LrEN{)^Ms!&G#M@McUX~GJyqVCBFiJC5$HU}MV`psZH z8kS+oCN9!jwzimC=nKP4^^z8+*JJe88ec-`ooB}C$l7AeQGWAU%-;eSSa9dqi4)oj z{OU5^K0Zwu8hi-qQ5k8)urxw6r@i3d>UsiC`UYN#XyA+1i662R)G*Ijfl6>+GL3p@ z_F5+xKy~zP2BOgyv;7q(q|}^8fk_2ga_k6*Qg(tidQnhd08dQ|-rw?dW9O+pJR7V< z1&}s5xos@KE&zmhEsw7(KO#VWWDLFq3L7Y9C_a@7&7UCzT8Pc$6Ogv!m<0vnDtl3| z)aT7QF#pc6_Pg)zx_|mi z{dE3r@b*_7j4TPdK{1@CYcypT9E>HY(!Z{~A#|^7U5dMx8!x9sQtztEo`(B9j6%yc z`N*q>hg?SV{>gF4Zcp+3<~%p8c(2uAF-I&`yc)2%Pu~@d`t-UO4HNZzV=_|C%Z^*kfVn}uVm*fs@CNB58ziQf!YWl9{cV$v{ zqopu;Uvu+cY%TjU-uQZ^!vzkEKGEY;(rB*GNa=!rp6f@2eH$zrkkR*0p+FeaA8{#7 zortC2DO5mFKrDT&ty?e+EZP=cHt7IZ)$pl$yCI!|orILS#4+hbwiMcMzWt_NltX!kWN zJ3t()jYH=Le^LC$)^da$M7O?(^6{Y|qQBgB+$!#~Ey}7(nVN5a1jBNEZDL}g;@dY2 zc4@#tgnCM{d491Kbq`_8KMTW=jnA93g!?yHDaZ6MDnh!xa+<_+ybZW>#N@4z@731U z#tdq@s?CvhBVfDH%)=XIX8t)jIrh?lDW3+MLbsnkO<)+R?`6BY*JXu&H>{Ys!MVu4 z?}L7)<%un;t{gC^=esspoY~F0(>UW|h5~@>$(=6Km~Z|bcn1zkZ>O-XF=-2+|4M*% z$I;0Na~EXlBIh02K{lvBwh8Je9zTJxJQleGgxwja1Pca%S|>8)gE%2j4LSi6DJQ$1 zuwd+Jdafr2Lo&>QzuuVTlvTn3{kL`b@S$)CmqL}-QY(u#FUI^4)dbO4BSfRT*BkFB zBRV#&0I<~^9UZDibDR9T;1?#4z+fq{k|P{zqx)`sg=&RxvZrR&cqCEoDfaH_5R0=YW3Yhq#-d_Fo_I6?fN4FR6JT(LhepW?Q zcX0Kiq@?}mZ;6=&`v8DM4G{P_rM`0^vX7RXHVe<^uACgxw*MyDZ|AbvOniyZDogf^ol_;ONKA+F&NuUb;SB>U7-Udl{|SL&80x zVq#WYhsF%fL?eg#fVgn;qRCvIrk|g+izq z8x+kYuAFb)re;J}c{fA`aSLCHA-;@_Wuz0EkPGJBus)^^O<&rTmq&1P-oHPIhKIrR zkYw5-8r@4SFIeEo*4Azp>YL2$QwZtWz0kNOb$U(a$l7$_b@{7r^~^J&R!AwE0c58E zR3_kZRx(5d09lFzVQ3zt#X0K`E(Qa0DC)VhUv<$n|ki%}n~f{3~j zUo%NeVEiH?tzXBKm6WWLB&>Vs2rCEiAfesJT4Hf9u>vQafHYJ@HGu1k`ke*u0?(iBW!TCz)P(oY4qQrx&)b;8QuM{3V#%KO2JI;Mt(wkc( zVU?huw`$?L?LTz&jEdaG<~~d|l`u6oH;ZE8fh7b_&{<)xZ(?PAW+AA9ImvL-AY)CZqIk4}wm2>E=}5@(O_4k00|h*4VLW?|KhhZ>`}DI23X zVXMf)9QLm{*Xn`!09JF3x(B=GX&@Q^F5nh@e)ETzm~BHRgCdH~IrI)BK9PyQxQ^Hz zNrCe-Ohr$3GsIoWuq*UcNNhaTcz}zGz#j;r7#HRWA!SR3#s;K+qIE*CfI8N#+x=X| z7qPn6k6J79a&z-NR$eLuhli)mbSuOnA4KWnOP%La&gP_oiNeq~;=!b6`!Lq)Bl${8S5Lf{P;%h|S1DKy0 zZtdyr{!?310+c`7up2vn*e$#lkOJg*nki>^PC>Jc%<9vToP{tyw4+6oTK<~NWvII# zElxnlCZ_{Z9L&tHqq(MH(fH}p87@tBcJ`sVJRBORFxKFp`Y>*L3slG^so6LtYjPZj zrrECfyB<+x_*NdoxMb`m%2FR#8@Mp1zLr2WkcL#B-KBwcB-%f$DlBx;0n-`^ljmn= z>gwuZ2Sw7pm`0DzADUB7SNB7Vh6I3^=3u`d_YEM9CiD$R3%%>t-{O{VvqZHIG1|th zTT_|#eE0;RJA@5`N~OT-h`|FggzCw4b4J`!7L@$PW81eJh+QOMTs?JN-b1Y9gGS{4gScJ# zUi-I*1UtmOJl8pEjN3trL1+Lphk|$qA{J1Niiq$-sXztrlzbN|Bof!n&1nkup45>wk6%Z17hnoV>YaF}J{Y2KCJLH=RUZM5{$V!U7hIlzLHPa@n9zC3r z(mpXUc3N86(cql9vWLI(3oScUpzTkEARNaRDuPJ_cFePu#y=9fx4j3ZtiI6y#8S55 zFEMALlLglO90cq8_g-LkFaqT>T$m}%)(w!_jILHfGCFS4&xooEfTJ36TeOp^T#}l} zOAnPAc@;@C>CT=#!NjEiZTRTq8eA2}0bdEA6DK$eo}?L$_t9gp!w4c#5`18lO?3zr z)oSW9CuP(DchQ6{BnZOh1b=M$VJS50#KwVvIRdS#_4KOiduEInf>qu76khE!74~e4 zZ(E6NWxJlUx#l|4*~w#dnDm7Rhp7WE9DjGG%#(_aFqasWL9i>U_b-_NmvdL zrzmIbn$o|R!tDD1+!tU2u+$Hsf3X_=dbq5H*k;iEV{8x|x^F<2dU|?F;rucwezpK^ z0mP9Bi7?A}!oX|qgkrP#H9gsx$frcH34H--4q99kyG0Hs3(zIuPm7z#0430;xGWKd zpMk>~pCnT&xHRt(qBE)5K)s>Z-|!8}Mc@Zys_z31iIQ^*L&R=_>WCzB~~ zAc;8DdE9fQ6$mU5PeL@4i0Fsg6ofMj;{onHdNhFD<~$xqi|1#0WfM|8nNLKA&I>gs zp3EI0VRA*~2OkZVJloBqgx`R_+&Z>%{#TyupjveKyLa#GV&+$J09wL%^9H=OBghD( zpaVGKI5$EdY|A=7jce9sEPng;O&2m(^ziv}B$ZMuO!W1iEoC9^+Q06bID<_f{hw$i zd;emyluT@d?sSDV71|D69?x?V2ql0Lp zaMo@?!AYw?_q*EfrojDQY=;y0Gohv;mHoBpH1|zDfrgPJK_HfHJNfV}nguwl16*8m6?PM6sV5)?>Q< z*PlMi{4t@Z&z2t552M9TyC3xY%D}0^)HX2OlmSq#m&bc6Q}^oC-+M%7`i3`z>;|f9s^uJuViej!DB>UVyH<*S1iD|hGDxR-JhIRmqe5P=PhGx`nA9osX zK*0seIJe3ax3l!#Bj@oBvP1+!$9d-x9scq!RF!TWk#=~kLhH^fdpeHj^Z&WnKR^BF zrH={V`tP6q^U?%;9=o2#BNn$5rU4z`P3k?XOpMwR@!u6$X{Gl@$>2>LC3`vk*Y*B+ zlmFNC{`oZjdA)x=&3|6+pP&Bc2mc@6$eiZ?=I#CG<^DI%=|5lkKYlXu!2eHfG2!E) ZR9bTN$1e(l8C3XnMqcG)wyf^m{|B}`T&Vy6 literal 34890 zcmagG1yEH{+cu0!g91`YsUV$_(vnJdcXxM-fHX)q2na}bcXxMp9=e-v9iQj@-uY(! znV%WvaBy?>-fP`)#k~!bmi+n>84no-2Ii&6H$hn#m}hg~+XxXJ{N`Cn04#Wc*A@FJ z2wuV0jX=E@ctNuMreX&JgMtbDeg>16{096I!Cpi{2w@Eg4UwJ6!+aSJ2If7Ch#;T5 z^W1)llQY)jZ8xvlIOhj%SbizNXCDxSYnkC-F?N+(gW)>6g!ulv-Jrq|?EIm8;tv-i zDLpEN3;O|Um-NF2B%}{W9~{b)79So;4SOR~_kYqfq-`gV&nCDm+ieXboTb=pVZmt& zqr>u{!}|Y@{`l_)3{~)s4?P_l`ddC722F}_vy_5NNi~Qq#zz8zIGIDqI%&?ZARlpAEF4Y9eR%6&%;SSX< zH6n3^BgPzU3CEo0<4@t>5#)=$Ddm~hX1rdFBWUwL;JpQ%iupAx#$0~jEGp&K502RTYH(7tFGBPG1g|hJOksFx8rK(F*!4Ru!vwg( zhNVW>zlN=!9{-4xM_oY{Z$na$jD%DgN%Uh}#@zRvmw#*2d;Rw{!hCl!CFU=j-CD}8 zMDUP)On@!;%TkZl9v^3MMacPLoVRSkxN(6r^gnxa$9+#_Jc?z=ZFtjYnExm6-yKRD z5fLCR4>aC$(!K2(_D*{wHz7d``_eRu+~y!@4Pze$Qz$&f|8IByGA%#qCP>TbCgiDdopo}U`=$KuHDsx?7~Tj!X&`xA@^=D+A@J*2>jHPn-06G7p#h8=sG zM<%}f>cDm8kXl9cXh1XGF8EKxh<3sis(PPK`~iB}b1G87itoAWzg2!2{G|9#O#LNo zea3Kr5xk<=o5dC1@MY{9xR_$r;wQ1OFV?Er4QSn%+Rj>tXfJSIe#~xta%`U$`|wHO z-%-%jcsqN%H61H~?t3f1A%Fz-3ak<&B4CMud9LXZ8on5+>4IjD1}nG6{o~Km{~dxZ!Btj z^aru}Elb4r(liqPwTR`;J6 zuc8eaezZ`e}KF^i}4tA&}@>EtXT+ynS^+wIv>#5c?Qp z+y0k2=H_UcGT;{s8;mR?3VZyo!A`ChR9Vm8p}k~dJRaPg2lmk8u*DF`uE!c`lT3zRjL%3V#2i<@5bzRRZy|>(l^wsdt=7m5m^%6d6QW&G3 z6o;QLyMOlKVPk2V7HGG?cxG?4EyWjs;rE zq>5G_MDs@Vty)qi5X^Fw{K7@5Pu^y$6fdPjXaJ>k`MP^Tu33w}1 zdy?PN9K3b<9tKV+x(Pc-cJ{Mo;q~;_TU@+>dshaZSZ_;atBs#&{_to@*oI74JE8iU zc%SXkzi)^W{{GXjqp-C+dNZE-C^pXg#pVjfH^nN>+80Z+fDH|W0Lk_O*H+t?bQ6#%-`_}J>2mL)iYl|tu?RS;ew(= zsXkd$^H(E}tN3pXqDHCY>-{u-;aqu+fohU=^ryAuxA#hdrrEKToDYx#mAFq0&=xa` zK`4wqNs~85rd>WekS5|)wl;!{p++!Wpu9F8p%dVBa)kOwy{7)N%Cozo|BWWbT-{oR z`kR<)%eL zFL80yckai}@LA1&{m}BQdXxbLxUkIfcMzm}T+YDHo0%}!>qP5Gq8o$)sM~N^*SJp( z=UPz_6`Gow?kAnN1?sht2K})LE}qKDZ_?aP123u-^E;=f<5IcZBGbG)UdmzpYx`6} z`IBYZt#wwUE6R8sHC}-?SP|Ii*m!uPg9l-!2R#fO&DT~tkNI+$ z3RE!zDV%XNHJ_94s9F|k?LNIhWxaFv@aVsG-pkFgwy{}lyjqIlmjLZH9s6nR>Go6$ zTfqMnINRxNj)4-n8>Fy$rqQi>uqjI{ZtnhYJO|Bb(E%5Y_ntvGGh`8*RnWMz`5HI9 zQs-!?kwpt$KaXl6$F)jJ+@?%1RxF+;=b!5gyi&9^zKm8PBNyW=jGvqrr`L$S}n zNsW7SA^Xr>SsqFA4*KkNNpo^?(r|ZJ-|%<~;W}=4v9YPZy)-#K9(8lJeRf!XWP5*Y zL)in60Ydq#`CIb*zg_J7m5693h8+ikI}>AZF!Z{p`COM%LVtEZ^<@!V^Xr4b2z7lA`I)5 z>3NnL-9vnOSRYZFvM32vuh))pg|y7q)D=H?5j3`-%@0QwQ(KPQl=GZD?M>#_UQDSa zu-lP=0LeeS_kMveR-nQ}CYjvR5r|oMw>^;14)*lV@$2+TUZGB*`-F3&7^@soCIM`0 zT#*bJm-5*iqCuD2!zy)`8iQX5v_4#K#<+bGmI>C1qY`4KUgOD*FZ+0?DhuUN&G+5A z)#SN6tcKasZ9?8rKle~aJV*NT_3q10VZYO{NS{sQzR8g0%yF$O`Qy{Sm*+YHkA+>hfbN6(#B_4N|w9|&iE3H#cUbnmClpSEL z(KJfKu>BR$?P#)9Gib{B6*Q%t%(jP_eCD5*T*>34JrND>+PP4~jO1p~V$fy*!E zneqO*G_P@({mf>d4RHVegjTQ#2dHKagtoM;|*whSHd5 zr}d#R(N0Z}grn+sv)9`~x#U*RCkWifQ!bxH0WrQCN3c+H2S!?~e@;>&Uxb8nftMMc zOFe_v{a}bj34TheGRafeP}=1=J!si>y4252-=%xF{>u8r9-G`=@pPA@yUsh9MmA%& zM;z7sxn(-4ZuOu@$Gusxq4Zwa2S?Qj7rXqh9s};UwN6f)5JN`xSz)PkCF1Fd*1?Ns z*Ub`0?l;X$td9P#>236Pb$DF!P}qE3$8+@bmNe|jT0200Tke_nTa_7vnX#h^&J}wl zJLZ}yCp}PhAOiY__R>rk>`fix+NGg;HA|`RiIe?fMb1-@@!Ypz%!?W#i)ly3;Zj74 zm(~+(Q;?H+3l~3e!M|*@Ke%A74ef}99-yFvK6PA#lPje)WVQ>ehx)E$mj6B z;)yqCM9#m8hr5>-oBG9W>hGe!&o9Cuvc_Uoglg9?(&o(N^gQ(oc38-8ZjxHCEkc>Z zTod3u6>__#n#29o5y3!8%8$>{)`3}SLvvW)NhOL|PSPS(dcZolKi<79JA1h~=Tegw zXs&0Xy5z!D&Ig4K%N@&uvNuc#fnxpnBaU~Ys4#7KZYu{xxmEu{_zw4vFvOid>4*=& z9o1?R3CvV@3eMQaLC&fGXh5o_FXi6+MLS68LUT!&0spGhmtcf!DSRn_P zUFTM>> zHdS^in+sqJLMD-K29KQ8rv~EThRN({!x>s@=3!blUP3ncmiJ7y!Oq7i%_D!YVQ*{3 zDl6%omIyi;7n8=0w%!|h+Qs{9G6%CpU(a(sFxdtv-%Yds{OV^drpCL)?T(rD;P|rx z1@5}WtR}1Q-TJL>gq(CpT29taC4B}(&i}nYE`EGG5l!Pi8@{gSbCJE+>XV|7(p@pM z7_+0i()02Dacs6X=@*XE$EQ7r=bdDl533YHN+F}nahh_S;wgT8#>`Q!rRd+waghVK zQ#!|J0~^?jNZ44f$Zj7mxhhuZPmAI!GSWMiG3Ysh**eBMhGYH)z|>WpWSZg62XYT- zQmpt~DL?#o-~Is%wd^l7@kYCU#(KK5#@YZz4HmYbG%mBfcqwe0^UCb& z_qbRm#kBXU?w)>*q#2ut>3!4KK4hrU=a1EbXv$wQm4gPhw8D>0yo4A?xXMN=uzD|A4H#)4)HAO(=lM^ zQD1KRrLV@^1~fehw~Q^Y>n??vcJh{m@>(Q0XUI^i)Ul`YFC&@6ks~6W+T<$UPJF#5 zOn6i(JJH6&M~mfEJkQ=*`fea@s;bCW`M?Q{CohMdmw_Lrk<|8^kVQzg)v2Ug7y85q zuFFmW9-6&5)r|+;2)ET_e1=mZ4r~Kahaa}5*|irZhb2P2=_;8*xmShudwNt9E=ezrAy>t$H%G!th;a(*^8_H2|-0*1X6z!_|*p=ioSl>CGp+K^9Zh z23q3p5!GrO8xLhy1h}?+X>6P$+qP)qVsJlAZ;HbKG!L!X$V%_grg3r(SIzqUG{^^I z&Kap$$N)omxVmnh5`Y=Y;uOLzxBN73!> zQ#<;$qtG5#(Q~|DW?B{9xnj@ncB@X;9c34Qc(Jw0Lp$U7kmLg(%4m9F1KvFS+7 z9jO9Z<^?u<>TUi~!ehBvX_dd+EN#py*FCeI#BN*ifcIntf zVKbV&2oTBB)hG><#f~T^qJx1oElImm^;dW?kpPo%xk-Jd!y{F3agDvFZ|dXE%2)?) z50bPV6|3#X6I8LKSxQUUr`5UV$F}!_`*p7Z#q0bLMvk_$8y1;qX5RqFQd?sz@5^$z z(v&)QGaVZG>>8p^)*VU55`WFf@!;S};zb}~^zBOe@Cf^-q?P{Qp+4Q#uF2YV*F$65 zo6BMK9%{#rHjJb>UH>|H^;63~ZSx2Nbm zKMFGCL|7|#w(G>meq}X0Sa`2|eokvJ)H^hNwuXCG6J1>F5Sk}|0$RA3eurtM5}8?U2UAr{qtwffgJyc17_(hzhpW%*(aF>&4pWW1&lhmzlUBQ=hD!nBL`|66=FNRnSnOM9++-g3`^T?rt1j!!j8X_TV>F zj?v(W)$l^6-R=0ntB5}R;>7pvwtdHQqzSvGzAXXkdeup}2K5w$5VL4}DA{(HJqK6y zdu4qjyFFRbC+_wD^K~h`cS?ZVM>3wy+MGYY?LJLGe&YG*WVD^%Stabyc43kbYYw8$&EO{ti@?DtK)F%mH}_ns_(OrHaBei7dhU`{q2?D?Vn#R!Wm3EOadX(_WwD&6XAXWXb|=W>Id;dEyn*DJG}9T#_Y* z*Qa`$XF8v@n&oEio$}hpx<^l(^lx#o60S|$p7UBrSu5W{TU>i{wA1A8v&In{boWn0 zskN@bvsw!2jqxwuJ;CxmzUs!LVVTj4C~@YnH-51W-=48KL_#-TWkEtk)px6wIeL6h zy?hEOZhpS9x!JitTVB{)Y(OwcQpv#r?}W>OG3fr7E77S_pwnt!={Ctx?B3T%aofQZ3B+kXd#&_4F)i+3(#JUq1RaPSVMGx7|h$#^uqn)u3~2 zZLPwbi;D~3s9Ghqo1(F_YGnLs?LP|a%y{KR;dW;^JZGb1*PXxd(eKjpyE*jL!(C3a zBP{!OJd_;R8QVB;Dzo$qNxM9SeBw?0KK>dVy~X2dvHPz~X2D%UW8>RTy0G*O$ItOX ze_G5}ovix5lT~)U(W_9*H)#Cr33^Sg)tM<&KVyUl9>H;}f>m$2y)5 z7fR2cKX<-6sD{!{7r<(1ri>Q{k^pE&;UX({v zD@`}()hLc^$vCa>a+wa3ZDlUjZsaxVl%JjZT!gaSt`7B$j4*L=jm8DX(ghGM59W$sjAjVAUrZ`>bag>*H%H>d*Fhh(|5ZG)8mJM{w09n)&g`mfHNU}& zmzgh1{#agaZt|^)pf7O4ZA(-h+xgaDH@!7iZ8f|*UNzoMiG{p1oHlHf=FwGV(0_b& zWwcOZW4=%`>N+%AZWI_EzP;$Qym>LLwGDL1_w@9`l;dA~74L(eAOgk*Doc|Zqw0A2 zF3yvFRSJmrx6f*f)3?{HIeK^1B#>Uc+G_JdVZ93q3UWTI-F9B}!*yN@B&VRDSh-sE zGCEl+%SSBKY)n+MYK%!>GGertW6lxx$)9~I3jO%jr%L6ePz{A{RYgPHoBX4S0NFoh&4Xf`LN9|c$J0}z$Q??UVCY7{kou1MK{GB%mmg56G99-=DALV5AE(It+q zhXCUz5M+i{o)T$}_9r3ArWJ7sClt(=)#gUiaokPjFBW)Tv?4`h>w%3b4$sz0_eMET z$QP;Z_i-CyH)=?M%^VFF{c9g@_4Vh6$IfP^Yh2SW`~?Cy_D;y@gqLfRNNkJ?E{d%- zTX=<;$i8DN@LzrZb6hc{%Lfhi=|-J6eDFh-Ff}4e{;1;7%hRpcriFzu-0v}7*}vqB z|MG?Mt^TS}+Guv;^s+>}D2h#6BrpFQo2(aiO^wB{vZejwLH*f`?e%k{-5W_vFSjf& zq-UC{;7GEbX>34e4VeJ&7wM(iFqVCFQ>R$V5fjFn*+@!dkO1oX zuo_W*ME-W_XQW7i#)R#j#Y@Q$&$I;vU?Y%sP|vcviD)VDyS3D_nH-RRvjo4D!JD@;xKz0uY1S-bkCs>Ae~O;2Jd!)z%u>*+$Znr__i4tg60V#rzBB_aixk zcHcm(DzU z(?6p`KTuZX@RIEyI;=vjs+^M&+cY{cv6KBm|3fZ0ikX3$*0h>e6}W34@#4@*6THjF?s$SI(KvzeR<&=O8R9 zDtbv}$xamm&hohlJItyytvO%`eH4;MnkbsZuMj*SqbrB+R_Z{c#%KLeI1|Ik=_(QT z(v$@b4i219bhDDzN|qbx07se7g4atRvp8>rDyC1>AnbM0+)Qv`)Ql*^rc(3U!s6nn zX(dIBC@TC5(0M`k&|^(14*pS1CtocxwN&-8wHfii!IwWB>g)6sRbA>rxT^SXjG6K7 zA0BpRN=YyXV8+nlV*L$x{U$1!=aBO+R)kF} zvX-kfxF=9u^Ijgf!LA(^uIie8@G27B3f~mL##v+WP@^BNs#;xQ<%)JA_fvW^rFM1n zktwUB&01@I2B9+B{673Cp;d{V(A;`jZMlkHCE{Qr7n9^`SOqKX-i2!{jmqq#r+i)MiaGV~Li8Mv?wMY?>@;Opn`h+=HKjh-jnNo@1k*wP!p! z>R+E`65OTG8Eb_}C_A1fR^w$QN`5ku#i(=c`4=vIRdd5|&%#`E-c&vMFN-LvS5!;- z;4bTBoqOh>14}fd&`NEUk#w*VT##VSxXL&s2S?)B_V5eq+jA4c!9>4VeYE#rJm5dG z0Or{xCBJ_EelHwOH0ZSK@zvIrQ8Y`IBQZumP!JZVz?m**Tk3W8fy2B{$rq4mu>~Nd z#tDbweKHyQSm$^Uq?j+1GhS-9Er~;;{DqJ2nO;|jPG2-7>w3-U>FG?l5gG~(&3h7( zOwn|U#m?~96!#m`JwD0D7`T=MpIPTy)7=N{H=Ifz^(Yu;HJtZeTk=VMf@7~r!Qm%q zsLeHcuO-R;t#nB}eWcD!t!_V!SMH0cx~JcX`8Vjovkdyf93CDPXf>xkKHN>asILNX z+WG#Zo85Zl`{3hI^HVA|wPK0;jqQ>vg!cA)SHQ;RlW=APSPZ+Jkq2%n8z2LRyg|w2&!Zgs?UR!+zP_-GOiU-|=dka&xl^K}u@YI#eoE$K{{Hk z24Z95&ycMpBIIGB&~CvpuqKDHJo!vr3KJ3xZ>m-ALI_t)#;?%=-7XUnpd)*Wd_7+moM zU-?~)uZ%x;K43sifZMA>D|kHedJ@yrBx?WpGSh0gDTI(SwxonX({(qie=wyx91|Pc zV6iLYtxs?;O1a@+UYT&dG=(wdZg_gnH+A!>!N>J_Mw<(1OuSW#@+Z-|MDr|*gSSPZ zS^66lh_CKFQ?DOpV}3#o4NSVmH?gNb4=F)hA@fzNHzjJ-mix=i&6)^DURR4wf(ONTM5Sg865;4Dp-P3+stgMP4K@w{G5 zi>3qgP1nRSGBOJe(;5vAm-B+c!lyUeY176HW)3?Gwa^bu^Sng@Zd(M&*O@W{lo#-* ze@lx2AZ9WeBA6;v>*l^%X#f7~#Wk>R;y>xZgEg$rv%yTSG@C4kyN30iddY9;yIR~< zLHj#*zGbh{tbYqS~eLEOy>f*@@vZ- zKuy@h4vEKWg(SI+r#tDDjniNbAuu~sv;W_9ww$g{8l#va!Lztzdhk{?iho(;nI`*#?~l}hHQGD-U2;2`bQ3|J}XD*C@7Y%^yq z*X=|G0jp7GUuu@PXU_q+*>L$VPjGQDJ#gtNH{!m-EV-XafW7Oyy?{WejSN+cJ!C3$ zFo|s*@v$d@bA#VO<~M#RJjff59a zOzqQ+-j8IOkIb*}@cJT2#jYQ&mT%UA>5Ug^N(I)*_|51C46h+yrz|{ylp09oPJ-r|3T`7%eud_E;ZSn#MsL(xR`WMfJU1V~JV-%Y?imc& zJrQ~N=)tDu$J=0#00<3@M!WF^} zcF(8vd#m=B%+cSnDr3{;tkd6&Iqi2^z_NAIH{ZA2-<*MNn=1|b8WYoGv93~JjER>w z?Ik{|HK3T!89)YQ{f_AD^AAPiuM6+R?DGPGu7Ss!u-5b4LS`t3{iE!EoS>f0snl=-fdi zJpvD%L%Ds+(&jJMfid3&7sUHh$HK*C;6@UBw*Jm}SmR@}-jzOT42`OHUiY7NcVol2 zKpiz-tec#h$daZ|ZggdN=W#CM=P9<-@a)`Acmj`VHHxw5e zOKq6;p?c$K&o-;Du`RTw5M#-kZeKM@)-t)Mg1d=2N(tV)+Cz;yI+U(C{=;xJX+b92 z$z3`A#KlxjVIIy+31Ipg+uKozi9xctA3-{=w)>X@X#p&q%j3;9=B6@CMW{;?k>j0ruxy`{JGv}L3j<_vE@ zfPU)j?VakS$vZ22+8NCRb1|`?kr9E?1Y*KvJH@Hy>G96S&aT|CkBatrSaK`_IXQxr zl@)+M0YO1);B1}xjOpXM1++N*v9#;e%bx2)oJSj=fB%um6t>?P@url^j)s)~{{34# zd2w;k?4EX}yW9W%{@!%9v!g><4f*l$&I-~WoViBEqWU$;78cpArQU{+dccs?e5Pe0 zS8jK@_+2>9Ed|v5zh3p9vI8ws$D*wcT-P(@azlx%gbaHorLEfM4KFml=m=}chtMs5 zu_?uP@W^M5|2XxR`IVyTCJVDf zG%+^(Byln#xBXA*8X77U#u$*PLcNWi2o_Hf%A=%A;mq7JBvxkT=z@X*#_2N01JydG zBS~rLUka$AIBCTJYiqiof6L^`v$D*M4fc?#iO^Q*dj)98uC8@*vbk76~W}z(E z(PAxL$HRqQFjdfZ;Q}Tf86`@=XRLzx0G6Qn&S2b=)GS^$`SaWkP0%tN zm_M-nNMhUgGd<^5pTr3!5#k1$z;FZ4%?74wwWWq7ld!DpYu?9e5|Ep=Syn??D8X`G z2I)33V?{~LgO5qB@R4a2?{5Ud1|O*p1`U~-_~;f+zM;5=m?~~53sqerDm{chjPlaS zb1w9Fw{o3$8dX?|CfTVj?Z0U8E5G?q#bJK-?3uz=I}x|*arZkf<#2Lxawe-KF8G&t zg7)^zPdCF}#z3*FJo9vQbxn9B0brKz&!3TriK@8=fPT#aY%=S#9E}BPxm2^~!y5+e zFO@${K_`lck2gL*HDGu%0=WPTKrJa)tctpMG;h+>*3QmsQe-zf$+E4xyZg4j!@pJg zJrB=Pc7k%c^hllpwSVCE@NhJb+jE_}%Y&&^aZnBofQOGt#1#()5g1J;C?H}_ekiy# zo3UzC7Oe0y&0f5K94&w{21o~B#r)w!+f{R-J*12_K1i zE&p)|mR^6;%0vJ-)cn^fEEf~O1q@JOE zg@L;Uz_-t0?IjYD4(N~-=ChF?`r;r?2XmDnZ<&6AW*I}>wK-|5r>6(FD=UjC=+)W6 zZkta(I_b>w5N+SxbBbJryy@R9SbB>&id(_t-*ZHYaAR! z6FiX4n$D|m0KE-oOC-hfcyNG$;Z@KVSb}mii?=}{Zs+EtuBH1E5mQhgIypJPzar={ zD{fTW3{D_fCtEf?xU9p4Z6xx+u>u(1C%m4#=+SXI%g>#drr{g(bt9-(DlubBJkKGAKc)nHGYWy_#g zduIhdt5;G>*Hduy$@s6LY90%*sDuO+6P}QuAY#z?I10$==)xB3oyzTZWz8@bm9#uM zbLDbOC+tm`@u0&tA3l5l%4oU8JgcV1g+fyHIs%dN_tDI+nE-hV4jKSd@NY#Er(=L} z84;)H8kpww__k3~t5AwzSETPTnV+EoIl6J5E{FxmU}-^X*L&e~AuCu-36ga*=hm*>tki1)!c zYFQSw0F=&_OzE4eG%Ku}0nL2T^a)7!j5ce60FbC`N*BxJNPhCXzvk$6x!9Wo_rU}b zDb;n4GAKBh-DVAzzVZAuz?MZZQf{3Gs(jDl3Gv!qGZm!DJ??R*J{y79h|#jv5K<`o za(sPx58F)leJuldt~x_!hnf{Kx@6l=ThV-F+4RZ-?)%DOFtB;#>a~WNJnm}rl#Nd1 z%$86lGDR@DpG3(s)b#Qj`EysA@o#Od$6Y*=ooa-u9FVR|XEhR&}=VffVwh&va%G^^u-dT+ zA1nMa#Rv7f9#N0J-!W8sI9so@@zUHc2t_AG)Ij4?k2z}`#%!eBMv{4FO-+rm5_{gE zK9Ol9UX1AGaW0n`X7#Q5xAi&fxvxcyOCQLhauop{@N<^c3HNVO7mF149b(dYANwsU#yS$)55LK*^QI+!dhz zucAxDwr#G{eyClW5429n043W3L<@l&yF;AB!z%Gr$CEXo-b(NO5`gRTHD#>U+e)|m(bS64~n}0 z(B#{v*|9;k9CbTl5U4E@mGZQTV;}#S0OJ( z3d!ea+i$OHU&V`)fuUAE)k3J9<#4(QfrtU(!0vfp^ReJPvwr%6l2O0o;qwLdld_U* z!G59FaRWHqkROo48hI;ENP&-mt4iPiy+D0O8S;gK7`UWnmgd1YJS%33rr_-hzJpkz)t z4r^9tr9Snp%v&t14nRPWUcT%!X4YlKBkh*YKc}sy96%=aAU4@t3bII;zh>Q48-ff) z6%LbSG58e^c?y|3(j0Kj*_2-mZr*q|Tf z+q%u8B(3&2i?}O#6$D^==6n^1L!7C}Ijo!w#3a{ccq2`L1*rD=*49rDZ`usexuc1L zhCmNA9?yPBt5)@qo0|}1n`l;EoIMgUGBJ?3fkQM7#6^fFE-o%qVRXGZ43*6Vl#!(2 zqzgZp)9KZBFayA7Hbpa6Wg!M!2GA5gG(SDoPd+9XrKSUA7jUjfz>_}#aUxojl%1WO zM!n`2pnL3py8bAbnruy>#_)Hhsdz)v*NX=T3Sf|6;;%4121Y9YJjP26>~VA&YiGl} zMh~|a3PfioCpwq=v-bNl7(T5n;A)2Mj+(=J zIU+!dRtDVP>*>KMK~IYJff>MMRD9Nu`op?l0O%M!Zte4>8-Vz5e}6U%)h2g#jDb$) zub5vs2ME&v6dpr`7bHxwV=yDZ=zeVtRsNSet~3@F7WO=!WtS!O6|@QLdiR^C~Q*4W)3N0pXERuL~6f;~)@%hQY`|H{K<#_nhntL7=iR-M%J)*`z#&+e}LCe z@t6a^EnyN7Sq>Vp`qjt=*4J}hE!c%iG=+wTBdkz&JnyPhhP!J*_Vu@#3VffZMa5+gU6QUDvXKaRdzpAjsKCz0#U2MFpHZ*TAGyF0tn4H1yd{Q$`J_VmE40Hvz~JZk|w zEq`Xh`3Bkqh;^7xJc}7lFb*v-IeE@N>Hp3Mp?v|@I9sZRp#7E(6CYm;2u%46yddib z;y?KS6#?Yu&1ReyxS33#KN_@|&sWjTS6k(jl%RhP2|>*k2QAnSrEnWe#k8y96EW%| zQY#i{Pv$8=ABn*r5tdiUY_vsr^{PvsF(NV1bTKYES`b_YRRv|S@O-VE5%4p3AI>pB zD+gv>i9tUOJn9?i-A{#z{a}Kt7YH*UKqCPnBT$$ajYocq9;KA~A{?hZ-TON&x#WoQ z!a$~rw==_e0N$_zzzT>_CWB&G56-Tx8PXIzK#Ipy0wkKl=@1OAiHeHqb_QWXEszY) zX}R%}EUxQe&f`t$5<|Y(b@~RiD;-|d!q}A+Z7}nWORp(dsF*2D0i4&3jSXe93MCwV zc%Nrcy}rVkpfRUQQ|x7(eRZwN;%)@x%pfer(hwC9Q5%$6U_!xt2ObraVlo-Miin8N zH!wIk>3&ykHce+{;RsBL_(8+V!^M7IBuqpU6cWHqpaSooKOZ9_BlpMc&C4WmJSA>1 z4&R1}rt8}87}LF_izzkRAA19bYN*h7 z7o68afpRGCOvB8K71b*!DjIa5tgD-GLE1Ij{zAFhk`O3q3b)V96CvPRB z^@Uq*3zBfSDwd`wSl9m=P9$M&PLq(306cZjM#43V>Ca*<8Qv5uo4tg85sJ@7#?C&= zk8>~vG40U4_IZ6GW(;Yluufuqz&dsuW(&7~?M-%DvKyP)!j z%@;YILFYLr)O1QcPY*|4n3$M)g*Pvty$xtoLLbHVD@mddfuO=WH!N|&=?CcDnl=SuKULUVQ6R)E~ zFxBhvh6)6&tg>=IaB%S8Cs2ftQBbJednv7&lakbGe;jOWDQSNRzk8E0y2NB}C;Yx6~FxYs^)B-gaMO_Zgai}pdElCzfEIKL1bO9mRgqh| zKCRj$Wu(NwT$ZO_Hp=e@*5b~5H9ZI)k_TPPyb!>eY`fOm!gltD>BH{ zn4<|4Sd)pr7@+b|+lia1FQfm@EI{Tc1Hd1O#hM;B-ZE4-$L(lP#M0<`kzq8PiiM30 zKurkMkra{-0@@Z(`-}i2nA+Mog*69mD75W+KHQ${WQMPSsZJooDQC~l=rf+o=!LKD z?e%2{1w*4Bl(~Ys$i?#R$6^PC3!{2(E)tJ-P!R|eonhBaXc1N zK_J+Vj*f!I8ygp-KDIf$uL%1HS}lkRfa!#Ugf_OeUK0^Pqu9^SPtk_fgo^GVRIyMo z3PgrgV`%;wkYcJlzc$+Mz7~t6Ne8eCFtw=YXy4*utAx1*;N62g=;-WJXK4luiYOF93u#JXRoN7%x<P&2@s!#hwQ=``w;dDY|{#fzuUfD>^7`cUSiDc~w=tqAY*fjA$N9Rot# zw%D?6H=F7K2FeP+mY!Zr8^qC5ALM|c2lw0E9Q(bA-bAaTItU%BdKjsDM6;@oD_thlQy2dCryZ|LByfx#>mI_Z;^%J=_OhZT$= znTB^DKcPtr@WO~O^F7#6m<6|^#)jLyeDMTEKNhp8--`Jn-@dK*;cB+%Gs?)zcgRU` zcY@G?jzNH4z7A%qKQb{zff!WwPk?cMdbk4Bunb7u07kerrUKra1hRhStFgr9e*F(V z34~Hk54MBG`SFEJmqPc67yvkuj*69t>TORw0Q>kO66Fkx)WWMB@W^SyC7ADuSxN&S zd3AeR$xwU`jCx!zKRp^fq$DKtu7~pu0TAH~Tu9(pfC^RW08u29N)?xv$0{u?ozmg9 zv9Z}-YD@wh{&{Tbi58I83pEqLWRmk{jM83oHgJe>HJ!Zyx=sK-UnGEZz{P6^!^`G#YQ0Zu*3Kqx{iSv#BXf;mqkk6zNoso+QI!Cv{Lt*P3BJ#;F;gy zu3_K^yMXx#P@%ej=Q}VU4m??INRA(9cbJ5PgV2ixKPIqQr54o44^#a0zi}LJBXoYI zLF;8zyNR=OSm(bU>XTp{o>f%>WG?W(DIhEV)B%%mzF<~ptinVN48-+e&qX!^c;oEi zQVO1^24hTx0{Wtgx3!uz&L_IHkRiniFRd$&^TWxc39fn4(=EpYF?nq;Jm8~(;G#2( za{lUYa6ppDBJ9CsRm$)n$)LPA&uhq0JvTbC~Z!r3yWOmwGsgdD-lqM31)v z%CGg91-l}ptN1Q0t`kCN1$$UyDVgFi%H!YkUnf$E&6Y)H!Rw%Qu~N8+M2k8=mX_az ziiuVPoO<#QZS!5ZA8seR4Q(%6J-82TyYpmctNfqVzB`=j_y1QzLy3kNNrgg`?4642 zjAUewY!Wg;l2S-TN;X*u$%?WnN_IrZ$W|#UBct=U`+U!DT-UjN=lsq&-@m@sC-3ok zz3%&YKOgJ)URUw%;E^Lo&_WNbPv5^o&D*xT@MTec`9A-`gmP=#NU#H)aq;5f;+>WI ztm%48%uG0IBw67Q2=YawjI{lS$ni$J!&lqW5%gO zxw7kBmjq0zyQW5?_pg|(sO=e^8CYH0n3Tv)&&Es_&Ybnr?#YeK4a>>GN-5h=Ji*Y< zZjB!a_#Q6kqAq;jU%2Oi@)*Lj3G*Y3vyoP4>r=(pLFB*EYVe+A1 zR_yNeiKFW}O+NMOIWLHLmI*fLw<}hZ+}iQ5|LCvYyZf%oZMYLymT^VDv^V*)LN#M# z^Rasm8j^#w`J8SI0cJV5_TKE4>I~-4?_>ISN-36B?q$;2*!{dSVVb991X{k`=hecQPN1JY`~?t)ORTuIAM}^gB*Oq-;8xCck?`uAN2v z{hRJU{@QXEclH|&qMnVXvsu`$dYo`mjnq1Gkxe1I{z%T#)ml8Kzx=2(>eKkqqgh$F zuXJ9-MI$En@;>dCe=_#^lDhr1uOe_F{e73|n$sD7eR*Z;JFmQqC9(1*B1r)rJSHz{CJ_FFP*f`-1fse@|YKJ#kic3>9T>SOlhK~tZ zYbPj%pNI?@Vv?7aU%PhgA@k}MdS8+yD+1L<80?e$VC_qw{d zEuizwt2@p8Ca<8gz3S8RebUm>SFc{R@anFr3LJoqlAb=*Fs@Gj*BjEsp))>w=#UB8 ztF@GrxlX;(Xj|k1snof+oLybtG&Y9azD)~`N`(7#cFfOZK0Q6Q0hg%t3?Gi@m}s70 zGkkrqbWF5sRFwIHwzG$7*)y9{<*V}66%!bSw)ZM%(dz1J(2~t8EvsO2bBWIoXKl4{ zNeK>lHW9+56)$DinQ!wbEv*H48x>Vmz30yC1$OPjJ*D2D0oQ>fMMD<`d{ij81vWu^ zmu#a_?zg%-N(gN=mr6>F6MGproYa z17Qbd<4cp2K$%t_9w`!!7%Y!X1$Jko$CnTIis%e^#vhE{X*#YG_n1sS7K&<+SsOpNdj zJa^-{zutJSzmB99{Ynd*fwt}0^=NnZ15eKe6FZOVkI&?*B_$^_iM#Hn04w`tc09yi z#PRcU|M2kbw+|;?gqXr8U=hS(Jc&hM|F&OW3J)rrqe#Ay!@|D2Y@u_6n^nMyVw17Q^}z=r-vl^> zV$n4R>BVl-wl%!Djb3S7d}Dt6X3ElS3t!j%x~iFB(f;E}X{36L=uxyRaEia~?KQT| z-(xJ)iO1Rh<442%o>AdqeNB1tE65=ka>BzUxTZ=2dae*Ea}90jtO z*lBm|+NG~!RMJl+u7*1@0Yomi@LNu03-ShfxdjD7 zAjl~?6-QRVyEX=s9?}jJXNz3|dCp{8w|8}R+OBai8jE`Qe7eo=qHU9i=LP@S@fQkq zBHPTIUPT!$G<*f4_9*R~pGk6Z@?jyNdV_=V^m=i;mq92R6x!F!3{5_gH%L`s($vx# z>nW8$-vW@bK|(@;&$uk)-aWc?>(-I>1~!BiSgJ5Jh2Qq$;}ZaJ0GyRrVJ<`OYl_M} z2GAF>tt?He%ZD%?h1bVpeu5o6$>`{40-VZ#KB*L&o&qC-%08yA&kVi6CsGpE8w4F6 z2>M-nS(RZuqjvIG>(qlbLD|Ih>r(Euez%eLG}w+}w(ql&kNMjlAX-qT@DkbX5D>$VPe3`N9?Z;Ne4%fV+KH7S4e($zNteKnAAYqmnuY6%QHrPJQkkK{==54y-;dUXCj()dS!fLz7<`92E%h_3bSmwlaVk{ z%oi8mfd>M97ezI->dsDPb#--+I>+FlZM8m8W}ENmP6)8^3;B2?spJ}CB^WNlAwfLw zMMWZX+}a87CxZ@>&=PKFXgGfSxHG6`3Y17C2qJKeY(R0cfa%5MN!3mfm`EVfJpkhg z=K7?AdDi#(ckroSO53oJYHSecfiUdlF&CbR7-eGu&o?gog-|& ziajRxKsaff(NEDFll6)m;i`JE)7qG$9X{R%Q{}V0E3_Yr@9)|luQR4Qk8ifA&ix;^L9ilDJ zuZNd43Y8EpvIu_TIMA22)8qzVf5VqchxGy>AU~{JOhQ7d#MK54)%-CLg(rP;C*%9Q z%X%J4b%;xtA25NsYK8mJ`a~}rdq@B=iCxl{9vo1`F>ZW-|DJy36PX_ZU1P@t)J=GL z#KgsEy`^hw%gV~4R&Irbom-lp35oM$6Y=^$gUJqH(8c^kV7SU|4G@5@46 zZsDA&?`MCFlpixUGs_Q~mTau8-NetIqmmOcjbW>o*=HwzN(TRl+yOab&^bshqXsdkcJaZqqrYb4;+Y#0erNtV z_SD+?q#>2#6VKpl*S1+t^CX&SIg0rGzS!t?&Zes^PQCnR^_RWZ^CWMvU#0zd7cExa zOP!<=apQq4D-vCpy3Q|Diacrouv;c-tXB{uQI4!>ft-l|0V zlM8%hA3l6|`t&I>Ubkanmh_8GCmr92O84<#w)wR0-L;)d8^l&sQhLO9H!$lPlzaG0 z_)J_{6WjXGJ||(>4-#UE(!INxv#fWbrwv)&UyJzJZx|UZWcRAnJMhROQ>->?M>-CF z;qoi$mq%zQ@5hh4i&>LJD;M;#rMdY)WEXdvzs?5sE|*OXHRl=?2US3b7M*9D}T&aahn!i`=Z;u-hYft z;@fL#ZeXXw8CbzgcMMuW+*e}51N;UUpB8+3^s zV8C&f>9vJ29=?LZK~7F?9;t@|de8Pz{QmtL^eP>4Zg6SqKC{>u8Oa~F5BGT+ju-sl z)Foat(8NuNa!f*Vur_NX$_4U{eQnFC1!>^y?7Y8_A*rB1$gVxR3SB25;-OjXZ5f&S z^~(~iF?6&ROwUposqE@9kSH2|YWkXLNpj;PX;D5sHGN|EA`*_|03oQ+n5$!nLmz*eXWj7HW;pBr5-*Ssb7rtR88L zKd0w6)u5wAA{uXBs`>l1LBwl$>3LxVPEeJc=!XxdkllNB zen1`qUocJ>?9E50cobwF9L|X^eSNZ+*oFpp3%I&E_nipw96uG7{dWN^~?ZG*yhvz^X4S1WkA3xHe;)8g;nw`yurw9Vf%*iS2 z`t|krGTJ#tte3%9gZoiUQ;TIpGm1#Zo1UIB*~akO%;VuH2xAG_f4U8UskzkqBF^^b zp3|HNsVOKZjHDE_LB!Q~tbHJ(nZ7WRn*AW_Z?)^w?YmD;AT#ot`b4$EFO-TCSL?bgJV-Q+0gc72R{(#;0oakGH zUPUgs*%>1fa9}0JdT}FlMS^q4UG9?fkhQknkK0x24(GsDk|YWW3gZ1n1ZfPHArmw+ zK0))2Y!rg2`_=2$H$p;q?=pPC`SL8^NHXWr!W1Jjv&+n=21Qa*66vV0i-`V)?n2n9 zCqzE^3#I^qk|(exBqYQdp^3~hIh^2+cZxU?KYJ4v{c0(7IZ2IDFSoxJ_Ed_4BM=?^ z9i$(u11xdbBr$?04G-{JCtDygdlm2B-=y3`Z|%2PDYWqR=%}okjFt2g%5@7K+D_Un z8cQDi3Ysli9j=bs19npTdQJ!3+IG6+afQq`Y#bNSEm7V;fK@ip<|K&`8v0SVJKwtb z3J%nB(T#}nX=FV6@j@;!0fGlPeBs#0LqZJZjl%-(A8gzUpbC_w^~^#M?2fy;JKXkG zFG9iNo0*wS`AEtgI|epIoB~Jf3~UGBOvis?@gktPvka``9&i7Hh9yu4whTQO#(&4{ zLH0_V!o<=t5dHPrFt#*w)MsC~AFK__;4(I9ydOJ1@9|hWLo;DS@nq<14Q#O?d3i@b z)DFVRA!}?Lj|Je^^YVs%Rw^3Q=OrZqkXDFq8%AI~6fn?sVi$%f4!M2RhLp=A)cMNw z``K!rPi_|y)$WCO=NE9l{_T0{6@}|PCe>O`jbvA9+vs+yxqICdORiH~^15NN*T28G z`|ZHY=-g46uezJK%r&uejqE)-Dj z-MbgGAa39}=zTDJp32e}LVT6F0?a>1S1X8MWNlz@VHvC#atlk*!VYGQaZ-fVD9Xsm z2}?`kLW;>}oTjc^4Yy_l{J&VLqO!6%Rtllu(MC;U5k0l4XWp}S?_oi~I)Qcv1Y@sV zyM{V)9!(%Ikj(u0m7$xvhj?;~jg6D8dK|nG5D+DLeh-Ioqy-Q#)Th`J!SotAMp6`j z+3LEw4+`t9BSeAr4}PAWv4PoYwx4>Vo214oYxvAP_YAYIWerr14$!#qa%kDJr7@S+ zM)7-u?zkPtFXa!Z`a5ThyJ%#ST|zxXf3Z`HeRXYk8}rt*bd5;A(~i!=L1={_MnWsv zf>MM?fD+s!$cT0dT3K0vCEm)!bOYCI>-J+fSVc(*@qs+p;djaS)?tNqJT+Z|Qdia0 z6^#N6=de7G@kv$H6r}x#po$f6CoXP9U*-=6XY6NEnwZEAKEG}Fo?%&4 zsr+K~n(>bYP4={Ptdz6$36Hk2NNqBwx00M%LmU6#`s0#YS1Lo-O;_HedCuakxA>be z`fy18t_Ue9vQIKxl=%ucKcV~$kk5;M*4p}PxtUE)eA%twCq2c1@z6q#<(WFes6c4?>_qwNN zSD;*Csk(!sBk@$J13176=b2lwAzIMp70wRaCfDk)x(AGyHL3z@2pLg=0A|#OcIeMt ziF|lR@JO039MR{3j5kWUy8o$3CN+IY2^gGf$fh{Rgdp7F(5v|*l^ULwQ zWBO@4^z!sh^@?~}YteucuiE|{R57u!hebq2Ah^m_ONHLPecL|ZNlJ=k>RTz7e#mBc zfKbUR-@OZhv}q&p9^3&X(EW~^A$yupq2RheG))d!*TKPvpI>I<8q-jzkk?p+t&DPq z+rT9(90?rIKROx|5U}Rfty`kv;x7GfHe$+7@Y&l1g@vh_DN16g#V$isrypx4B7jc8 zxN|4j<8Y!^VDX()R!&xrm&SK}C}?vfE9)RC{Az@+g5h6+JHVzXVHK8B73h^cSNA*H z*w~OkHpFIVdS`N(6b0Nps=zBi-&?nBlf&}=OC&AYpd{df?4}PLKI}PitJ^?Ap!Lxz zra9|1Gy&o?GQLl04f3YGr}E|~aLAvZ8P-@Q!mQ$=|D|Vf)22-=D+2ayPnckOg>5Jl zC(8sR`ol<5f{81}p@tm?nI#GrMp_fhcR(((X_$_QNikm9C*AOcFn~QLfYxR3Y)#M4 zo1^G~@P`%T{PeIY(w|j0FZUak2qNmjfuj#7_8Ejg3KAygRDJjcNE?bChUo|(kby(_ zuz-LWS{p2)IMn`Y;5E_YH8nQ_-Kj4YvYZALc4mT+YnGQiqbNk@=ChY2iP@(;{RJ z@L`+#9)ki~y12Nw5VX1LX?8t@c!eiOaeHKX-`i`%1uozEyq$cb4_2b1LUUo^x`5vnl88mtZa?=eh8gq?JMw;Qx&aS zVPC||SMjIgJ9Q^EExBz}VtRe@+yk*a$s#Vn?9NN^Pkv6bY|N9FjxBu)8ud@8sM<$P zCcnnAnQNSMpW2Qu`Z2jKckc8&I#fd~rmU$C zme%c~k2cq{Up=0Dv+YTS;A-v~$~}Ot9mXO*SNp3QPxvUy-(rp_iff+o2m?qg>J6lQ zPP=4PXxP5xYhfhwc%D*^~FHVMX=e__T#kFuyW{euVmg_RRZ>C^&~WnNA$-|kTDIVj*AwqMP%*mQb0P&n4COw|A^hTVt9h zA?YP0!@IAEiv8;T5`Ue*uUQWIwpRB8mx zkH2Vm_38@oWL^Ae1jQF*rOvInYGz^kci`10W@j6oIbv%<$3TUA1;3ms&gNB3?d`+| zgfSNo=-(0zf@PrfzllsOh0>;tk$lCu*?~+a=qPaaVlAHdOiqAgpkZ*QvorlV`-$oR zOT%vbS9Dn>wzk|`j}J!u*BF&=ULd`>BFoRD>(YchmBydpi4>!aB@LG&_RW`xZ029( zDAf14C!{m$^z&Ysh=`RBsOaW)Ju&|7FzYuZz+G>iq zARUeaE$T8=Fz%qjTj`DbA0ky49RUg6-{Tn=7qP&0 zP>WegN($cZ8#ixq7g`FYP3L5027}QA;rpqpYXH+nK!_tEw%%lAY2mnnLQ94g7aU|t z=#dL7+rVJp==f0X=Z9~7^5jV}OJT#EXlxc`bk;VXp0dM;mka^}t+z8yB1mQ!453!| z)6<=FJ>YNNyBqdg)TVCv77)Mwc+5L7hrFJIa}=wLD<|u3N3NdBes!~PH^)}#UArL@ z!?_^l_H_piNm%5*3=Xc91@zX-HKW9h!~w}lh>t+Iqr?CYOEdZ}l=;;Y%?fO!`WHI& zHehY$d%k>(7#gsYqDtM;?pc`6-r+R-1ZO>_+5yuz57#k)LCEy!h5uR|tA=KmNySB215#v5-3Y8U>8?MzI1`)VQ9`~|oCY16$p%LSRK zqeYzLfxNNOfg8q}64yYA6mB=m2srF??Z;2J^#2#IxS)O%DSTqXLQ#P`>1kdbK(b($0~RdM zK={uKTVW0Z3S4zaL?jIcMPNR=_Vyg(c=*{!r$yH>RR|XnVDQWbSAOw7=<0_Ec=c70 zTA1=$DFrwJ{-wP9_;jYrudk=aOCaJUG+P@LN2vo5FF=H0Ja_IK@x3GQe7USl8c+Z8 zOV8~HVZjpV?B-?(uh7iT-gqdg&tSU2$%IGI>^lNdzo^ePzgVNhth&5Ih+n~Qgog#l;i57#Q9XVt#p#W`;KEo!(-KCk)8g4;k7A{%{RJ1Hq1HPElsS z3EbA!_UY3ni(;C^M@+x){-D=|&U76T5I|F{S?=qDZtfPw_TV{~qpzhP&=b@F@UQ|- z1IUU4z#jY&)4Bg9jy-gb2CImQLZ(OJGlT8*B(T6Cj=q~-5D^pv&gD@476PaRGf#}9 z=UtFDEIvLyC^jdtA_|1sVtROv8{wWGfu&95?BsNfQuCQPQ7u^3>>0p zsHsVpiyp9)m93&;!w1cyv5{_uwjWvqDZj^lcRQP*$>9+C1X|i=7ExMMQW$%4pFQ(3 ztjBI0A01iP`0GJ?U8_hpaVnA?^CK~m0>|qgK>~zvb0iPA1f|;l4o0C$bc(1TUx_;PeX< zeMc$a#*Q0bd?@17fUFi1T$KQ;a*3y2YKHe^ihE93q;63|MxcFmR7gn366=GcVZf#h z9`J*H0|xidkt4NJ;+FxKVD@ZlyG;Dx5eKxO6u(lov+(?gkOCp-kYwlM+X9}042S>< z!y%}Uc*^>xR&;dq^vdE-Efi>mxa6eoCq}>~FK%WP7u4#+_$6D3R`_ivk)g0{CvahU z(dZ2O@q4 zx_rpns@ZEi5h&EP4~%K}^WVX@Z10{I_2TfxQw;F;U-f6s z@6TIQi~`o4tM5YLYxkNNt%S2O_vzDj>1)4!8^9&XCH8$tQHF_yOyPKcpFd~W_aS7w z>gwuFNw@}jK3{)6Yup=|DkV_vxMWmK8+`cA#AsZr^@Uo9Xa)MAw{C5L+nV?+!N1?Y zzzE#a*EsJce*OA5JG_kGk254g0fD=qD~Q=2Q{iyVKEn0}mmdhi1iYRCB5yb{EOBO@ zExWXkvWQkTmHIcaL|_yf>>R}A2&z*8MK=Hp6qqSXtmS=NTw379iH$`m#jN6Tnqeb2 z1w!wmDqEp*S-Z}v&NUZt3T6?<5aiAj6t1Hzf!QQ>VB$yzm1G5}8|2`5>?g3Zloez^ z11V>iHH7{F>=n?g0=folJ(2(cGrygUtr0>j$wvT^V};qsoWaI(VR=?xQL$S~8V5lo z+B@v8q|8iZ#96m z8Wz6%k@kZJ4|dp@)duL8uVQq)ApFOk`qFRLz?^l3b-t62R<~?9>d?i|YWDhw{3WlX zE*0mvSfTFjTBfmGZ!HZ+1~;nZSs>wkl{TkHeo?&Wv~^*F|MiOru{;r(lwkw$x7=b3 zZy%)CW*tZ?x5L5M=kALcb{Q+)z~ifl1c-33TjEE-V6F1a8}kEwoZW^d-b0VHJof(L zWJ+3^f|=PKlmp32^AiP*wRLqNBo6TN07nx&$40tnm&Jn*WJIM7#xjPkGn878m~0mCfN_pPTx%8fXQQV)Wpo}N?ciwU3XizHeJ}ZU3auIY#KIx>$G%L^4k8j zQ!$}_n>CMK_^BHGYvz-L#WGedFx% z*|~PlzlrX!k+8E~J{$OPux%s#KGvgWMC7SbaG9p3sU4?is?LeOm0aK1x4m!STJ_Zl zmMEd7$P%Bs(j{**I*(Ij;O{KAa;>tzSwEujk7C>NirGQ!t4`n#hl*lq!L0Qn$q6na zOKJ6?+ZmL%`DQ$dF$J_-4a;RkiQ1L5oo5oYD;9b;Njo?O8gtjsbn%=Vqmv6tN~j$- z+iAV&<(O`=zhCyNrTD}#iupPD%18BKe-_LC>_v~XGrG=UCEjP236=}=##_M!lNox* z$3VsC6hvbW#&kZ=vm7x>cz^RitP*w_-VtOHY6XQl$!um63xU$c=H@$yyJ|v=E3UXo zo5aX7!JMVP=9f3arB2EAr}vbAN9GaP8f#8|+tRUn<-(U&WReVxMaZ=e*@hDId}rU` zz2p(Uy5)JF`!p3!D|j{DKR-VJ)hYp^5)rWA)4zuU>H@Yr?@y?qti-d1!|Sf%b8r$5 zcxGc0zkmP!-1*CDpd~>FxTuWfhq6#yK=Gc%AWTfY8cp$h@%%YNERt+O++z>2={Wzf zVTlO?c%yDA4uJY9xKjeqV1jtG7D#?=XHphKOGvHB*nlX4P&qtP^quwfJC$36oO)uw zgPxPv?T$)_NZGp?eZUN2vIh(TmCU308+_4fhh`l#Oi*s*!0%JQT?Ky>6Km{u1*YbY zA5-wB=xGCyL@5{agMk=@|NeLhu$rl@?c?Pa;AK5LJWNbXWNYqz*r~sPy@#&KD}Rv; zfr3ZPM?f{qk!Be)zYN61U+_m0j`C`I?FL zaAA>u+|fguH?bez+LZ6UWq@VTw&zxC-N4$JszR5|-Ykk-$wzaLJd{>P1 z+sEE{j~-FsgXnx~9(YbxR((A(B-T_&su*X@#>-JVv{5`BtDO~K=PPpJHfDJ8BB0=i zwjEWjh^d^g)@|m8a?{dHPeinztp6?V{F9lbYwgk6-5irJX*EjgOc!$h^&kf-XQ0Fs zot3Lf-KYKDyk7M$%XQEpC$Py~d$|1xvlVas;;YYLyM@NaGn&hO`OoTz(%o9w&7q5R z>rxaQJ|~+=c%8nhGBGpPo6G%;ZhUXyl)CK0)A#95UqfIBvwe5lArCt36$<(- z|6KaHbRi#S=n(oJ{umaD1Q6Gt=Bb^WezHtIHYnnQsR%?Gn;)i7T>P>0Djy;z79J7G z5Iqp}m_T4an&2=cKp=^6=R1fqu*mqvprIHS@LO53pKb>m26mh@*^p>4PV;(VqG_Sc zeO|p+*gpb8UEsjwWEfBxNpKl6Xxp+4m7xheld1;S@w&2-y-Si{xv((xLeKS-l&kvs z`YO=4QeFDX#&0XCXoJG8M64BM z-LR9(;t9Xja>4h0307-#9V+OwTw=Uu|DE$1{w$dAzhb1$`Sla^g3J{LpabPV;{3R~ z#54_LkUs{QH;X!-lamtw_#QYq>-!AUUqtOc9by)=mIXU?-r2bizXgbvQK*ajSzV0S z5v?-N7~Y9-!Qe`cpcJ4?=jP>^qZWa{viO&+V}z&v$qXl+t%;LUeA=8^oMaT9-ZY#L zR(c|M5uee^lXwMTLU3CxLE?Gx1t6)KglPbtJ@IEI5$`fJHxCF6rAF_^E+C+}coAj~ ziU%T2yU=F=#y7Ttm3Zk?zX}I2t^K~-Nd|R_5;&nqCqYuCi*T6F(4^SQvp>aUB7@E_%#`QIYQ18 zz>{ZwFJaDx1t2bLns+e97#6|wr z;XfdU7gi)Div8tCkOl$ldqdpxFd|@Kw6+=H;IGE_Bw{fpnUP7(a1~f|&LVS-_7<4o zne6*_q!&jpEgnXYtFpbFL0MUud=g+g z%+2^AN`}igT0X#6&sLEF8L(`r0KBU9(#Ef%rWvS{-0-tP(v|8QG2 zfenYajq%Y_EMcl@i(02Z7gmuUVu0s`0(vJA#Q`dg$MNHpyyx?6d8c))h9VnhrOtQCfPT&0nozYLn=DJF5h zeEBk6H@82yw(-dJ<4`fRv#U-Ym0dS5DfGg@s&VJo)_jnS)hZON%*vb%W%akyS(r zVsol`{4D}`H|QD|02|ifxW)v{Po13tWI80)FMJSK4=`pk5xxe^03lW#rc@9&(V(X`@}1yB!Ond%{+|b79Xbo zr^f<_%TJi34Xum(jAL<6{9iiJ5OyRo`w-R;d;2<)iM64s{v2_XhK7c?qJIE^jw~P8 zkG{e5U)&rBKWrRg6rV#G{8cdjY|7luE^|3|8{AmHwsBK6cEwwr&fjLu zM!;y+eCwcm1J~(wq}jtU0HP^bfd+2YprWFSsh5AhK{ODFMDlZ`uK_C!UWgnz^zQB~ zWOY(~!8sox!$~j~&OSN{;{mW}has&~#7O%*!~nW+hCA5XpjSEvz*CBP&7>DryDa~9 zBLl+G-p&35qhW3Lk?dGl7evLx;MuC|uL|}LS*(ZRN<4FegI9`aA;*(SLgBaki$TPx zhm3{AW58SE>N<69!%0;(%ijqPAqv_t6cA{y#MnRthLX+hm;nPG5Prtqn|7{~{Z(XI zIm}>xO=IZW2FAt|A$nbiJ)<@RhNuaH&+YwU207!GB6d#Ff8be_?K^Q)LDzytM6J9~ zm99%R`tDuCDfbiS9+GC>-rfi(7pPW4(4`a~^TH%l4ke2pV^$Ux$2B$Evio5f=U);4 zdqL5Mx}n#GVct%}2MuBKE(#P{HPZOeaa+WuSc5-8PW2iJ_9U4?2{!CQoyjDqoe1ac{?$jCeE4-KWvl?6_1(`z&ZwO=j zG+K4cOAk~^>4#D15thn>=xA8T7)hT1l}EWT0Ds^5ebL?l$BiNUL_!bAT3#IBl)!Ni zRhV|_Wm)Y>?0*o|P#6@@TiSelOn2bGfsUT&#*EGSXj^St(|PL?obKYu;OJ4ok%Z|t z5$IV=&CKqm=>*5TSBDP=&I$~f6gFNMEczT&bW(BC0~dB=12wIe+$FGg}{;&lu12U!;a>WcfeXtp@ zPaUBw<0?2|XZKB|QuE9ikuFK_vV^O|Y+Mr@qrhbQl*L0iodW!ziyZ43+Y~44jpq9M z__$4bcI@mWJp%(!%x~=Ny1_ANXP*^Qp2)-rmCDB7==iOsmxfKcwM{$Tr#v_Je4KPR zc}i!e@2Z2MOvgHal!${6(k>a8L_pPPrX|>LA@ug8#ry8ry_*8$2N*95T)HeAw1e}) zg$tNJ_Mn*V>w7vfZyT+d(Whh5{6lHj4xLs5c(GRT))ObAzV>aUO5v$ElhxPutz1>} z$(nIAiWxXS5fnh4%$k1~Dn#GtXu2Wp2@~eRAW{P;GCZ%_kQb-w{k8P~=!H?xRPda@ ztYLzh5&Jb( zV>^;_{lYMrd4QP_ka_cMuxT5iN5S;*>htFq%=xkN-X;^RqoA-Jvqmr*KUglY0tXz> z8WF3W4CSdXp-#O+$$=%LdG=EM*!hq%Ln9;Clau#?tA(ALpdk{#F*7$mkL+4y-e!!e-D(7slJ zFu-+=JpRlOffMow!Z8jD2jGao!7~60q@FMBaN3fjx1X@g!l1U}@vRyK2kJJ|&i}b& z5VTTsN;uOXCd>v$KAsKiMo8Tomw)gkIWic+Hg4X$)&x={6gkEeP1p*z;AaXT|Aa%_ zuvE&_$s|s{|3ur>6H90JEf{Rcv%#qf`6f{(lgvPcU|QRHyae-aK+fsH00S6+(FcYv zN)dUoV++K3H2HUHP9gdkyn+k{gXBagQnDVDJISyss2Jqen4vo=Dk)(`ZV*&0%Z?Eg zULxX>S7I^*iV2YYunv6$ljV){~z{m%dye$2Z; zo{&VZa9l!LGleVQQ%A>nxOT8MZjVd3kZg^Eog7#VBA!9tC7}~YIYb>0Ko9Tg>Wc7s z((J<6Qbc9UdmpvM@L1xd{Jn@nEXr$H<|l|7;u|7ynN@4n;Y+D2DN$h@7#u?EU2}u3 z2g}lzP>8hvir^y5tS1K1PVg$_!TpzH<5m@RNtEY9qT+`o0;S62WokRfs00amz zsQS((`3;i|#od?oU5xh2UjDHXs_{Iq3m9uM?dXNt?+lGS;f4u5{T;I3zS6X*@%P+e zpw#D5cYeq*-Ar$ZF6B!we^~;^6|k^pwgl124OX9tf2m(G)Zk<>chez3HT_dWSAFoi z9I=X(7soDrwp=&cZIz4pH@i3_?*=Pum{dEN{wZJAudIJ3D8~_>+h0Zc_-NgS%4NRJ zh4ZLyVE=0%p$&YLZCG9UL8QQ8V?Gme)%v`}^v%~fVUxL6Q@{Pxffpw2;^udl$D=>a zYaF7xRjsT@n7{7}ve9pQ%A7U@#%O?#l_L!62K?;8hQCH0LQZVV$A7rGR-gRq$pn%T z`RC*Qva|pC)7!E<|Msu{{AvHV_V?jr!w*Z3Vov{kE93P=&O61D@$w#S@yqgC0`S(8 zUhky-zVH99PyH{xzS!D-zl?uA?mu3}KNtBQFXNwo{f}?>&%ges`|*GBM*q5X|L>Q0 c+<(C@p5t2g+|q~(1^%aaLhX3AoXM5{0ex?dQ2+n{ diff --git a/doc/img/RemoteOutput_plugin.xcf b/doc/img/RemoteOutput_plugin.xcf index 1b195f62ed37d41c6077228ebd4f8e0bf3332595..b9177a4c25e60be1efe5cfa7084e10a958a2085b 100644 GIT binary patch literal 129664 zcmeEv31AdO_IGDyauHNugI5&870y7?IY`Jc7YR2B_kD#k%As5W0vT8FTGv%nyh+s6 zMLbZETO|mC2w8VskH6Qhx&opCa^{+uuJ8A%x@Wp46OJsh_$`4~Q}wE`9I2DIQQm>lZt0ei%(8MYLOwOCKBh*DxMc#JZsYQDRZgD z?5R`dPMH_a%j@DIYAj4`R{z4DlBCE+l61u%(e>9P>AGW*)aq+=|1Xl1^q3@N9+D*M z4oT{Hoh0?0ElGosH)5M46|Iq^X}u(A&Q+4MV7(+Q8zV_~TrNouu9c)mb0z8Nmn5kS zb=NRS`n*C4JN`4jVOUkAdgE63r17P(v&1LM#Ezgi2z8{E6t}t%;`xAxPi3RkI6fr0 z2Jz_+?eoXcAAdYv7mv}!8|&hi>Ec%)j{Ak7e_<4l)aA9+#oJJvF{a?NwHl{(YxAcg z;@1)%WCCISgf+pZm_PHTES#4(W8$JIbHL*LI@z9h@vSfv@vZPYr_P>*)ZmG;=4z?L zxy6g8#3yHTN#cKM8O{}t_vYe-Q)bXym^f=*@x&R$6X(V+;&Wrh#97nkW5UL_nldYK zKEGhm#JN)vCl}9|GHG7%>{;8vM4F6w2>6XOKU0LD!QadMN3{+Y1tE6GKwdkrn^whNXh#| zY3VZ&YBECdK8EH*s>yK4`*dm9lTm8Yf@E3g)6qzVORO}!%v&l+r4j0_L$I`NUXL#4 zF{C(!OP(5^!W4_79QBzM#dip;Vd!gx850tpN;p%LpU`43Ys(eIqktQx)Ob%F_A1Or z8ECuGNb>opyg%g)!$tmRSTMPU}3m+Vz??K>2(a(YW1d}xyI2EDU2K|Zv|NL>pp_en1TgU<^X)g zUv#Le%6r36x4r)gQdGEz`@0TAi2{OOQ4E?7y4{!`1R90d}oIZK)IghD4we2>^>MD_33?a(;<5d3A zb@({?$E2R|Xr%WV;!A$lwhSpho!cBW`|D}f(5~9X#cbC|ZJ?92 zu%%d({0b8kw@@#x-AlbM+Q8eR$6Sg>W6oVjGFM6^I)dss4ANHc3lQh}2%lM?&LH}0 z1=3l3P<0oT#V3&!NY-3KExvZB+c1kS`QowoH2V$~Uj{cb{Wc)ECC;bC*YpL97yW7T z0_(G;n*~ebHT{6nbqycQ03w3}t9;Gu;h%!%w|dlwW||1&Mc5_hJ1&eHrkJ>qBMhrh zJiU37SAi5K^yz~=dn@*8pJ;If`u2pmg6-8l1+Uh%ch8=^V5$+~qAz=ieyw=86oGb_ zcnF)YA~>z}0w!ApS?Fgp+cfh{wTI9{&1U1DezxCaQzLr&Ni((l*3*S&2wRL*;F-fx zV|ZdZ!>taxAvW4Yhm)#^HW(+#i>CfHe@R62Hd=GY{B?f?eF55U%)jzcs1V@BmGC%T z=>~lYLYXU1Nzzr}pyr^qpfpe(Xeek3Xg+8;=uyxMp!Fa(=u^;s&?!l}Ivms-ln8Qy zpu?`74O$L@m-K32)75C(H7I*+S5PtNdC)&4DGpxH>vBN-L1RHPK}$h@1U&Rn{JYSKZpsi8Jj8`N!>jg@rq*jN{C zSM7#qngICI*;qGRFIXDyhD1t--c^f`YO$$STKcGdPYp}nQVaIf_>P!G()QG(sqkh`Ux{ z-;K9!6}67K5RPk`B-$KYsb`t7r)Mf-Pf(9x)Tk6{_bozV*nDHB^m}i(u+M_Mwy`o}IQShP^i*2ImCr&G~r>tHD4h>?FFaZk{3aDd*J&cwwjmz9+y5 zRSLYiR||1hW9!fd?9mA`mils(nx`?%x=!ou*fZLDm`amAs{U~mmg(F=(hNRacnR+E z#pSByghBIf(p_IuIV`I6+w4v?kDGVs_*}LG1NXaA-v#kkvkn-j4eUy@xQAAL2MY)P zAtoF7%3o`7vAbEek?pIexUas;*VCM;7PX@J%j+72CFt^3O@jDlsdnne)jyTO65&JC z5Nq*bLwpr$8jkpcTXY1U+$9g@`MW6<}Y6IfZ8 zLB9jF2BmM$O#$@ngp6lJ>hl%)teO$V%EZIQkvYS?4S>rWJ=q?O*(b2i=uGLpof4$2X-G$j2yOOcj z));pZA%RVKG?*N9evJ){GhdV6U*9NdCZG1S7^aOlZDUTPnVLqIxS=lbjX0F?Z#vO* z`X8*DPzIyQPv^R+7OK4ddLmBa8ZaZlJBMxH`X;K`Zg=e0pFiq>V z8|!**E*NfWI30|iBmftZ8fLY^d+OgAG{=DUcDR_PkF=Wn2f8m%o+H`r*s0=)W; zf}YThf@WSkHtU7k7fj@Satx0)E3nE-{0M3KQ+bKsQKBnr2Frv9Mnt7WVTXk&rc^3q zw|pgRuqqf`wcppM#~$ezBs&D_;d^0rX=yLg-;*_Ekt$K4=37)?)q9fJx<}_MyHPW}}zRPHOFM zhQ4&IK#CJed|=tjHlQ^2lavqkS}K&i8*m+@s<1=2XU+QvMcTKwVkdgDW-sz6U!=WA zuR)W*s6^5C%XjXrsBjC0^8Q|I<-Jb{9G=}%LpggZc2OFA*|B#oEOCm4@qI^rPuJcL zcD|1t$KB!{FpiN&tqYfGKfv|(?m=h#%|~Y{O2TTTtFWqQCLDOp#L`0j2$yQ&p59;k z-5)RW+k#5m0X(wZ5voOmem=_9b&P8NI-Bih`Tp*0jIKsie;8nW$4kQ>r#B_eipOfiOyDgfPu(==h%^r4xI9&-AH4HMGAw#%CBMp`-GqS_8-Pfy6A9^ zWQ_hAihDPR>J%og5OK{klOWW|FqJZQgFXR$Ys{CIVN2lw&?*qVmLR_i`VjOl5X>uC zk))K%K`lUCK)Ij+pmCsCpk<&3K&wEnf!+mu2>KW32uP8nw7-CUk)-r)pc2sglGF{j zoUsXnc4d4Hg0nKC8tsb$T@7jv$^i8OjQ~vtEd<>IdK|PGw2AuiUswIwlHfvp1}{A> z)P1%41mEABf{niaZ0oH4wQ5$-wM!ywaM|OIx{Wo8pUcMDnaUck&F@Hk$6fymhbUcV zu~aq`spr%s4I4TUCc&U97oU76Ij=(HRRlUK1q?it_ZxeGI+w1aok|TcKAK@AKF@Xk z?{wt@Mw_^HNd)%L@MMj9e7M3n?-SNn2X{2?AJQGUK)_pvT|DEy-mkcmXqe__BNwMJ zA^ETcBbkP97wR+k{?QQ33rXk0h|C}zd=VLuVK1XSZ-E-Rm}-H#4KgA#E*>K?sY^<- zoSvDEGo{_+%v5~SF{w*(YDTxzl=FSKG~0yXqCcGxnN5d}=}+ZlCs3kmAoI!`cg>2TazT)3t$HLHNP zR_g<7ufncdkm3|B`QF^T#k84iM31(hM{6Rsu#KpVc-5vYTiz;Lzj@1Mk@jugvI%`s zQ&sCXZ(hIRH8mG~+O*lU#kYAA?fGs|lVO|L8ueNmw`|$4Zqp{+wbr3mo3|jOdx==s z7PelbD>tM38Y=&sVBqrMTi7OX@tU`gv1RinYO~f8^lMAk1f)3ONwrL9i+^oUCIKdL ztF{sNplDT_goM`d@yHZuAJXWSmfJERp>3O12q+i*Xx-M75Z0DIDkNDOGo?BPF4gXu z_HDFFg(Z+h>1&&SkZ$EqV_%8Vri7Yy*P(dARU)mlZyTP#)H|8ldK22V)C8-DSv_~^gE07FwfCk}c{y`Ahl^+GV8q^+y zhm+q6Gy*gov=DR;=yA|$&?eAs(C46o)RRlL@~=Dwmpi1^g>eQlBVCuSkxHoS7L?VI z8S%QLmQ6re9hniYOQI~ZwZy_a4%?0`;Uf!t@(sv17ypuXoB)@z_`o$ITt@npSIA)@ zMl94Q*3UCOg_6szg%7aq$c7s2`g!^%)q0D1&5%z4*EmbQ{)x6u5ZC0Oe-_W>d=@G9 z&x+tVBjysd>_X3iI%pL<3ooRtME5!$_7Z%c7nQxlH{CX%O$}A3aj1JO2ny5x{*@~b zKjWrbw~NVM60drJne;2aex)~bI{(wzOTF`ryxzkoO*=bX%dm#!1e>BX5(hQ;8&^uT z7n$Ha8mr2lCN8#-O0h;Si9U+jFV#Y?XJ>NmxzYpgeJbv z2Bxp9^a+YZNWP~^%jj(?h~bnc#k8hiIb9am5k$lMZy3?X`72NOo*bH{pg{~x^N5|f zXxba($2rk79Lq#2a0C;_H2Gc~H4Phm#6*L<4DUdp7^+lL3=OJO6nfvuYCUoyl_i3FVV7)KxQ@ox>Ic5-)nRla*+4Z1q}oBMONH ztgo6t7s9^YXZELjJ=vY=_vz53wMr{BfgZ$p-%(#nf`p5WR1*zZt6y}`qE71uL(A3L z1th88jUujJtM|JPugSt1ckoWo-;MeGAw&JAf);@80<8qS2-*PJ1^Nth08}YS10q0I zf)YUKpnT9U5caVKV0~TyegW3t1IE7BnhshBx(D<)Xf^evj`sVN*IXB!cBlScK2M4% zOEFO3m|vvGXUNx4U@uAJGg~7Uc5YmaP)3A&6&wjBUub0+K2V3>*Cx)wN#(c)kFydr z?%xWb^l!9mU<|VW>_(#g2F4J1L1)e=-vsL-OEST>&_Zrf7>ClI3N^D*>fATD9h5dc z`;x}x66yuDCsbNLA7YrO7vf?2TX>dve?(0k;#Pf?Cz zFF>sZ=Q)o3scC!*OP{X98A9*jW2kVH3ScY3N9P4$LcyF6=LN}D(Hj(j^MVml4Nm7( zRP5b~@;H;H?8b>fdT9tHs&PK=0~{FKLrHW6$sOp5I4ZaYT|o?GKG=(lJ-CO+*c*;d ziq}&0t#6z5vbWJNH4`o0Td`YB@2S|!(+DZQaz{nQ2OHkU<#rGQJ^6r63)0ollMl>$ zkwzRnQRxha1<|>t_kC6M#qYH*h@pQse1oB0s2WA+;tp1k9d+&EVwHoBTy~&|`VK`r zn|s(2obID@dFbm}mVL*MRTbm?`zpS-*^9VdiNAK`I`(tH<;^Bm*EI9*VfY?n^U%#l zS&sl~yvlO=S(QzW9V)o2>BQ=)CMpm8FT#_Yt6m)6f-Bf{>eQ|w|H0nJMF(}Nm^B#c z@X`(KZ{!vc)7w)czr^c??*gp=tup41dQp-_Z2;{8eFi!Js+6SB5uhtU37~XPK4=(d zDrf=dF3?KQi;^_E?( zUBXAZTs`WCxkpF;0z4e`<2>DX=#TkH0h=XI2QOs2bRGWvH;jYdrc=i!ils{dI{&g{ zltul$C|(kFD`cx&imE`$Pod=@l#Dctr@t4)c`LYVl}nMZy3(ac$c7eL#Kz-)RaDMF zP}3!~!CL#(zK}J^N84q@_zQ{ce2g!$`~Mbq0uOUcRa;=hJ)R=aU&7mqc2;a=M^xg0}8e>!V+GQK6l|5V=OeUz%R8@Dn7 zFE`=4asHTZW!Wm&dDx{3#Hv@)PbHLK9s6@u&nICr=CGBrLh5}zS1Q| zqF1u$IXDBi%KPLqkKu(WqAI;rMJfG*NcdKjmYT~LzeT;9bVp$v@ohM4gX!YFqYS@J zz%6HgOgYNvw+_BXlVMR)Y4!IB(Bz|v_vlHo&2ejnCf5o}98KmHd4Pkmim&$2N$qD4 zXm5}%gzY!Fs&az=o&vvz-q=3f?p+gf;h^7|WX7bg3473Qdm0keP1v_TR(-k*@2OW! zQ>v=$)_z(5Exlrm^@=Npe!~{1)+4&nne9{)F_Lop%TO7pxO{2X#?6O(!){gc(aWcC z?GGCH)77zyDvqD}+hXnR4cXYc=uk=sNW)R8nRWq5n(h>Fy&*b%k|f=70t7^!L%$`1 zKP|twt0Wa$K?R`kpxK}j(4Ro1ptX{8%%IQ%W6_48uAflnEOyk)C{J}; zWA%Hj)EcXLEsQ^(tNPG~%+7Xho~iqF^MihYA=-uaYo6V3ob9j$${A&*gx@Rl7S?~= zp`cnxp}$IqhI6S2S0#+nB93>&h`3+EexqgM&k_vlPN>s^s9t6%UK0J3gnONp`~@b` z*_GGJIK{=iF4hTmt)$|J1}iGWkg<(Hy6Bv#q&6-j1v_)2%YS=c6-=eo&qZWxhrNdO zV2_}|#&%QGZIH2@`oCyw&r8H`(Vx!Po@W;+2$}8PgKngtz`3KPsC6>H#V=<}bv- znuV``U`#Kp0DTQQ1oBGKqQ;=>K%GI^pnjk+pc#_1cn`do?LoNil3t(@py{B6pnE`% zgI0q!fp&vF2OR`eOVZLP(AA*!pbSti&S7*4oj?QkyS$Bo1zeXg} zuFh-`u5LI-8G$>9kXEJTW-u?z@|537e}8HbZyh};*fQ@G*$1{o147mpEf;m)7BaBhtM>5K^1 zT}Ga3C8hOWQB&4y zegah_@MW}b=>jNrK&!0V16!lL^OE+!emde&FR$%^srOb+`m|Q*m*j!-rdTJh`Je&^ zL-)GTbe#EQAK;CWZrm90sy%!6e6VKEo(jb2HIhEJcvU5uv<6J=An0EFtQ|iHiiYns zRp2~nIhDs7``7I@@v3OIc8N9G+b+ZQdUuy^RSV$0{7shPzSVe%CAI0?PM4x9rarHH zTlv+KtpeL{*?17gJNdWDsxsE}i;L>Gnmw+v(5Y7J1vPdn?ScwPtLfgzpOw?VwW4J{)Js?w9r8vX6x#@9Lwmg zxR(g|V!AV!=eU@rHrQy>42Q|ewK$G+A|6d@Cy10o5YG1pzjy$(aYqfx z&r$DPghCoEsme>!WvX5yM+!zb_MEjwK${88Ruc0w^qEno8lP;aynqfAx{$6yPrJ!k zX<8RFUAXT;9p$cyHhxlA}bho1EH~dYj(f40h!!kgeC@Jdn)*Mnd$4j zdn?unuMwhqchNP_*md{NleU zaWwYqzMBm1QIqNK9z6ch;>M~220p3B;iCCC#_yu3x_hPV>dX4=XE&-W^kq1^Kal9l zCaVeb$h_m9+WBuh0rQ`aCaD)jzoOqbl%l@62_MaO|Iiss(q1Ui`m2ApMFmRXVrf5p z)x!VnXZAYYF11>{1=^=iHpE|a(ZP}`5)Fo>Xzc=$v=V+D`V0FKGz}4KM6VnvNlz~a zJp_7&XZ!OXYb!~Q;TZg5d7z=7DWLfvlz;3|&=v4DEH)Y z5XwFI0%$$R4f+(cA9PBRo(cyw2ek#If$~5@K~q5ULCZmpf?fcv2f0C?g7$+>p}pat z=AgEqG*BLBC};|3KK12-YGqmh1Z(E-S}B}m8pvpqoY@m$tX~pt!*(XFT7j$T=*;U# zVg30u;Fc8jnUf>-VW^VeA8`!BA)Beg;Fv|Q@Kn&{}v<7FIQ zeO-!|gbze7an%Z>{A3)PGmoXdF2(s(`S_JfiC85!krIJbTG;W|Td6mZ)QfBPQtwME zb?WK)UX=#V@AG~AGhOe}{f!v&TU_}k6Ea^+D}N{2L#zLWuKaQKsbMSsbr65rV}yo?n;=eLT!tcewG85Vj^nAP{E z;)|cI(p4tT$Fa}<=o9a=DGkSUvFyedO#^YPnM0g64VOGM_*N!=RVrFkVaAs|$#}qf zgNF<9eH;-tTXsg&l6IVEfdiG#Y6^BLhkG$LQ5;{)6(r zVjRuiiEopVks=oMhGaul>lYoAsMEN?P(rY%{yqDHi0D`A&jF&=d<8<@niIzS=O9DR z-zrIez8!?s{GXo%y#d+|`Uv!$B)ym-NvpAwy7~{Gr$8@*wu1J8aNX5EgFKS-QVb{# z)CrUY>I)hTx(Rd}=ntT$Kre%~g7$*G0{sl~plvarI8Y~07N{?1H0UPKZJ%a%%}vh1^@5-QD5`mBgb`)n>(Hofx~HO@6;t}rIrXp?RzyTL%L>{?*N z4br)O(!T2r4A;^T!`J@INT2H`U8@CFem6)hu=>(q{&SUJ5yy z^n8ldd6m(IUMv6^dL$uiq5fV7$v^M+IGtsA$*TMfT9skbv1EYPkN?hebm7E#lctYP z;tN6(Ehg}HG1-{uXio*Gp*ChG>X7(*Mg8;-0Nl8*#7olWt3hvp%0&S!{}p`4Xya#+ zRDM8`b~Tfv58ng*gUXahx68=|W?AXfKB5gn)P!h<$Sxwv+DCI1Yg7Mcb-{6OZEf|p z4_;fKjJ>xpE`VQ|lYPHGb-zijDmZ>eLBXKk*UY=7K>5QCk!g~>t2cjyTpx1H1z&#i zSiuDM{-0hcEZFka_AkF)xbw@8h8IlQv;X_e!xtW}{9Mhn@C&2vSa}!fek`thuV+@l zhZ_rrefCtrh98C(eD>YYg0CJd`1p;2As@X`@RuD0YNn`ckrlOS)u~gyuWm1xz@`-x zJotIR`b`A|Z@*bk@ZR&ISW!X2ecw@4HPcY_6u;}+y9x?!Vy2%C9Qt>``qv8zwyr5C zD1YH5Hn^Z*=`pHGnaZJm8>*ho3A zwdm-nf5{Ij46E_d^TD7R1{8yfLB@kXARdBCz9iX~BpYIXNwP0Vb{#ms zq_Z#S>`OZPBGlQJ+39isu`PTx;KU_~7%YFNd+TR!qMYJzVR`@2axBlMe$&k? z*JOD5%kr`hu^v-nF|v1fw-wu>WM8jSgRnwR`Fswl4XHu3@y8nZW0%Rwifxgyujl6v zU@bN0^Ke-idkkfU*Px6V<7Fab->Cg};u1UWMVY~Loryua?C7O_FgK>>o#Zf{&rcUG2u)5y$$zRL7kn$%r&0X zvb^+b)R=ujmaSWl9DFJTH4KStHd5Y=WmU~TOTzBJ$d^dvm&X6UI{vhFy(9ydWZ-`p zgD%OyB|Y%Ju7@E5>UyYa8>M}x81gG6bx}HWjFx?!I&?|q9w^p61i8ou;`qHsItu(aM|8G4L`1Sv5?=C&J zGe}FYOc(^_lDwUNBne<;C&J36a%Tcc;TJagikr%Zi#|T~-E3JN^^c?9!I0{`?eO78 zVe9q&;Kc9nSewWP?8g_U%&h8_{=?lVV~-D!KYS^@;K%uL!M9JT1(ey}!7IP#zG(+c z^6@vH%4R)fdF4BDul(`;0{=#Vt*h^LV-IM>JK3z4EZ_4r8Ifv%D%)MR|pP`Ogps8Fv`IAHIH$HpUF62M*w%mKip}#)yi9f%&?ESxmQw}1%>2X+k z&%f-?X(IpJec$BNJAUe(y6?W!$tMftcOOg}cWjiuKvQ|&!ULy19wE!a|9<>m^YQV2 zr}iyG`)g`hO--*R7yqqbvT$bpw#|LU2!^-bzdQ+a>L zp-)+L@bKnbDskqKU%_p|OLP3v90#CWn&X#n{1T3T_V3>&j+1S7nT>2bjo^t@I(NLP zjnXl(k?if9G-!15G2YRGlF4%FIB3{a`MzO;VEidA=qXdWANO%Ihf z@*1ZylJiEIoXTepM>&1Z9DgNBV~#L6l+RW~Ih21ck9H^{ctP+EeYV1}{KLQO*|*ZM z-ShM6Uax-t-JUThDhdoY*$;j8klp2-X`kfnZU1Jez3k&&_UAt}*_Gk^3MlXc6*yqG zJK0z&@XzIT`#@G`w~GS9Og7JF57|n-Hrak*<80q7u^pLbvpLuVn{61s!Z4H7^Vx&e zWnY`Dzp$~^Z*H@Gb*I%jkoC7(>l8RC3Ow_VeCvyUH(3=?V5lkA^XY@R%f2$@o@8Tk z|8-k#kC(su_s$`vTxBS~#n5ZC*pO>-lp&lKhz+_XTNwnNuS3tiS7rJ7_UwRo=fs{@ z4f6Hu(ivX+P95-UI&?0P?mXYm$?UAje{uiOBfBT!G5vm!+5fD}j_T4+hdO${a-c_~ zL-}rkS$iNO5Zk`mkPb|lZv z#+&_*%VMvZ@z(dAJvZ6k++wnO4$Rl*4vVza4t};~*tV0VgPvn|+1NO=2W&rfHdd`XHfNzo-KQrZNNEf70fjJqU7mvqr3T|_Iafb0Zf zXD>x1QN0+B8RJZ{L@!&WzfqvecubNK@u_~{Eo=eKahp|s8#yUCBQYs6F*z+UIVm+c zIcJEX8DzmUS7XHwW}qolAm|0#v7TQ7xeA0~x;^j9hDiyx)1?@mZz2k)1{4mHC4 zoS?~|1)zICPlEU%be7gZj&-rL+;SzY98R*+1eeQ7^O$Cm5_9EMRPHj_+x+t6>?S$h z?BvUvUny9=nB=r(t$k_9F)BlmvSV8-*^)n*gJe$qNlR~wXZ(zVZg?bh9+~$_|6{$9KenI; zfBIPxDz}A<-=o3F^q1vwuc^j+m%O$Vhl0||TgkQEzMdribuoOv0oD_5$nuvnaaw9^ zscilFK44f{c}w}1ykq&Ye6al6{eQ_q{fxgp34?|*lowwx$*0yVOYi;3YI*ImTi0Np zF|9ma_H{cxG(xVK^MkzhSk*%+Q<(){>xqmhDYCra-}2gbhMXA3FB2~-kHeLHJoDK7 zsrjFl;s)~X_vP|iBkT2-%<_rx|2Tf|`3%aDw`}1#p}Kk+o;R&rma|%lk4&^NN9NL( z59upb(u%2S{lVoj8yGv96_V@~L-q#FeCV+zk9!|`Xl5ZjB(Qv=A9|$OeZEH?8m%&v z(T~O6r#u!&K91z$^(QU&u?M17um>#0Qs(=74{ZHB;tSvBTOTmj$y)I_7qHJ))X7p- zd=bTzFMcZ-zWRGoZ~yBVG2<{B9zvamyl z>KQ-3rsJgonhwc2k1SZzNkI?(^s^*XZavZ`)s;SCg^)g}KIub7Na>S8NgtT?NFU|Y zBYl(=TKejjlu%i^EwDa~45685}&9eNH~vBaMm|_JjoD z_h{b1(<81&hYpm;Z{FFHAD7>`GbMU8@8and*Q-kxO7v}>?CBfVH#wOS{hOzH`p5N8 zO{GLZ^K?%^TtRv|B?dOn@C=L_n2}K@F{pW_XHeXr%uLD|+`PMIaNOYT-6=7od6s8L z+>op+k;tY*b~aB$WLHnxu)n(MUoQ=g(D8?c=O_=;>o2S3hUa*O#tqHMq2j|VxhLl_ zws)E{Z{EMysiBr!&#<^*xw(`#++y{9!#;Dud0BXjZM0ZD!{dfqt&}ywZ1YZMY;m~F z`y8w8ZMJzv#Er1oC~KtI?p?_|y~6F@ne@YecF)MTk#@V9b$k>1-fo|N|NYbK0~mWm zpF7IzIQ~BS$l-XOu|GQ;)$Dn*!!s&wl*2)FN1L6;KVo~G&fUm$I*+s0%udhfxY150 zo-LMQb=XfVDycaIV`x-m$FzZHhh$Y+mf9z|AIomtDn!RMvjsFl!*2xO={{^tU(H35K<~PI|JVeguw_PGue2HlVe1rmy(cp+ z^ZM&s$xMnXl4x1lN6UEgjR;owzm!)J8{f_bO@~+CkvrXgV3hMr3siy$MI6hdf`b^H%)~HwATii| z_*S^zg3$JLyKmL^q9=rQp?gOjgto}-9u1-O4@*9Tc9`3J6NL7D_uDoIt$#H0Aha*J zU$H@G3(DR1LTLTtp9i5Gv(x?jD4TQITkg$B1|DD!2<9jlgcbuLgf<02n-Z5Igf z)49Yo6*7z2CS;cCB3G-s#5F}<7x(qebln1(UFMpoza_fio90>wnay{(M(cZ#4w=Qu z%mJB2FZw}d{lk(5neFXz4S~!~b}g|&X8og?3YnebnwJZi%|rVkv;N^vh0OMIxn}px zu?}45T5N;N`k!A4WOgWi+f!NG3o`3}#xi6!Z}Ng=OXrNuh0OXVLK0*ak|AW)Fq67K zX1m075i)C-aEXvv%swHroguTG<2sYfc7)7Ac7)6tCT}~)Y`eI2LS_>nvzX&TW(~8y zC1lnw7dJp={laq{WH!lWYYUn6i`g}h*&C8gHr5I1kSv|#D71(WmIg>#N6fenpuDR~LaI`{yRu{>1i063P1O*=R1;)9lo zn9LvL|>CG~HSUJb?u#GO>G^1Ij4=d+b z9yZNv*4>Atb1V;=c5jyD!*V&6hfTAZW%Cpd+wd?t+mriO#+HQhlqI_|XX%cgJT*V< zxINdD-7Lq4#dCBHd&0!n(r}(K=P12CjAY)AdgbIa%k^Oa9hF-<-CN1nvT&X>=N^BL zvDYW}9x%g=&)nQ*Rv*^Vk=B~t`+fIPF`hPAz2g~s)?%%-f5whkt<7vcEUP1JHCtKP zXj*adv~hmJP~fM8g=UU zaC;y-%3hT>;t$`mgG220W)2^g*%n7--v6ZH_-jYHqTVX?zc6|t>uqE#cXo*BP6fZO-yIY8ksFnopcYS>EAPk|)er$6=Q2p5CMHjd;!< zWM!GVduKEDc_TjK8g=&$W9+tYo-lV;dRAbr{G(U*?&eHbPi%1`K1&;AdWVul#S@my z%I*ud9jvMS@x7&4rc84;?BuxU3_y4U7qcf=SZ!juMk<;U(ZX+GEi zu{>;=W=i$F!2a0SC`c(>ta#Wor5QH}VtLq9ZkFW3@-LQ$O_PFzGtq}dRV)viCN}HD z#h8apI|Ye2m;YEEHcb!!63bzuX=@E~*zjl<>n*S*I^NTAy31uV#W%a&2UTXi-Z#co z61kM+S$I17de#q?L$2w1tIl2kjKNw^VGO_v7%M0$D(Kp}ZBqZDqC#96Ou$&1u|-i%<+o z2q=b-qZl+bPz)hQF*F+}hLEEeP!%YKkfRt@azHVJ9K~Qs0L2h;6oV-N6hjCU^T#=g z!IS`sA>=4V2-=-+l%p8dsX#G=9K|S=O(~!lLZDdAb8dGrPz)(Tu^XS-@ZR)3@o77Kq~aWAdX_F z1{9mT^R;}SnEq0ydJGyi<(^IMcd>8+ilJ3NvA&zihXcg|?E;GR`ipyOZ$dHNSKrg_ z`9QHi_km)g-R}8>V%$dQ)!5B80>zL3iWS{f0u*z)-FFg-aZ9CVfMU*PX|BMu1&ZC_c25I}VU_~L z`Xd1pLxNCj%46%dZ{P4_ad#7;*o*GhGk{`PDFDR~aumzi;hqT;(@6wSY=V1z22c!( z4xku9j$+H*^MGP{K}z8%9&!|eg#;8s$WaW-R-hO{j$(S@O!Q$L4HQGjQH;d66BlDb zF};X$`3H&t7C4FlFMwhQIf`+_VfoQT3@%238bYywMMdcx#jxN5iuEp<7&(Du0>zL9 zisizTO09!pFrQQu!y*nS*3D+?)~;3S_UZVwaT$&a1_Jh4=C0)Bhsd1wgrkI z4HQd`u_=j!VOS^v!@6YTSYc6h1%`nE3`;?NSHdvZRKPHV9K*2S1co8x7zV2f7>1Bz z7|beQ7($L==pZl*A;&NnR=_ZX9K&E)0mBdi!~AiMVHi4K7!4q;#IWoJh9Tq_hP62` z3?aubN@Y_D7>1BAY_`ia2pEP`cy`s`n--NUTXfS90bzJdfUvPp3WEV*NCCp^vm;&J zo9!IJU`YVN`jsptBO=#_Bp~c2EOPrgoC9XylS3FDJs`|+YY9BVLjYk&1H$^aToVYw z=D2R-5Qd2Y2rG6?fJHJC5Qa1$tk1Zjd_dS_*D?-am|=jh5wNXLeHb7N3_w_q`L3G) zVMqYNdM{nl%O1!EggJVTyw$a^Cx@z%Aq-XL0Kzcg0AW2~DPtjq z1R%^hi{^@zL)dtis}K-|NeT#aA^`|PB0RIIuz2CJWeaZ{C=l#s*L*@SLIECf1nXYn z8UzH>$pa9quWMm9AQ;RN84Bz0W*!_z3?z};s6HA z5da1sPNWrwWUlui4FKx`yRt(a0K;|*0hp{^oFIv(a@2%}Q05zVb@ zyWWh+GS^g(VJQ}tmIP1x-qRK?GwG~LVPi&jFzIYeVQEHpHt8%)VQ)rvG3o40VRc3) zW6A8dI)&{Soodppw1ov4oo>=uox%=nT!#~#Vd9H=VU3C~I@6@HNrhz^-QA?KOoe?K zon_*N2~d%2RvtFyq0veeIpGkYtp{sOA#BuG%~G{(HZ#a)T_ZJCHC1b0!W;J|*>P|^ zgozrf8mcveJ#3H7YK4Io!bFYL4Anm{HpOH!g*H)zZ3^)DGxIrw)mqn3)fG~3INwyC zts2WS0Cl+K43ooDpSc>#Gfd8!Vdy{)r&C-ol*uZtXm-@Tiv6*vJw+!%*{q_8_^v?y zE)1>O^*VN|7!!-lW50d(k3XC0TCZaKqjOF5*sbCTT5^=WJxtJlG#?D+YJ}d91!Ou8 zvp<`%#gsBwtKkMi)|^%Q2J=1LKU+*lgRvT}H)8>q`Cr26;S;mjV6TSjEm=#Z$A14O zM`}$vb2VIV!A8S&4Y+YEdOH>pr88YEdTTbC>{!20T68uoL{n$Y#`1V{3cjO^g}tz8 zMHnrcbe65KZ=;h!LW0i96}E14qDg1#0%^&9>J$=obat-*sptfObOPB#7~NU}O1%v% z5HdX8n=)qZQd3AXIF@rQ*VBq1v>}WOL&1bJgmHajnc{0&4lf$ty%ixaG+D4cj23ck zZKvTyMH5B>fk_hv+r(l`OaPoSaLh!E1XQ{(j77)+nAX+YJQg7bV5~TVkt{+Ez>8wtXA<^b$Bn1u-q01o1C0ERsx3~3Q^0QQ^H!l>o|468^O)gt5o zOgwH`i4Xt`j?ustIRM}ZkFMVi0H*0-Fs~uL;9-SoeZ=er0N1sz0RY^}uD#does+Wn z035=)1^{y#yQcqp?lJ+uA*^eFjj*xjx!t2U0Ee=!0bIg>z019W063(Ltt+%Mp964c zGaGP;3;-~0Ii3S>XiFOaj0^y9Avz!cxE^a;ToC|#KgMG;?p>d`Et&`b&U*$!Tc61- z#)JTP=Bf=F0l>kAw-|p8z<5$QIl(5kc!B`no(>McWIyXIZ2)k<1_uD!H@TN{0H%U^ zLmL3Bv%vwt54d-h^dbNbwzC1i0Sg=e?06aenw^H|b08@_69OnS+H^+rh&H>nOlnc|G z18`kP&>85$Oy>aXH`9f&&H=bC?C4B(0a6@*Y01ukO@thPIZo=$cL9(9;Kbe|Cjfv$ zSnvQ~Z~?&C=As|~R&96yaD6sBuFoy`nwD8MYhp_R;1H%fTFAMz?Xqk(Yc>ElltGWa zfeip|mz0@n1pxaO{ld2A0PMHzg@w-n*l$J%JD&rv-_94-J_lgGnIdd{4#0jJM_B$G zfc@6Ku>S$TK^zXi&>?_cf5-vY?+Xwf0S>@0Q3 zm;>CvG&%<$zL+Wi;N0nE7XY}fcK`sueFPqCfX(V_=B|KX*8uQWI0*7fT(eBH{te+7 z0MrQoz(|*?KZjpT@H(CWz!o>YeMPR4h%Q90vmz738~puU~dTL1F#odU~i(?7KFXr z{g5TGLX%t@-*!NWYaHAed|%sm@-q}q$);NKO5hTtEg|8QFdPaE#Z#=PG_ zC|ZmDv}hfMVn^vl1~-M$gIESHg_2J!gOft(MJ$7lLg`B^oi!Ajs`Mw8&a8?}R|<$_ za7QQu>tPuj6v`kfW$;fZgNbEuPbfo(rSnU~W^4XCK(O_)8r54@%ir=`Wj-%}z>_{%);rau`bU?A# z_!C?|;OhZPGQ+v|B_eQ&nU+Pmq>_oYW#fFp4%y1jGi&D)G|kl7HnFIW*eWy!oop6f z8{=tbB@x%F9W@b084|={1#;G?hn_Xxjha-FP}VzP^ngrpXaV{ta1fdn-Ufyu(bJIW{5zG6|%eVJ{K7thv^%FQ|U5CL-o7~Dq z+D(K90Q$&(_&PYvCtPjsCGWY{JAp3TZxeJTJ0z3OUFf4}Zuc_iBe-&)dkp8bL-F_$ z0Q%@T_gd&9;n^{qnY2(uEp$8eB66pznN=%d@+JBL9ZVdg{k7|ytd;_>7>_fnB3x6kNlF&6LcpKFJ*8w&DSmuJ z_@!by3ET{Ut_I(fz+jzI3JQsX`1s*@?V*rh)hWqcA85{x=%Ank;H zP*UlLwgo99?xxZdQmd{uTbkJUg+el%+816dBTu;w*JIfxo08E+oI9a&DC&WJ&6lMn zl^amjixVha#0eDWpTHr1;k(i?l$JD#1}~P`(YK&~uZl56f-=a>@L zLOZ~Pd$EGPZV-~Gq@z7hM}u52m$_#P>d5d_1oCzT^Z4Y3I-2dmp*GdiW%!apC>|FQ zD3;MKR~}GSc#{m@UkJtHiw)Eo3b&S4tIk&&M8!I6QP<%ehZ8$c3fwZu$AsK zFiuaO#W>;ub;O-!b-ou-51XS#P47{Q7T^Sr|IWc*n^5l@%ocZcc^2TA4AQ!+|5kT66OlC#v*tK0Bd~#<@y*Lmgq>Lme5uz7dMYXTPThjtCWLhkJs)*uiTBf7?S7nkl4? z;M0RT@_*$cIL(FDx2U)u)DdlQ>c0k3harSs=hlNdBCrmHDY|1nP)A*;R0uHAdF-H$ z5=kBDoOViQs>Toh2yY$K5rKIq@H2Sqly+2#p9K=GI;bO#njx^&;I0!0%=aFIpAPCs zKzNqi2I>eNJE$Y^RTD#kD+Q>8+;&h$aL_>=XN1S#^diRUCWbJ`P@{q%$ zuG4b(yI=UtJO>u#e!JxQKn7m@!ZW-F7TxVLA7ImX3(wDB1zqL3x-ZSa&u5jV#T#Cl zqp`GC2~?`%D3_<*5aOLV7!1m&^Ckg2l=8F|LGR2_nIYaJz%SE6yh-4Q@trv`?u;CI zk-#s8SLRSgP#4c4ncOmrnwFHwZ^u{gv8C0@M~RLu-IK`F1k z2`chJPEc{lP!m+W8NHmyI6<|HP!se&8=`v0IP?T9t~)_FtIh;1u5W@;MyLr|9BP6R zGxP-IIrOTFIun%VgqolY87MwMi^T+0>tllAJu?_L=|2zZPEaYt1SMX*6I9I%IYB9} zz6mPwLQYU|$xstiy; zD9;HwL3vK73EGf>;uBO76I89w-#u1OaFyH^FGwoK+oz-?Q>)s}11C%vlw@v2p3Rt6 zl?Yq40#6i3ZQPDld@($& zNzS}KZQk+bB6AM;c4BfW5$5E8N7YVBy>fG{FKn7K!L@T4&LhAV7?WFxkTcWDO>$-a zhM$fed@WnPS25N24sXiGZ+A+)t=6JlQ%u(C``_(hwZiihW35C;Oe?RnXHAxz|JQZ$ zy`N!q(qr2Ws?^73yKB3{WUHRM3oj6dD=@}ZiIA8!s-*lf(kX>^f4*26@9F+(;lj?Y0`uTwosi@0u)a(7NRH?ty`OI49!@Fh`?po+{ z;#KE4!2>9y%Hg3 zrj zrfl=gWUq_LkGr z2fO=5r1M?nbTtdJxdyX2HFd$tNaKvQHcsL5oQIYawR~uq=-#*sS)wsKv~*F+W;E`^ zrHY4^PAWIF1SVNp`8tjph>%My-<1G|x>hN5Zqed~b~)q57g}17aTC*`5+P?%K9kxM z;@b3HCoKr^-oij;J+Ve|b(%G=rz0&nsUuw~N~YT7^Y5Sq2Lw=Cl&9w_HY9=v1iYo@hfuT`Sd znwPdblF?B#3&nPB%}!l$n2LFma_-q?el_fsN|ags#ztH$@hbV4`a??jTMr-m2sj^( zA9Bch{vFfHVQa!(s$TuZyZw?nc1*$x6i)N5N})rF37&JILz1W7wq$xbbO?5%phFP0 zY6V^zFW`UXFctE0*HnkZRik$oS9Z!j}F0Gyl!Fh0ids( z%(q%`00KG$Au+AIQYamQ_j~=hnsmrKHp^zi7CdwaLSoveQYamQmv_Ch&#{5I#;|)F z4xB`Q4xv|i5tHhK)FF7Mm-}DN4ea(|>_Mj!ClH`R5OO9}3aLZzim|u9x99h9j%9b* z?Kpe^9fFWE(~9fr5DdX{=#91dR#vPI!EqV_Ffjz7bqL0K23rUnvi=uGZZ1DP;2T!@ z2y_UIII?ni%}_dI2z1B?hnL?}rra;Sx`A*7dl5PWPdhssS$y0>>5#$DA=|2@Q`!}FCSVadO?TK@`Z<_LwMPI=n#@B9+D2>+|Ux3 z3+>Tm(Nu(7YAJ*SccChkQg`T(j(rv`o&p_0s;WgLLe8Rmp+gL>>>Xnj5-8`&Ps=% z+}Y?56gw*&g3+x{he)AcAc&{n$aFJuti)8=n#ahT7gI96sZ+- zNUOM3&>;v(hj4BJbO??}LWdwE9m2UCp+m4g2OUCZ#-T$vH&J{C6gmVue56A-Hwij~ zR!}@79m2UXbO?OY&>?h!gI;e*ZAgI*fpZ%=M7X#GHx)XBEF>P14&i0tqp!73SzNMU z6m$q&_Rt~xj4gPg0NngFH!m7qFs@{*I2R2a!Vl;wkY2}qd=@5Lev}mavI%J@$z-A+}%~pw!m^P{uN{8URR5#x0nC=?Z*EQ1NAo+KY z{BtJN38_Qyx~iG;ozq>zd%MOso!GsB4nfG7R4Jqm!P~QLyw&dPfp2e*u-mai1s#Hr zGt;EHIs`*7VHv)sQ$5IqUtQuuaGZt!ObkJ29fDVW4R8&D4w-hFH8+=UvH0@GkAV)M z5l2=ouNg{*q(X4I49fG6#utRQ}3>|_f=@34Nxoh$*ufz@=f+*<_o`u<5 zgL#~qI(!;*h<-*VLWdv?9YS2_5MDmCOtgm%!BJ%B5QL;dc-aK#5Rxh$k`CeA&=S}J z+9M%110k1M3L(J>qRO?V7M@m#Il0}SL#~ax7CHoBixyx}zR)2CcnI-US=_x|0O1)y zIz$SgL!^*81d}VI4w35BA(V4w9U`5r4xxf)r9-ITnRE!soP`ddQfJg5D0Egj1m(^~ zhoIP5=@5)=XdO}#X2W;feSRkubcJhSRObkt6HBQG6p>t#jca{jFQX_QEEaB1;VN|yWokL5wwL}<| z8KHA(3D=egqq;}v99zP@CBmpIANOm~8#Z%pjo4LHi0{jhbL&0JF189^zv2F_qnf`9 zWpjj^i(W^X+uJhY>6!wckwLl39DVP)!@<^ zwdCePsP z5?R6x)?K)_Vz`qlCfMs0l_6YQc$WrzUNOO*uc&n6v^RRcF!gm_FX$Zd^ztw&l^twf6H{Ykm8_*IK)I7heqm2K&PnEBv>4fM-#b7z`%FzROe3#f^yRAoK#%M7N=F zqk5Y~(~m0)Q#%`Avv%zmHdfA1vEPn<`tipCpBrEl&4;^=i}~-6(S3|Ea>i){nD+3) zb|C2re zx9~@K2UJAwKDRisN6hl1R7oG-NT+Vw>$ZXOp**;X+`{%&74ig1A2R4MK=%an9`KKlk&Dl!N77d^o zmxADrA%gbQFmz}9URo_^PECMWc2$omD3K@MJ9tg`DdwnY`FGB@q z*8KJLmzP%^h2`Z?;dKQnWb}1#AS~}(=U7-?fP%2Ri=9(tl<>NO5;9t=Re=Lxc@JL1 zspC{(c_%vO$si#=YmOY2Pj`leX^_B}nlE5^*)@DS3vZXIKXOjgfrNaBq_A&KF^MISx4CyQ135E5l)VH4vc~ z65t`TyZ{AZdHJskR>&6qZ+y7jnz1nf7|jkXv3hszD4zom*Z{f~3I=1#&2U zx#eYIsX-4#om*ZHqAW4+P>6lt*lBM}9nw+g1*nOLm*1E^lr73N(4q}sW4m??Q7mUz zV#itI0|P>*O@!rT&4+Kuo>abTTN^2DMv4+dat$bx^#HGX|1#s zZ1o!5_${V2_Vq_EZu4Ga-+AfqI@20y3)|IgjBfm9(`tMAxl6~qzqe;yI6sn-OlF5Z!mr8`~fyM z{j&`hU<7|KeJX7L<85tje2QrmXG~p{e1bJS^>rJ0Hk70L5KiSIG2FFaF zNb6lD!aCCmM&dZW;wzlVG1CfZ9S%sgxhfP2Vfyb-YfowqVFJ1WoBKq#T!+n7P*RXH zo2x>ku(oQQ+gueUg|$`d+~%rKDXgtp=QfwYNE}?!{&JhELZ+~`YMt9$6*h&nRqNd5 zs?aH{ty;Nma-W!y(iJ?<8a!lTp=T1yu!YPXVU#WcIzh z`<73d9?sFeu<)cYroK*=`$&m{GO&E>dh>|}uI&$GNeXeyAZ7!qJBv$+6KVRQMf3%1H_E`U^#ITAKk zkFRo@tC{wCjFsD560(UNE(b4)>g$^xy@B^R#;oL&TXzLzIsuX7}zSr zzGK&(*3dxqcnd86HPN}dwP&EJ8Uz$;1325R9YbKt8TQ&Xtm|*vb?n&1i0I-f18QWE8pk$Lv3sEQ!kiBgPkx_>+ zBN9gG*CEV^{89RK_%fonm3|$%jBrY&UxzItcw6b$A=YCk}jT;x+eJeYtHh>XrfK?DJkb-?mUy=7Cw_I zaO|cDZt=nsF4zVAg6#vS&o_ux;QJQNlPnu!OGcjs291zorQefOXrN}lK#jC`k}e(OjCem~`x=nhFF+%u zo}^kvk?Wi<@w$^cVrn!(oxdf6nN{aY)m<9>Bzu8R`k$| zOG}b66TImv^MfynBU-VGgr_47eu*ep8X!wajgS&-e(KpkY=VE6`>Pa7&r^vA-GbL6 zrqiqWh$~Q}!U3tkhgHu_1scx2)m;;lQ(n6dsH~lNKY}Oj+Bh7$RtgYzH>%JAJ%Dd` z6Isr`6Bx2%3nmU6AuTxDtD{>`jhOlOlJxmzY?yu5yYNY8DA7yTNVq~iN%JoB#q(_4 zLDPEd`gKRP8l_+33+dMb8E=&So)sEk69z)pLK+^0F05P&X2L+| zn@IB`8wlb5DBK}|V``f=(S!Q)1xK}?Z5O_^QXhf;w`k!DTl_aqcLTvQ`0 zo`g$>jPk{dFjzW7R3oIGgqlO1%#Tv>tW@e6g;3{A$MvywIHOjDDA_!lq`8hj20 zLf4WWR_Cw=tn+(JVmDsQJq=Xn*BSBTO1}oEgMsi@`*mO)g4-2(4M^w50_c@~4MYbE zL2ADSpo4`7RQomX94tiLqfXBX4Gaehp=%)%fwI4_axL@*3!!f!(}8RugmeoY5FU=g;Su0{=IN)ax1 z@X*oBZx0@1;c`z?#Ud`CGdw2TR@$ngZl^{A-mY2%G5_okw(f13%619EL z&Yin5ZASTMph7bD6oHOVJv4#xKa{~9l49ayNe3mx=>w^5=rb%)E1@oWp{$8N5zKb zZrxxX!7I-zqguFieMJoVyGQS$q9^=gSA3KD<+^q2x9m=PvKxZ<9wvL}jZ^*osJM%N z^!SwYZ})$*{eu{U?FBqRm5=*B5gF5Wbg$@OqrZd?bd&SHS*44&zw_}RlDY(V`%8~M z5kkh_C3^k9Q)VW5`H|Gw|6a4?|F}O6<%xkK?|IHXa^UX*I+4SF;E;P`976{BcOuC= zqE)ms!pv$TQ5(r?BU(kteZyPzl7{oX;Xb`;1{}P3JtfLV=^Ew}S+j3%%)p-(`9w;? z%qF@q;zaSqH;Cka5$S~m$(B~w^f2#8Tc29QvAunQlN#ygu2pZsr-4I3I=f_eBBfIDBX4Dk+^M%$2i=fx7AaA^qJ(PHGF zurE9${~J|;uAw#@-C~Z}v7;N}ZM8+&hFh)g{NQ61O!E(c>4UxUzh~Kg;);FAIUgb9 z6RL)?qZ{I5t=YLRdeEh}6s8FUVA{Ei{j1u!EZ7D?pO=YKnjjE5#x&R`tfp`J&1;`p zeZr){IJ}=Nc?&J$%b$_|QR=SX*xUpho`oZG?C=J+Vx+hwL$gYMdW}f_XWdg&uso36 zl0mI{N`uUgP-2t%YEoaCXyPXI)ug_d7Hd*pO{=e_)mPK%t7-N1cU^rMXOlgkquJb@ zq<~JwF5Su5F^rsMeW^7wn}p@$I}W3*I*sV{+>%oxTavA~)>eAwYi0{+P<*@z zv3`TfMhDKj8gwsJ7f+$?Eb>nTS_w#Z!!QvuDBE6usx- z)m4@kN`i>ypTzq;^IP9~Q`J|;&R*N!pY|*P{h@`N9tQ369^BbIc=HJwP#$Mlbjflr{fw*7b=0Y&LG;~c6u3Bv=>1*A-53K~79%1g zdjHMB{Yb?!J*V{8;Ffd)m$fGE6Zj#-?9I#D*Ndp1V}s8vcZJ<4+_W&V`{M>C8V%yf z%Vco>9Mp`;wU0+WR3n8ha*Yz&{Vx-9}O8ptVde14?tefnYpZ^zx#(I?ZcC_ z@DPrVJw~C12c2%))A5Q}ie>d%pOhrc6O{D9UJ$a5>JRqf?jPG6gj?YLVM)?FL`gfB zfsb|6>|90>|NEXV{T$`~aVgK`F>3Jhq&!jWTH#FvXg}}n@u?YI(RbrA$`4rK&Kjf5 zejXP#f)bl#yGgd2WE-N-P>?3sZnE2Az0qX1o9wm#IsZBBHqz2&G&t*ZGqcn&0GR;; zI<{anD7%cH=3S&t{`a%Bpt-fV|2i;yBEEQh2Mnnl)dOg;SYVp69Q-%flRybkW4$y844^qJJV`8vPwJ~ zfRK&Kgdgk`gwoXVW}w4*d_|ggC;%NBl<9UZlQ$UWw6YCOCHl)QM#xroSm!B(K*D9h z;F85S%9q~5*$CQd{!G9L#D)6_FNfHPm&~y(iVc0ebcRZbalWd+ zq_R`CcqjKv-Jos`;0>04fS4v!;~&7Lfij!?HM6Oq)6q@V^@g%)>FgOng+@Gb~f+X78f|W z15i!IOzG3 z5gn*BBPj7=S?(MxtjikNBe8va4$|wI8fmS}_UF0)9$W=b$ zUFEaxTpFJ9{8sri+$x_27y0CEjeIty&E(VIK|T!)lTSkfCQLSU$xqsYgB=bI+K3a(az?Vw$+hr@@VUHhddr@=)& z4X*MD0vGu-+#;X+Qw&=9G`Ps8;THKcxXPz|E+R4atu81$F*m~CT^&$(D(_~uc%ks_ z=2};jjx4h`+>vB|6!??`W!wX&l+LWeQu}FskbbjAczZMYumb9lXm;|NGyJ!#V!~&{ zvns34&c_O&cnVvv@opoPFDUzCl=amctJz0@M8Az?oDZ#-4W0>({`&4o_8_3V`E%9Y z8b&-REm!)ovUeJB-qqJpzB9zr5}+#8J<@V6(B* zK+a;5!-mIyR!cOgxGELk7T^ z0UdvBmRqvMXpXc;}8^&)6~z2H%mk$5b{W-B`El_@9X)N@oSWRMm$B zMh(ze@IAZ9_sV}743jfWhT0>OHEh+R=n&YZT!b6Uk%clot+MTjH7t5fW;;4jWy7kJ zGa-&h+OrOa3}D(w1$V1)AAjn`_O#Cg9*spXWAeTJTce@&#dX+~uR&5SAZNf+ z%b6Jrg!Umm^@bnAdH=fdJ{QVTc0k?p##`oI?@kPQu8w_!Z8>AQx51wNs*V~T)U%a3 z?6wUwBfsM0Fr<&iYQ6eAO(|m>5AmDVxJkfyw6lm!FlA0*^7&)(xy(#7+lyI=T01c# zd5z6RQNc{Czk*9HzrKPZ?=|h7dL|tXC zv5YOQI6;%nUdfvy9u_^!IE5FkQ+Uty?P`iBoQB6v>Q?p#igQG>*Ff&49nxYWsfv5G z%Cd{NQ>(~@?dsge)GE?uYdrjzT8-DM)p$j%x@O`=1fqp$RhH5FIddv1P_LG4=2fV< zYE{X+)w~k@x2RRgyxF|M#lCYG-e_J{!^pLoRWffdf5eG(s#P+l zm_HQT*6CKsyw3c-d@wrYDw)@s-xEi#*RI~=Tx(v85mmcBG040cZAH62LHtT+w5#rF z@$}{ovBYXZyW;pCN>a6}+!ESVX>je@@P;wTaiHomV^YNqr`=vtur4X-;OQ3FMSa>8 zTTe=wQ@rgIW6MuUn){{weU;7QgfC%NKPhSbnYpD4lahAio04j;?9i}znCmjzh1E&l z?Uvc{Q&l$i)4YK1>b>GWCVg1QcyP2|)-2L6dC;!x0Kc^xt4pQNl6Jt_R9;$DcRf65 zS1`@3SYk}7-F^zY@=cPI3wWz`1?k4CIPX8zE_9)Et6f2!yT?1pp0is=U5|DJ2b&+x zMot3G!?mlt0a?59ma1KKGZS-I)vocXc12BSS61QLwZ2NDv@29VqqHmN?^wGE-aF8) zEJ=rZ?TWn5ZnZ12M7z_jNGR>1T@8BeYEZPRYocl_T01_*l!^gbwd3#1!$hsa5HriE zeXD*QU}kyk-RRe+%7n~=a)VIWhSTSfc&z03M->PCcqR^ z2g#}%bNE?;TX%2-Tl};f_WOSo7}nBi4-5PiQY<@>h}qc|sUYt6Lo#N+`x6a^$ZAeB z8Jzg_g0Gd?+9lDe7cx=gr6Z8(qr$R%VHBK_o0|~}eHHtC**#PvQf=V5aD;t!GqO)V zy$#t*%I2Q$-6Qo)T6!dyKK~x6?Af=(KrHfXu;;<^R~YrsZc9Kdoq=ar&7Kbd5aWA( z{BvTU6-hQgyK2p$*)6DO2^@ed_JwDzYzU=4o(i+9Plb*gUDlE+kz0dkRBm^bEX=vR zM|kEJWZ7R^p3{eu-AffLs|E#M7)Ok*kZ;a0hG=RorR)v~d^;DuPhxv4n?^F=4@M`k z11!Dt3g~{KeEbV>KF#Uwp;9@WMt(tlzU}5JJYg}~n~P6aLo+|8Z?;%yR&j99oN%1r z=&}}6fvS>J10r(>=bwAnW_Q?--R8668=HDi?7qCq$H(9m&v24IHs(u}tR<3bu=UvN zM6dbm78x@B|J@uP(#`+)wWj6HqsZiV>eF4A-A7H!rL``(9=|aCg;V4lJI^WpB7NaP zvD&oEnTh$IX<8<&){QwPezL0dQpc)KCTi!uWB9BUtv_?Dm^Dl@`-e?LLez=F#O$}G zT31ExqmJbM{Q|<2r z4l0})nj7-ohl@U4u+nm_Z!BW}xar`!pr;F8#_*ddMDH!8ll|FnnKB&yb=j47 zFxn>@DZIkJJF=1;8NVb#_#S2+QHVnh=-ATy2=j@;k|m&1t1gdXoy{Dg0J zwj53?^7zE3v1-J4*UK~MnW6#AxxIGDKDe-mO*3@4dO}&T<6UCj>$M9H!Iwo0F|PhM z<0vNk4d$L+3-|QcZ^RJWVIn>iVgGc8?4#6P9LJrdXE!z(E<^@v=X_e<89>yf!O@0F~@ zaeEZHHj7KwDC2IV?l-ILUwpu>PLtd<$(=@{aJjlexf|vPF)+Cca11suxqIyD0E1__ zYhUmbMm@;gBNhD&p5*Q~`F#xa$lU{1q7C)Q-F;Ug4fV-g%Mz=hKDoQQBoxQ(S?-#b zhA89i);HcYmwg!FbC_IXa;>uvMru5Q$eDoqG4Xw}+!&?@p+9e!Ta+ z10B7)boOTpDI|C4)H%_stw39h40U0^*nhEAS3CL*TW}$a^pCFpK z-M&g=J?*IyCv_|dp`10rY{%`X_U&vcdL9R78^Su;Qqv8%-W;8gW$A!2xSCmi_EcV`+2A8zsak>=V7|IB0 zC0-+-{_!Rs`Z@fjMW42t1$TgkX;sjy{R}j-Tyu3Zn*U(B6JB<5;63d~z}pL+pWBA~ z+*%4b*4|PWh3BTaq}=>y_*U=*Dg@Y%IgPk{H3c`Gi`9KxmxJn;!;4Xqd>cr(k!@nCe#2s|(HgEWssYoyv5@nDo@Z1{t{YKE(0!%e+xF>9_A z7afjk-bV%u(G zL~Z_St`tL>h1Wd$nQcgVxv`+OWPZ5wc~f}J5Oo7Xj8;dlH~X5b_R{I=w;+L-bCk)d z9XDBv&g^^E8ojuDz@(yK)*)Z_wboA3kqkD46+V@Ey}azu@5938=j7$g3kyrD`p6ho zGg#ft;0Lv>K@aw<8KjQsTLT{qtr;kfv4zU7d)Tm0k?$N9E59CS!(xTCajOG5;Z}F* z%x|@ejQtx;1e|$Ym_#FM4N*n$4Nyg#<~FJ*{5All4(4sB6SO%Rgp^KQ5CV1 zxxFg7-IdnOK(qgxb?hNvP#15^>Gxs56^+*TFUQFvBGGK~jSq;A2pDpE;2sv>qWw^v2CyXLwX z$abn%MXX1wiuhrvsz|gHRiumwRU}%9Dw137RgoC9whdQ_31saF-rB=x8wLE%vq z$-^F1kvv|XDiS31t0I|3Rz;$vs3LbQMHR6ztt#SejVdzeRnaZ;;@@Z@Xo4=@KSm)) zNB#8&Ea-y5@KjL|CxR~AI+klVH}9tS?@pgAS;{%zI)3V;eXQVg7rLV`BAYJDBUS_V zsc$)Fd~tuWRMl~6Vt6=DzLHI>pEH(TC|X4ye1~s2Spt_-O%{8*#oye{qIryTMNKP~ zeC_PYH!71x+`JL~UEYr~H{2WwUpKt{a}(K9BO-egkHn1nD@ybYcY(yw0*`Yay`v2? z1052rttg#nq>2TS%~o{|$rD!X^`VNW4F$D@JCM6=!D--y3D=&+_oi5Mo;@gk&TdA1 znyB0BH3LBHO*g~y4h#z(u&ty&$cd(1ABZ%=jJoQz{oSr3iP{1L#MPc!z=<8pbC3tD z>PRZ1opOC}Ynk-$OSF2iOnPP>CzVbtLqJr*4N$&tV;CN7*|jWu>Z*kXT@2*A>N=}3 zR*+tr3)1*nT2Pc%HeM!`KC>CiN*xnvfupRfBx^i7H$3EKeT)a=gln|mTJIUfPq!AK zT-}QBiG

cVV;e%wu$pk1lsLQRVlW`JO(>4R0kPxn`Zk$wXC0s)R*hmktf>8oLD< z#o6fxW@L74DM&Myz;Q71U6~S>wHLdrERQ(9tfARk`DJyvCG|J`$nhs9b999FBTO29 zAM1m2SaQKu{ylDF?X*Z@fQ3DcSV$&(9BX576w~1W2{QR5tv8kI$&C+VkhBqr4M$K6|&N4uPPud|eboNe9-q6s%F z^llp2VsZUAlbSV7TAArh7oT)KL(YLD;~Q*e;kH=Xm`nq&glo9ujB&s#zTDwW#a*4F z8JBF`c?f3uqM)0@EGIEl>-NQ7$BVpiOR=w-zRnnMAp#UQ2;;F$J3i@uX>f@#-{CBn ziWA}oD8H!3S&gm5Tl(T97l`dkMn@Q*J-B4gyNDUZfyN>pl)F+^#=fB>i}R-Idw&`e z)a}hOd~>aK49TDgoBEEeju;=h2F596M6yREtMW`E?1nABW~(|l4j}@mRg2{`gh3ey zm|b`t@u95dh^j`@x?=A0_$=G}V}lMk`;Hv$4uoFg8y4g6GbZFBty@ zWidQL`ML)8FwxfZHAeX&h_4aK7t{?^zKpa%$`{wNp~{!tn$Spg|YD4WX3FJ?Mw*VEQqE{<`YO)o*|?@E|#GetbHr=T>JQaHb@5jXi}Ux*$*> z%AQNKek0M=HE^bXpU$3-?UsC2j+z5w@cM%6H>Dm(4>I*Cm;?Ys*?6{HkB_ZyUO}{D zDP_J7P{|oBLg*0o{Ynn9xCBoK1YmK=L?$s&Nj_ij=}u%g}yfF*?$>5D*|s=tdE>2%ry*%M+k{@ zV6I_6PBRSQnHlawfaE-1oam#UZuxE-h>AEE;R?tFT@;V4;1h`0E$_U$v_e$*5lCnqsTFG+$oxqSCTktfP za1Ec3sa<)TW*4ws&g}2(Ms#teod20~UY$g=X`?T3&L^FrL@!NYXwNZOh&z15dQaaou1_Vos^oamiFO|!LWwl>XHC5K{@ZkK(FYn;ewFqhA7778LFZV?7>S>6PFY-`~owc45%=U-soT8zz!v268SmI?A06$2E%C zalN=;Q>b_sjE7nI>zn*s)A4g+~ku%|iXJRf0j->RUn4_uk>tMXkWbbv^_rWM8 ze>&q})?3RV-Fyk>9vLma2?hsysms0!MhE7OzKjQMJ$>!^pBD|Y@SHQCW$UKug@-8d zFu9?%1eeLu8Vt=* zSU+QFA=mNG&`witqp9DesXwZz`)TTTYwGuC>i43~_NV+cLxt^|{rwh2she5d>2yl? z-(Ie>D4(NjLpgwQ0_74)sllt#h|(IR9SWgDq6|bCi!v2u4$2ag&r!Ca z96&jNatWmr$7V!njnWQw(PUl*uj(v=SIu)MFQB|C6Nzyz+X{o1?NgN1 zDC*Mdl6o##x@z9cxFrkcmCxuE)pOSD=?mvATpZVvIZ2CW zmraXH?wibhK^{^>P%k7c3zAd|j|=@kNmnjeG;MnMg4uB?+PlD96^to(Ny`?LFO4f- zFn!LQtXWHDF7*|VQa5LDjxi~!(Q4^&KSX&IU=k z?*>VFQan>c z6pr!XNc3?j4*jA)+HtrF9)1hjID0SyaAq z<~;hW(-tf(pEj?2+LE|c>@&}swqW)$^x(LzGZ!Q+V;PoBTQW0gM)~5I)0dVnTo5;J z>0+b{?83f&frkuhR(fWNuRl`no4ahu((+lW;^xhqwG`g6g)5WhFPt$mZpNww)8>~? z7cAD}d_|j6jeSVKJ9s` zmaEq$N6A*nE2~k+TQ~$@)p8r~Ih5s`Q=XuRx8G zbP3{Law(`MC<`xp=+N&0uwb8EgkSp6?N0ez){{;g$Y!4sd>Usx>_A^`u206fXee~!2b>ZKCppHK^z zii+p%G#U%?=+_lQ>M^vbD`~l#eu8&p7xIKyI187Wux?g~)v+*G14+%OV#{WD zs;shL*^IAu>6XpvvB=;#OA4&AGG+ zXQG9#(?vvX!7`qb^qv7UyPn51yj?fw9+8LN7z{X?uRpuUK8#-Ph= z$ct9lymb^=r;DQD6xD)7w(lu(1ZXNK7LOXdUgc;bU!>EPy|BopP{B_KZIQH6w6<4m zqBioXC#gZi5*fUIJ7eNuIX7M&k}VlHE&Ga zXU)T&QF~Ey?ouy?!?X3JJAh?Kgxv-Eb6}YAwhMWAZdUV}1$j=9%yX*OouZiaon5?k zH~MOT_7EB)bjJ#6nUC592{cPhv)*g`rt(r>lb)^em`o7TO7ekT#eTt*N5VAmi*Mo& zdgZa(W!QQ0chFJL5619rUr187I?N@Yuyu!(t~(Ub?&+Xn&=}B6&@#}Cp!+~if?fhS zL4O5(0jiUvgmBPBpzfe_P%&r>Xr?6f03P?u1}y{a06`e{>V*wPZ-P*+-v0(QplqmH z;w7LSpiIyJ&^XX+&bsPwfDuhzR;ez1QOP9usPqQtxHj*GHHRllBF# z*LAc>+t>&l)9d|?6oR8d-|}(X;2gz-o>J8zRnwVO*d ztA&pd;=EO3sqyZj6j%yW-m0m!)G9T*C_nh?v0Qj$%bT=l$QhoR+JjWbEj6^HL{S9C z4bB!SB3N~Z|7P{Un%Y{Y;3&u|B^I~i5kwyxvsXfFPsNjK`8q(Y?wb&@j*CN^L z<(jt+zKJ!+!{TLFpbVp6DlN1+l(wek2-VM5Bh;_9!sL=Jp&gs;g*C6em~3cnnA8~i z$QQ29et$l4(v&_W_DlM{hq1`%Pvhk04?z?W{x+5Ds zk*JB5YuyHQd(Sorux9ao9}0pHyH-F~%?kBips{>--x)rp7H&ORnK!?tTYVbS?L zH>l6)UR!T@Det_?Wv`N899iTlV}XL-h(BDO(1Lxv0{IK6?+1# z(CVxwBq`MbiUGxgQb7*TXwY=f643RadqA5&J3$9P?}3hjPDoOk1r#Gm>3^4`47@vI zHfS5DL6R~HB`F)>*$B^$2c?1>pwXb|pe3N|LHB?*fp&rpfZhWg1)V@$EL4~Oy6o0u zdTY6XbBES?ulAn6_ghj8TN7!kVdz;UX0&HGI}O~r2)%>es9RX0=QCMYJ6&93-W3se zBh?*mWlNa<-4!_bEN9QB*l0;Diu#!(XmQotr8;w7t>D%A+d=Ycb%^iN@ce21myfm) zHAwqt+SJ*t1DxlG5HdbK6qqV!h1-)OI zrWmM+oF3ZLv*Ji}x03E?+KP9*2nsfL>J0u_>5f^y z9NjUwZ)%D)BRc~Np_$g~G#qYB?wgX9m6?|Mb8jonqfbUZo$i=N%|}1M%j->^ex=Z> z7==rX!$y>j!2hJGlwwh(e`y39daMVx)HayHph1CQD)o-Q1m845gd?bQ(8%E;JX}%` z-&ATE;Z0=;ptFrAwUjC&28i_a!%Itt4;_iu`7<1f3_T-C$)Q(>M{_BEV;!X!Ln(?y z1bRmpm4hOn^h2nS$dHPpRSK$AX}^8&F$$MFFYMj7*RyZ7ze zwR6uNeU>|^qI>s|LvIz2rhVR*1gCB<5^bSGj|&dHC~Tj156cyGeGy^%_U>V|&}xUe z?(3HTA0tHSlF%Lhb)!fL(387%PY8!g&-L9B65`|H5KI|@;Q<4$GHKym5)!(1>xzF^ zIO-MOJ;9V<>dwC>)_lC(63pc9Ei#}SdtBDDn=eOGf|s;MPxl0J=pBAecfm0yH1@ax zX%a3G45erHgs=p!_EvLucS85>yaHOiP|JkVDase${(k+x_m`mJno6r&@Cm7xWhkx2 zs-LR9Wo>iGYV|!G(=$h%jIthF9^G-uWU)uej9lhL9gPcWzc zij(5j3o zSD9@t9Hq|zm4HSW!;20{QqkW)UxKg|$d3BhVHUL~fHFWOps}D?pyi;OK=*^50__4F z0{sp2C8%DK91)<4K?#!7AE)8_qip?g9;1IAXeekhXdY;-B$WVLOHi(o!JvttxuDgc zTR{(lwt)75j(|P{eFJi#4pE@XLA|Li|5KUIaT{DRxKLN)Xcm6Cgw?z_TC34N@ezBuFbiHTP@$#nrN$*|nv|a739u}w2-g=zVQh>Pmb9w{W|9+UbXD+ zEx~$}*54}5NB;{{Q_gYkXx94z-`|=l620r^P?Iv_2(BR?Ab8LDO)C;DvF!o{lb5mJ zLw>MwnBih$4!f1*v`cK6za%xucU90izG!7o5}Js9IyGq!4!p3R;0+o{K3bmXSA{t! zBj^p4RS{K~`GN2lPCGR*k*>lmAsee65s#)yEb>(0DhzD9#0Q~AQ>B-0EsdmzRkR9J zg-6=MNsn|{MP;Qdo=uc1R(8PlRAJ{SJw`~L4V6{+^z4y#dD5d+F3gRq{ELAon(o37 zqmNx@;--SCSiSP%$Qz%~zM}y-&IQdnr-oj*Z(;d>$FzAVdR=QF3cZVd!4TzA9z_2R#SE8zrVl~0@c^_NirMaH z+!8VxE7PMfi>03yK5U>Q4I2-d16m2X1@s{38PFckVbJ@auRx8GG&~Y?DX1qX3p5b) zCJ2j2Bd{hB9?Gyyj05(;Pa7_6W~UO*V|~VqY9^%@GADK zYR|qk4GLpL{q@E5H)XCA_)PWnHzmRY*5oMMOqxTEgzK7U$w@f;(x<=%_4^HdOnhfp z>Z+O-A&_V62^Hs6OGp<`tYCh9?}%1;y5OJPU&=EH=N-w|!C0@OSFyff7JY;K{FxPl zo_+o3R~k3)Bc0w~ah|6|pUwCwDb<;Llv6H(R+B9C^;oU{Qg5y}&uv6O4T%EL>RkE* zk?_~3mt@3A__fw7g7lI{K*44aG?_jty(Ht8qnEVa*1s`MG#CA-*GSnRPy&qDj^XpSE)HDC*#`)Iww{g@@YRh(I(`vqbAr|CJa z;Ny>O+JMLP02aiu(YEi=RzT19KPg`CPcTLM62p+~g=!!;bcD*lsl#4C&#~i3^&^Xe zB{Zt?9qf-vku0M7I2zeW@tL`mq`a8mc zQ9bw%Q1$q3zavyVJR;g#H3&L_78OA?*qz5cO_ay}mupNl-j}JEJZTM8rKa|<#yNt0 zg^WWE#i=_`Tl?0oH<9fD#-aU*e8(S^6qS3c#$4lli@gz*6TALeu;ULaIPGu!sNsX( z`j2^Gh2hFi=(Dc$sXeIF0kt+S^77T?J|1+Y0`<#ws6R(*9M8H12fygbP6A!r`M6EZ zyZ-Bj+9@cN#`CwIwzL*cFOQ{X+Q~T?=Q#7ta$E|C3 z{JRn7x1ZM7(9Xw&Dq2RLe~Ir+*cUnCP+i@jt9<1-TfHfRMn!8O9=(fx!4MCY7wtFU zUjC%%MX01S0Snz_RiI}Zr2g~v(jeM ze>vK0>)pyS17>;l(`mCao;LDk>?VJ+Rkr)9!mDUu3>t&~(Q`kR%b?GJt9xv?pZ?xK zRplL+U5feDFV z4+~)1-j80-k0)7#r54urrndCjUwH;~D)to$si}nCW*kpD^-i6}8Q^Vbr+)f%!(Z1&2Iiq0Mx*$$t-o+f z;e{8E`2;I@8WXF2ebj?KZC`i&&bT?BdrbQp9}lCF&d4V9#MSd5#8g}V7J zNm`Hq8U(Kdr^nLfLA) zE90@oNAsEUWWG>mhRdJT3i0flpOgPr8n;{3<&vLT@);@4<*Y5bsYvT1@LRBAWMHa%Cg-5}vzEgGl( zF4nU6dMu!4{;pqFSG-KpAjpSF8bUFp8-EF?nNjq=t@Qr4-~Ve=y3;VNYqj~T>3O_s zEGXD~7W2`wQ@YdsFDl(jBhg&+(<$9cuiz|=x3mxWjY>C`459XJB>fXRQ{B+0`Ewh| zwXyP1;fau%9;w=>MS~AowdTXBWo6X^J};IhaYL%4^DBsqn@F_yP{$svYE$Kpw4O0fuj$LkGVR86^qZ4o=h%O=ih~mRr z>7(hHxZ(6Ns^}tAo69b6;*1>Z!qq1^wfAf_?lkJf5upFJ#*MA0+Hb|p{7KWOscb7? z^I8w>c>Ms-Xk+-wC6cuAdJt@7D>s35f)0S*104mOkfc=>Pz)#@lnQc4(rVbv)+U0G z?^Fepl?BLNm>^TiUlQtazR5tlR(#k)_`sUZ2)Zr z?FZF>J_3CUa-$B>pjc2M)#VqVasS*BK75bVrYh4wEuT_qQ%mj|^QnN9qJnZ)k-Lss zHi2wD;ne5RM%8kM6(C&Bl&~u@9Pbbgjn!(|4E}#(#>wAoEDHpRC@ejyF42*( z9b4Z1`aI~lA{>X|D0dY;A2n~wmVE<#9`vl`of#X(O9CV^zsb%PIJ4)_hf| z-tG0&AH_|Oe9tIWq#DIzq~!Xm@9s%#j8t$}f+Dt>3NOx&eaiAd+%J83=vKG3U_DK| z2di7(eDBuY<6GU*%AsJP%vVbNG~2(c6chU`Z`ESkXN{BUg3X-Rx`{gW|bM zd27p&BeneENL0lsZk0swwt&sAm_*rY=+DvdJ)X>Gs>PnsYL-o;r0hIgYeIIGT2zF& z$Z3o3eo9k~`*8JsEegsY?w#aiXuy?|RK&B`K#HlndGNEJ)_?SHS5%Knd#ERQ^tpaY zMBy}6eb$ztv5~!>Q6Ka%p{;aPpY(a5I)!(CTpjCUV3+G;`h5Q=^JOhqp1ON*)KBD% zbZwI!SMTvLuwM6%?|eSI#eB5U=f!&6Evu5EFUWXSeND&oR#AzMr|4J>7p**Uyzztk z;(TvETkQc8>DEIodKdkIA=i04i2l7EYg+WvYY*3Bee2HmK%amx1J{S&utSn=cpdbv zB;9x?=w;AXl62E!ARNrQ3D?Bl(((yy6{#C^c|LAwWtZxcQ*oh9>Ztbi5%br=FNnPyaboD@ zy`&g=xz9OM!!q{IpA$QN^S1su-(&3V6_#oV^$7S{zXrd42qxnX&GBA;wqxmZ8qfd2 zgJD#)Oz34qH3oI;bI@P*qYcUQY5246Gm+o7WatlXQC$A_I?i-R_~Gj8(x2)3jPdnQ zO3xLRBN7M1GaYJvAU(VEXZk*4d_Bn1Gu4AIHANzGz^D9=nc%4!CoqX~u3Y{mJ@TUj zK8X;ltNQo#{@RCfq@+nnpV-udC^NoT-h%i$QyJnHnOuBUM1KpR*<6kryF*~OU(j-a zOSw?@XxDPFF7xBNXZHzv`QGFc>Y9jWO%wgi|5lF!?LstFY)uM$|F6+5WsM`{qP6T& zv}Jr8D42GKwUV>5OIiOf+NJJ3gyy23&MtNLhn%JH?*4}S{sYjkOZ~|AGk-_M9RGIb zZ(uhdugTl!sXOM|4hzDL5OX$WU-28Kr z_;lqFt`KVUdYkag=@o9b*V_OsNxU5w7-`lj{Z&jMjZp{K5$BqN^hVf;+SGcv2?=Gd zX*~SKE(CLn6AG+*JW|V#J=6K#n%bRIrCO9-uBkc1azfd6;*2tt9p{nVFetrAWyi5; zH~z-S5h^?0hG)d*N2a+6N;^!g_o3`9Kfw$GRJ%E^e)ZG4tItD0xTt^u>OnH+ycexA z=hWYPzwOfVhkl{X^)X=@8mHc__YP5K_`Il|<-kAuTg6dD%M(8)``&_Tw%zevs_zOX zRQ006|L%io^HQKR@gIHO9aYy#7XT`>p}N`Hc8Th z4U+Wm5YQyhwV*Yi+dvyY$oJv>pc>Fepl?BLN!kz%iUlQtazR5tlR(#k)_`sUZ2)Zr z?FZF>J_3CUa-*Elpjc2MC>JyYGzoMqXbtE#&<3h&tIAT6+kx^l+$Dvp*#vRtYB$Qdf5Mb0KY(t?r;rwKE`YFU=D#Lzi+ z({}%xj3r>j;4Ze)4P@C;TKBUn|!a<3c}{$;iW(SI0+mFtvRO+HV*%cG2bZXZmB(l*P>LA3YG47vz1@K<*-SW2LDQv)C%^_WX}r zEMd|?PjQ>8(TACDkiDkilB)>^GWq?dC|NE3Mjj^pq#F4D*gg4ZBPuBgXX~Ocbb3=L z6h@z3p*ZEyP5k}EUTbRAf1!N7d2Se$SkKY^lDrm&{}K4s^Pv^RpL5q%k(+bQcJ7@* zeXF%_gWg5IV7NhL5WmNE@(2Cg`?2>VX)EThTL*wf8^a&RcIr*TCFzM(px=QW0&NEE z1-%9OK$4!aOVTqRfxZQ~C24asC>E3mLcW`afF^;i1+4+y2HF7H3fd2<0euAe7UY(s zEzzJ@P$DQ7Gz2sWbS-EN=r+&>&{oiXPz~rK(6=Br>JSZz1tn5l*xdX4b&|O%uZ2`W zu~o!w5m9W94Rg|5x{O!-4;)N1u`ohY1gxE2S#_m>yj9qSWC8Q+9$1ztvec1Rr7XcX zy9dg$GtJyOOPy&#>2vUhMK2R`%FdX$<0X9j|JzD!|p#!r3Zj3RaW?OH$u+1+KYLdbH6104S9d{BQ7{uE}0_lkW8I$rZ1yOG7T9anI^^J zuLJxjnU>CxOgB9&neIF+nP7u8J+@IYJzgc5b|{kR;6lmtHsbyDd&%^Vb&}~br2Fb- z(DR^b(4Rs70@YJlz3JAT){e3_y*j^INw2o%Sx^7(`BvqkX#Bx5CHi9P#Vr1LR`*30 zq~zsanD5R@x!@w}MS|;zPDzh%AMZ&|iT3dnDK9=IUdfYmoP6TspN?aR_a<7~SiOny zmS(Zd@t(x>yZ40e@$6oo7;g?1Zr!bT!@VA5w>3og9{QUe9`Bz2U&BvR|5LW#soFm9 z+Xa5#V7zP%Uvfz8mA-+9jt8bF*`@IRbEGDDkG3=a(z#qeA9O!(*ZflUor&w2bl1JNw!hVL?_HC8JY~`Yx5nJ6JfP=1NSp^x$Fbb% zz2ojScYE)+)zU1s`Bu*z```Z{`~%PX`|r5b94!3q_xZSg|L&mS%H1E}d$x8Vm;*Hz*F2 zTSMXi1%<$Ya%*rLAbv<3V1XfVfQ5#_fwOI=JgXaR%y9rN!vV^R;{YZKQof|5OAH52 z_irGQON0c?W(dR$lnul)&JZ|9KSK!32_~hq*r8?!y6~Vg1buk08N&Zh;lXAIy7~p3 zA!y;JYJ2|-fydU*5Qr137c`$CNY>{4ibnnEdSj?Kp^-rfiVTb!6d9z@$Us3MkUcLS4drH)W%ujPEM37M)ZnH z8ZXOZbnN3Qi7n~Vhj|C~?bz2fFm_&j2)Sk70f#-yJNO% zRP3niY!*5?r(=$5bnNJy9Ok_$w_~pBs@SV?bGbLKV;*_)@`N`$uVMPGFB%*Exp{P6 zxISo1eptS854$k5VF{jHV`9hT=d)B}3oHdEma1yaY)A3Zf2wt3;CGFU9a~Vqg2xqF z3f-Tme{&R?3*Dv1)!p#B#>I{+EM&psZDyN$j;gMLzep6JsaZ?JS2$4zuIK}}?8(Uy5aNngWg9^e5+}Ek<9Qbuj zHzwbl@1Clv6=-nx^XgA__;n3`Rh}i!{de_ad!9MZJ+4vR48Q(s=2~)3%=M~&nqwcZ z`rqoYLGbIo{pg&q9OY*E!z;=U)9|e8C8M&#vl}Mv_?N5xgN+05tm{vunH@7-rLm=% znXGXFy5{ioj_I!9vBT5TS!h5%9+uiMmAZ9mDhnNI?P&E3jU8%@$4DET+%efTICgMy zG7AeB9|Mv)CbV{BP?nRjq}c)Tk)Hdz3Nm4KsX;h3wZO&*>>z+sKG3gAd5 z;7E^67r>E8z>yi7DS#uJfCGgSz>!D50lX5xQ9!^^5L+OC!$!bii?s>huoH0DW9@lz_t@R6@Y9 z>Yy{ssk}CwfCJx60LK6Vju}p8)ubZFjOU%N4kzHiR~EoAkbvWP=Vm(r$B_Nbdk8py znF2TnDBP2s&N&1eH#nTy2angn(nC^GUmXqtkhl-Cpgyk%m1$S^&pT0*)u0k2xHbh~RL%?EF0e z2RgI>4wl1H&d0PIUUuGY%z?__!7B(jUU%MLd_PssbGP$F0*)b0=L%!(1`u$pbRH-s z;3#uCClPS?o34a_W31D8Edj^v&X;Wj9R7wcCg9lYeAY(5F{0Y}I|2^>Co3l4n0(N= zX`;9Hn=>@cOG;WO~;1ry>Flh(`e&0bR3@ zfCF+>07pPSE+F7Qw-&&WPr#8Mo6mqFmw+QTHdg>g!1%}_;K+*262Os3z=6RefFoe2 zSqV7&12LI^!#`w`2snz$%6buS_{Vr}0*)@lWu`K34+4(fvAu%=hXmljHWqE(aRmWK zs?C-hAJ+|zm4M@l*ee8ZTuH#uJs02Jn@+%SW$cv#IJyvU^hn7mD9q1FBH-u}+eH9J zHv*1svE2l4BoJ^U#3l&f=t;oQGq$Gyjzj{E#Mndu97zNmsFVPXegqu-V*3f;NFm@z ziA@o}5#Y5FaG==)aHJA&q{gNS;7B9jNQ+Gqz>!A40j~g#Gy;ynvsbKNx2&u`0f#>* z9evk32{#rg>q-eX0Eq%OG6**S;R}Y^Y^BTObtQxw8L=4xH!=w~mdT54gd4?cJ z_~-&RvIsYZ%kpT#jcM{a2aPOr5rG@o7>4evevTGmSY=89ejl>j*d2%hQbSr|NlT%PR>tN@RJGv341R8<_6e2{%x?p@bX$ zrb{Q>7$nPA5pK+o*A^0P_!~ZraAUE&w19A<7&Rl@@PDy1!i}M_yl_Z<;mDQpY8&B( z|I?=uZj7mr!(?UkK*9}wC$SQ46wg?`e%<1$3kWy--6@%H1EWyjMnKQ(OSsWDwy(gA zfNq>bxPe|RaH9|5MxWR|3^#fcZeXwq+z1#RJqR~?#P$%lkwCbCu_SOKV5D^+-0+XX zD+xFJBlZfyjbxjxJK=_Zh+jsyab=3lWb<|<+_)_EvS7GT$g~yNS}1jh^N?abL0qFxwbdJgt1KV9`yT)$O^?C zpU^(RL&{26LcL@9@^$N%&nWHz5965WfHU@4Oa-3n?%z-lUf?QG z)lCKY?em+M!aC$+#L1>QRc$!=380OAXH&j=tE!G75rY@pn@*oQH_d->DKc_A4#%*)lV^wtx0FiZWbB;2gmb%@a2j=8to3o)4tE;oy@*&VR+dYQ7 zVccuUuFF~Z+E-1kuisdgn{CRrWV-*NewLltHq%2YS`2sEWtubGHjjFJMpVG)Gp9r8 z{5mH+D&0d$TMT#FrJK_{PpZF9iw+#TsWg~VW4O~UwF6h*Vz|@J+9BCP8e9x_+9fvw zha?Z_a53Czm((GVz$7t-JM9uX1cD8RqZsbAOAyEw!_ll=yblq*<8XrAJ4nOA$T(M* zIkIevGsSi2hb&Rg8c!da1>yhBObE9hkERH)PN0JzZa1mMy%4}d$O ztZYQT`0mNW%F0UVCBz2ccDuT46bD?IB?54Jj4F#LQ?BYkfJ-b>yw`?_VdOL=ivcdp zEirw|9zJR6)JY?962cQa!~)(HmqnE+xeRYf^#k6L!|;}LKj1An3~x#K1KyIu@Rqbc z;4L`}Z%O?F-jc)cmh?a1EjbKtNdW}jk^{We`54}k0tmb%hv6;F?SZ%CFuWxd5O_-t z!&}lCfVboTZ^;4P=0EOqmJ{BRpYV3>hFx#GzH7t0e2%xIi2!fcJ55gST*6yo0B?&Q zcSbnfPZo2$C3OaPJL$k{PUl?0TVeojH#nWYA73))nn#_^J2>8wQV6^)*?C|wbRNQ6 z;s9@<0$opdYuoI6nd2=fh``(Xo!5_cI_DAI5(jvD)%u%;65ihCe1qdH>59PH>zq$I zu0mA8TVerk2X1pdOn6IP;O*#FUoI`uM*-gUAG!1e=S#ym-jdD;yzRf)d9B@!i1P_= zi3z+db()=?oi`8WcuUG7@b(tx9|&*9IGqa!Z;1=M9m%5JF^c0Yr3c>5IQV=C;jJ;- zll@1Hoq5Y1=c|~265ditfwx2URF5OP)fX0cJMd}e{y_|Hd0TiMaV{gg)wdAvc9Iip z!ds?i4s=F4y}Jo-$qT$KTT?-J>u@@6V0cU4G=IP=5wA48>U37WvW@VTc);6Roz5YI zx1*qSGrWDm>D)wkOZ^3SJ3`lefVbB;o%a#m-r;nPXLxIS!|9wxc#HVCz}w-l0ubJk z7kCSWedDB}lF1J{os}GKq5p3oyrpgoyruYrx8w!hIv!))4yF#m+v}aq*@U+=1c0~0 z$P2tBFX8RX2VQ#p^<58_=Wx7z%DFv@@Rl@N;4L`}Z}Sg0=M&xvcuNk$TMmfclCsD$FPY966cXN!EGx_4cuNW}@ODtyw5btO zz1f7f!~)(HkdmC%9B-i(3%sT2G4M9iX3Ol+HNIyCTzUzyfwx`rZCM;|X?6{~?Vg3P ztYmj5yd@U!HpLcYQ<50k(u^Ev+czt}5Xy2tLR(@1ZBr>yKZdrXF9U7KVQ5PVGtibC zhPI?J18vD+XiF+H(3TvAwrCJSTXGoMlF|&cC5NFcY0W@ea)7ovA46MGbAYzwFtjC& z2xv5?gZ4*YOALVRwa_(&*d4>?!R26!zC&PZUr~Ye zlB)=8i36}5EXz|F*e;gWaIht<8em&4PlZl7hQO9M0NcUWl$8+J&XCu0utmQmupJM* z8QF{_uq772w*NBuS^``00&EAZTRX5w9|d4*A2eZwym9~sTT-+EwvHw87`uH4@*=P$ zCct)(Y?eJMrW0Uda!VRFz;?Pko4^)&>o@{i;sR_3v#7HMbFiiK0NYXWvi=0N#%xbI z1`ioMeYL!9BnMk6DZsX5_4*+Mw)(;XZ2O~iiW%7Qw(!i8ClJ``TL@q~Oa`04mMP~B zIa>CvBCsVdz_xVqH3YUrXmSR&^iA{aYa`Y+;rp#yyNtk=cmUh!vfQ7*b^we43~bR| z782M}cLCVKNZ{)|09(9x4uLI{%)tz7^ViGrZ~|MzC$NRdV-$fcc>%WbWqJOPf}){w z;c~D=4vPtFsTTum`@@DpU`t+rZQ%me?Fu>APLbtO0$UmZ09yxn0k-4~%dRgiU%7t$ z%DE#2)-IBlF{}j|5!RB!ur{Yc9z|Gd7<<6lA@a&h!dkKq0Bgx%SbL2;hOpK+bW_Ps zSW6DWTCx-XYsq0)OU43VEjbKpjnI(fA#(w+mK=t)WG?{LlEbjp2sIpxfVG543~LF& zfVJc>tmREQN?7|sW>+x9{;7m9E*gGG#Hw`kg|sqQI? zG&vf_qH6se4kTb^kq{OPk-OR6^c>c?XAMAMSg}LcFht4CMM}vb`V?C01T$oarnA^w zMZY=x^|t``VD=30)glYbp$!?L16cBvA^p)yL>CJ%UxXWt8vuOAG4%D6hTZTC^rvj zN*q^KHgy8Y6U+vJnN5VALgFNUalglMv<@K&>!t+~hpK z#7QWtj6UYU5-v_cT4n(F6b5mE5=`OZB(!k`;)DVrPD)WVAx=(Vpb@!4oZOB^n?!}t zY7xvtBT5c&Qv4`=3axd5*=a=6kvN&Zao27VCqc|L;;S)nLSH$Uokny3h?45U7 z1z2YwPV}}Lh?Al{&KtQnLG(c548)1Sb^~#8hx1^?KqgLt7-t|(^rjn#6Z=*&8XhEZ z62w3QaiTZhK%5jTeR}U3Bu;b&8i*6U*@lS|-7tnY(OYkrIMJDFAWrl~941b5*Z^^& zv*j>xqBG(MTMiQ^I$Mq~=`eAkGwBG+4ihIq!OCFZ5q2IXPRPI`>^#C@;v^_O8mvA7 zRGBy-AQpHm941aU(g)ap1ja+0Bn_G{mBdL1I}pSPg+QF-m6^)|#EGy3L7bez5` z!eQb>XIl~`CMHfujTa^+;V^MR#sNqQoda<~zCeSMhy-yme9p@CBu>cg(9GZjG$B63 zNx>YLR)i&^nZ*gf#0^g_EN(9tf*l;py42j>1Zg77P9+uc0!SU8VsLX45K&m2Cdl$I zE=dftDvo5`$q1l4OQl zadlBHDJDTIPmm;fyA&iz!4k5FR*)nKYJGC+ZBvjWdE>5Iy`Cfq*`0*>36ex_lwy)Z zH-aHa^tLG`Np!|2ND{q?ib)b3EI^XzELBXBAXGC^2}>1|Bsxo#Fjz53qBB?tyA_ip zL2=4pz7kd}CP{QwEMd%Ik|ZcJ8f;nuPnjgqnYIKXGf5KE!X@Axk|ZH5uaG232s0NX z357tCB-zZi07=3OUAYoY^_i?qaovYk$k&hwjqjid*zg~mtK_k?ODkacW-Gd+T|r|B zJPx2)#sc|DA*FY(TQ=QJOAoZ!#$q@u5QL=^QJSa#y-(@SxB+G{rG#+Zi4jC0*5 zKioI~n*!OUo-o77gdi-Q#;hvePNr23+U^0w(H&U`!cxZ2+na_x;8wq)U4&vwPvB{W z5Nu^ErN+v~N>zObD<8~`hCPP?haEz&m2s3B2>iDCDHZ^7@KmWkX#LLA>?nxX$`p7)MD)9SchQ&X z4s>#D8&kkZkq~U&=OJG!Rb?7>XRx7!{hR>@NkXvsD07W@URAgFw>SkJGoi#-913Ln zhUm^bZM&1ja0Z3#fHJZ;Y+)wlu5hjj*X zVNAL*ocdK%aI_4t4ibjqEFjc>S}Wp?GL)qcuna1L88K*?_iBE8R|GnGNo}( zoisdK+hqmet2=PRjEY8+00JdN3@>}FdG$fgA0t2_3?jEP1T@6Jtw z*1q9<$w45<4T*s#f~7r^E?fYjGw6B9`sp1S#Aq>uq32fKSccDs?-0C_0m)kF!pJxggz(KCDblI+Lw4=>mil+ikJZYt979dLDbZy5W zuo?cQFrJAL&0u8mCTM(eRq;%xvy_Cd*t%vsF%_IEzF&dodgnf^-$D2W9H$cd+5*|4 z-{re)JDq~?1qE*Wr|Y>yfkcyi%BIEh4?j?Qk8s$YJ69mFrmgIE4hpt9I*rJs7e-#%lFCo z%%}qMgUg2iBdU-kd@;*sLlshK%DCd5Bz{Q-Lf#hfGi3o@F7?c1%9LahzhpCou=O7_ zYtB)6Q>g>QFSDrn#BbMrHe0&3mBbu)08JQO1CFIBS5UUzJXp?@tZv#?JKBBcJD(=*feQBMG3rP^OK>nte9lP+kZ& zADob%lVrJ=goZG82AtUo!RAvmq#grXtUq=0BYh%$^8k^9-F*%AG?Luo#@*&;{z3*Q zIjr#XE$zBINVeFKg(hG6qC=IW0Pm1X`7kbwstDKSakfOC+( z0XmaOU$Rg`)ap({GNZMT#X-Zfs(dI(U%n?&e@Ze4OQ4UzNDb-Bw4o4KXV@PJ>D!lK zQgF01Y?6fZ1%^WU8qCj1AC{i)lN4rWNM9zKqJraffbCi7!E)d`Cxy*9CQ%@MaOn_W zcovd`ul)&wGp_RyGAY;EjifIbpCNt4$;<#R7kXx!QqY5>FWH$Pef`HZn{$-QsMLDt zo1UIljf<$PR;Bvq{@2WI0K`3jKQ6?@ddiop==L4FB{aWY+zrSQc)naU@@v!Ao*&eF z>!l(gBG3haR$q=Lt0(Q?lh(^bxSL%PXu>OhI%YnmD63Fg&!aWzx(IYzAVpYPY%Xs6 zYL(Tx(dl|!639k&em(j=d-MUmK+TMyU)H&F#jA%3#q%^;k5nmKR zotoXssPTi|xy1A9ByMFq7H}&g6$4@H#-;C#cQR5?^V+wV(S zg?~ei1H3!PIN;po;{g0nd4_cIugYtAf z4p?5^avBG?k&yD0e(ryR_IJD`H2+lNfF(S|IAGzY8V4dmsBs|j4?YgGmj@dMzOWGE z0O9ANb(`lIavY!pLB;{+HXjGzhZ+YgDEK%)P|$I}_@TxD4-7sIcv#SJfS~5JZ!w3D z14)bnmX}vbKmBFh7hAjI+RkdaNL5PiYU&VFmmnA&>l;E1^MrlxCVcDUX*fiB}`>Xv63t>7fj4G@n zhuA#jkuAB_lDBtSfA=?<==Fc?082U8X1nQiJ4`_hGY(C)*r8 zm%W&h0)UnrL&{^)P`mxXo%VYU&A;l<61yGdW_y&qjvV5$gs#os-Z4InW{~`y1K-@w zQVw%C9^K}+=g@-ELn|E)?A&)mIqJwEE=&1C>Z+HI{q({>>+h5kC+ZuX&SU9@6cs(N zv#5B0!mTIAy!J7b(mJAHyfutB%nh&8k(h>AhpyHd<~TJB zv604Fyc%_KjZ<@Z86SH$m9-1L#gs#{@fjNK-d|KuKpz30WW;aR;3(x+oFLbTd;3z*e zayVQt2jJ10)xS>a)4B7NJ@T)aQrg+lnY7o=QJw3^AtsB0TmRxq=}mMEtN-8NmyYXW zoa(u)wIRK*cz``UCE41G<=e)3B6HA+%02rx|887CpJZzf#*MIg9Am2wnhu%{m=3ys zKcIJCYXS=hx4ImQ54}epU5uw`)XBx`pT6lOh^Ip)x-ufJAZ{o1Rqyu9!A zs*>LQtlhM*fv>&(+OF3Qyh=fPcORUc+#BkXXV6+B}?z~c~b|&dvs-uUD)C1|-PaAWT5T$e>q;4YVB7QCOxsM) z*CFPX&HL`n>a7(LQ4j9VOS}6Ri|S6!zvZ>(%+HygtwX$LcI-x`NvQgd_cyuJrlx@< z`;Q%emt<3z)!nCf(<@s|TTNR`n;V|l@#@gz-o2CQ0;<#A4bFvNIUBGABm`4ttyw!K zgM=U!l1T`X(-mA~MWfnILJ-1H6mD#S5G03$AP*T$LXhrmf)FH!gdh*O zii99-7l9BYhlC&x8AC#F;ErSN&+j53NE^B!1lct{;Ic?3B-}Dv_|CUCFL*?Gh!B^q z0)h}EhuDY|QV8M#+UwM1g!Rv!EGaCcJDVT`$ssmR8C(eBZrUdrnGjs6F0k3?NF;!%lzZt9E>2T1!Ob~+P z5SOJ4E(CE=?#oAuN(MWwR&OdQqNA1&g5(ev>4FGBG|c0Tc50XvYPr@h$Ejh6jWnTz zAil*sbtMVGmwvDp6wpVYPf~d=2|@BvC`%t)2wp`(@U3GvUR&k8ounV#OWzDBuO9NDc`>o-Xu&8%RPB zQw0)&!chA!QO*cuAWIk z5K@;KC}=#-SzHV2uf_g zb|xX%Bdee=t0xJ;&as_I2$ItkT-^3fqq-{z!LG4gNeGfdLXd|fkPxJ!I}n28kPze{ zy-5htW_bufa!3gBkR%dTuqQmOSzDO?6?Nlh!s)_;#SmBc_e}TjI~9Dg|v(dDM${ndCK5Y5Z6$Ytt%o` zaHKrKW}_8ANI`Ol%~FPtg18BF?h5-HdF&8*g53_xAt^`>aaqDpQV>_9&R^!3Baa&- zPj)zH)f7^Y9OAN+!KEN>Z=JiM$k88{%Zx87q6K3}L2`(TbU~yb8fNNxI?mcKN*<;) z%yDWMVk1o`DTr%mhs&c#3eH|rSWrM80iUE~%4Cv)zT|C{H6PNWMITvdV^#f~h10^QW(>SUQHJAaylJ!E4tSkrX6f4g!$@jh7UXf^?89 zD|^ihl7jS1QjiDtBPmEHS~7|&ibx95Gf6=nOyi@G21eSr*(3#xV?$ssAo z(}f;!JxL1E2^FzcNK%leNgydmr%@mU$ssAoLqd=F&LsX43bM%Ic)=W?M}kOud1q5+ zSJ$Ncf=m*Fm&aaCVvwB9V6r$u3MGEz&JM{cOF(?HUgHk9lNIf=$7?e&S z23c53#GnLxZ>cWG;vbd)ggA}brVvt2_ff%HCEfs?lw}oPmqP9>BQqzYLgB2zl z?&R_4ESwN@g%iuJF6j=D$BY+YT|KtxK3`PV6Pf{(67>i-7(ay#R5)#VL>LU9!VW5& zHhm%tMo?i36;7LEVcrpjP@~f(Ey7?76*f`fw8_Mt1)V`u*hPiYCOg7l6cx5n;k3z# zFc?OKeN;GYay{HGN*CVeuQ(KbsJ;{@*vUBh#v#ig??#ABVKV(eGnrBXVJM|PAy6=A zyk-1}2VZn>Q=Q|`jpNPZy`==y6dG0VH94AfwXo1%R?<3e11tyxYfjm|QPy+i}{+=*+=jzR5kl)v%#+-Y+4 zCfrCs-YCb{lJonaKas1_a?Ut}qwy}EQVcIBbzn#uHQ&16dP3k!XQQFEd4=nlI6 zjjA7B*f8nPBF$pTnt~frPs}=0P@uPoIu6_nLD)#`yZFgs5|e8WO$xA>vQTbMZMu7Z zfK7Dbp=%)sPe2jM8}s^8vnE%(QAzTV{BXF%^hffM#gx(-Euttt#NY{L5uLDc-+>o? zQ$W&@{62dr4;98#%|05%&7@JyEvId=BDjqdJ8Z~Q8r9r-+9o4#Bn4VfF`5i^Q(-<8 zPMg%zj8lXCRG3kP)245P!G8=lSe|>GJofc=_UQLrwoK&*k2i27-c(`7WgjY ztuS3|HFdFou&?6c^XM4+;65TnQ|9-8A2q{1M zow}nd$V|KOUhB>O{PfQ=eAO1!lpMXyz1=DIeNxuj(%ZfClbe&^R~&pE@UNTFrvEL= zYW-kB+4m*Z1t)TmlfHSd7C@=fs{S#8QcwHju4G?oWzokFT6F`yO}+WB)tau3I)k*w z9(ZNvmw%aSwf=U8b@cZmtt&rIZdjA|yx(%a``J%lUje^jr*eP)uP(P*@4$|uSG<>jP&L6GTotr4}Uw+#xi=v+9p584-k;VGnC4Z(Y zwt%A)(R|>$wCo>NS{Kz7Z@R~7{lf=^){%8rpCW@PJyQ?pGYGf-F#dxRACy}^nP9bM zJn{L_f5^@1?tlH}o>zaSPi^e`ie&3y?!gM`d9lZAl-Iij48=y}6q62}MH$0+=;HM& z#bI&_9;VUHFLCLP=k&Hnz@ zAJlNQetdpDGe)~qi|Rc@lMeDm<^RCA!f-7N)9jCW4J)&hop|+&Vs08ORyW}S6z@2i zba1ov*P7Xy5)~Hu%+iptvpv_*q=SsGqt)Niq=Vb58Hby(Pdt0lX7d@OY0~k@ZklwE zU3#jzoF*OIQq4HrfK3OT{kCXKI)3k-K$8wKNiR@${^uo zOgaXAvx6obWRPCvs#&vOL&MvcbZ|5E*P5A{lIRT4m~>pPmeHhx?9rHX+^H_sOw?a% zCTfabSm-lEW72W(Gn#Zz^)cy~t=_0vs995R!}W<3YC(bC23_KMk|rJ0zPG$XOS26R zsIvns)GU;t1&a`6E5ooPulMcg33ba_W zNe5zRc4}d+77m+qoNAmJ?A5|-EgUxK00L+>Yhk(;4x4nG0zDLFxaN41TDE-M;;}tx zjzRbXlqy=VZpApJ3>hrjjb!fb%Iw)E$dKK0^^DZSyydfL(n0>tHhFA%|1}e7(n0ts4}ZRKZ|%pQeSBm$9+f@KqwIbz?78}VA0GYWgHJwxpFGO$=8<07YT0^n z=ifg)^1}9=Z-4fe?eHouHIMe<7Rwg*8=t-X+!oUo_qKOGc@=);MP^(-?R=wr=VtR} z_tu)v_iwgrc2|FXXbb$xPHuib?R=wr$J6Gg-CN%NZ0FOKr`>x$KfD=!We24`?R=wr z`%~tp+?(J2?1iT+Pr3Jee)t*qmF?`!r=M?>Z+pW0g!{D*KL6uembcvReE#=W;a9d% z>eJ6R%Aen4-sF9U<{R(eNqL?u;4PYOlt1^l`EjH)AN73lw~t8%zXOKy9Lu0Z(~a`2 zRpu)1pODo3+GAV)LGu1j;3!)uiwa}WdM${g+BOcryJ#)A2mPf z{Ug2p?MHX83DY0JQ8qX4R?j?QeuTyJy!*(DRMkI%p*%z76w?iz?H&WUUtS^ph#QS; zGR|STk!^L8#=Q-<{3I4n{L1+sG0UL&(QhUbVFy}$2>#lW77??TVjII z++ouVotYo=44scnH*}_c%rkU8Hr>#f`!UbZ`Pg(rXY$89L+8VEgIoOBU*DP_hs*W2 zrH`5Y*UJ{!JCCLtd@}Bg$PcNqF2Kh1FAV*s$(3$)(-6&o) z8`F&wWcj~p{lYOLr>t9qo3hwxDHu7l1p!K1rX#F&rZ%_k|TU12zjmh{^ zYsR$z7Rpx&nr5#Fu=Wp;N7Hh<$!zCnICd@bNd^3hrVr8V06OXVRn z-(a@>LG!S9^#9uX7Wk-&>;K(=h{#W|f2;f}Dw?e#P^u>z5+JZ4B!OsALEb(Ph0-Y0 zma05N5=B&Kr3eaC5Q?BY6$Jr#CMv%81qDGs3KAeB0m)ka>N0kPgN%_0A@0kPiTqX4Wh0)NDM!!R`iCaURV01FMnIe}PjVA5-+16XJnQewSf zAQ=ASLlH*=D#7W{wWlK~PRKNv0D(+(?(XOrXCO@S48g?*3pa~{gGxRzxT#mypWob} zX9BM`#0f&jWV)qOuQ*{*Z<06F|H?b4AYau{{ z%Z{6?jOQi+Nw$-`mOSWvy4C6@6=efXS=QO=ccQ{3t+MQPtKWGFdw-E-cB@IPyp^3j z9mq0vEQk$}rdZx8fqlNnGImjDI!R%-46;mxU}i z;Yf^slKosrSn#h(<9SGsH2YJzmYm#kQlVTw2{ENz>PgDIkZDzFy6KeUOu+G{K$be? z`jLn!?NX0a7`aN*NuUY2)RK;H#Zs-@Ps`kxWK2~jp%Uup^xlh!->2Om-xCjzYUX}g=f)&Ipj^{dA9|eZ=avt^21LBOR5;hq zO-yN*dhT*BPJ}C1Ykl5wqPy1?pLr1v6xdShTs<|Z)K6U0v`bA3VW_Ub{>@n4!;GmN zisp+kkEMk;R?!Ylf}~adCFj$m>Vl&pfd=pGO})$XhhRWfY3kUXfhR`aqx$m)%%>8&~$$Ur#q!TTL1L(oDnXsPQd0};Z?LDx$chbiV1n_A1Z+r^D1Eh<62F;Gs@69>T5*5ebJaaiXqtXkl9D$K4=Q8>r_xbGi?@=h`%Qz# z6D8IU0ERm4+;ZBXmI+7V_(5sTbfOQ}*n1w#LedLOa2}LT7P9S{KGVFIY4l&Dd_Mt& zs!^0X{X~^#Cm$+!SSy@yN1c6?U&lDR*gE~e5<5yO6DkH1*^j;w*KPCrNY_9`+>TBt z8VT~@mNV)KQr=q6Rs8l89(?{+z9gdYXGv8O{}s(RekY45j1veLd9xTpwX8~TW|Mv0 zC#33%+XtUd!w$Q`G+WRlrQm5s5e8RfqxPLH*x8p zMe*Qc<|KQ?kpqJVqDjzZ;493>OhS4Cf8a@B68*8qCBsBBi51xio-EUD?$G7(Rt!ur zt(l9NMDy&XPhx4pP+cO=UjJknb1{=xp1lalGUj4NX*;->ImylrB`k9-qkug~njI@j zE+#d?bV^BMi5ruQ8HS5V^>9CJ zabuDnpwF#9E++NF{nW;dNq#^mE+#d`bwRu-?NSB#p}Ck;8&}2fmAVLDO}o@|Be_Jx!Mdo5A(LMXzm1WGuLQO8_ zVkT+5Vd90Gu*}7_3c)86~{nV&CMOe53X?#I{m>XD znn_s2-fU!<=H^`&_Zk*u%*PB;-d5e%=Z?f!8Hf<`F-Gv)#Le_4Y5R zjP15}=l=TFxt~b0?O&+2YP)hA9Xh1sXa?Jtk)k|;`RM%qMcSdbYffyqn`r6*?0rl6 z<>c(xy`r<--g(8x(uCUty`19j`=DX~L$?;X``fnf$m!j&W1n5R9d}Fl^g4d}a#T~9 zvmNl6PACXIe+WC(AA7x-yLH>PoR2dzb9d+_-GON1tAG|)G?D15S&WvtY5PYXZQZ*4 zv)$Voth)oz9`@PMx<#K;s=E)+Jh1lOyrub;Dxq_um!)A z&de$v)wO@_zIaBA>%XxiLm*B&gN{Ls!R^8?qoS9NyNcJO5PvC8>Bc+7m!clkYaz@G zZWV9?R0hsG2!TUzOMn|l`SV`7j7o0rn6dcNjqk2nwfg;STYiZT{fmybr=;IGvQJuKM{7r=(;aj>)&EjNyFjnA{ug8? zrAsG7m0#RWi4=23mh~G|P-MNFl+GP(SJ)KPavNH9`@s7jX#Ifa{(*ONq7LNkIB-b% z73tm~13Mz6*hZwaNmEAHMErh^->=3;q_#=b@`v|n(?=Pu<`3`FypMap?y_@hQW4W~ zhv8Cg_s$*Z1Amx}m@>?UFaG0*Z_7_UOC*1K=advLx8vqz#WpOZS&FNl2gcJce=EVQ zbgpaBOK}fY43a;zWlKWp-(JA5a(7jQx{$EghT^nWdDx9hk(9?PaLKGP)P^ylj4foj z(S}9hw`RklL{EQ+g1!c_Ypj&$Vrimc|E7YmAFXS^e(5vDp1V`~9pvU`j&$;neu?F| zmjHJN{F*8w-Rv~?ac6S#WMzn`dCo4zo%Ol)`=FC?hq}4lb5waQw|fX&s=89$!yS%S zzQO6UM1JveMt*IF_IsbGcvLx%>t95XkmYj2hXBX@(ay9%r(SBn9#hQNA1xHWH6JZZ zbc43c$OE!#j1=KA?qD2TyYI{?JNs7$V#;7{_BngOEc@uHd*u&p+?tq?KaJaLS0%UH z=E1$%2ylGKq5CR+c#25=IJTD-FNzaia!}7UJ(WQ=cs+X;4 zJCcaSu-As&2WbAvW&D59MutB#7C`LN+e)Q9i(jU+?I(+t+JEDO6V4Y8V2k9nVb9Tw zYCin>$k|=}Y5QD8`h1o|ilMS)x%By3d-r{_>}Max&i7@3gS`fDX3g)J^xo09-Ln{H z*W3o2d0(gbuoIZJpO&wuba!uogDu9TROj&Z-zMt#a;6UNS-%XM|Rio^)PuYQT4zJ(!!Gn9_>5F9)Hz%8D-q!L2fx{aL;8djTDl6Fq$88f&RD3-c zeg7l+zOLX+r~sw!C@9$3hv+V-J2Vga3B|X?hsMYCbmY&TW%Wso2}_7AXbY+|ZB3g~ zg-oaKC@uM*8`}0`h`p%OZ<7kij<#R@YJFm#BMZ>kiaq3R)Vxux-LQz3=MaXCmC6yH zmP)kbBhE?=y(3k$U8?x4ox78UJWL|4AP&iI{Nd@G)j#ycRM9r8;#ZmVIX7C5WBHb_ zDTh@ol#I~(Q$^deieKfm9SkqxwTM&7*X!f?gN59pDyH45^3g)Z7kO^Pd;jfKY(~$e zhS;T^_S?P=eu%W4?AFEjdPT^1DCnNG1r?a{(b;lao9wFt3Gf^h{ZCm@G7=>tj+-3a zvYJK7NO1X9l#Dcp#G+&*x*8GdOI~S4S0lV6jjl%SrN7r|Bu-o!12_G-*f})zzpaH$ z>Cg#B<_fk$EkzukB{#myrCpTUI?_+Y_NY}CH{yIvOiSJ-{gnT;rn(MVmW{J)oMmfb zmP;VwAgBdD=%<9Vy4r3*wW0`TK78O|!@{ll$$hoe2PP36n*i`yvF}ogRZzkzS%5-C zWm@(0)TGIk(^Xip`@-u9011o{D1dR0(!KNrEHG=vjHY9=zb*Nu9};5krH<1}WJ?H3 zVGZL^=nCUJhszklMpIpb~mtGnKF_9-}PM~<&MzK$!& z*OzGiPGpq>=%b=zGbzc}kLcxNYSxBPaU-2i(cblm{STdDFYlOh_^9t}q>N9`@BPa` zWO;MrA7IkCM(C_BU#lY4jG_lB#?h`h@eesiAj_E>pLMb_|9Na&4p$dUVqfxtEZ}A) z(22+|x@q_ZMmmuhluqF3{&YgkGSP`9W$`G^j1NgCGUFRfCs2Lk=>&iyq!Yl1giZiF z0y+WcNa#dne1vo&Gd>bJf!5%2Gi44#Co~`fqlhHSL??h4gid6Jpc8-wH;m+ekc*rm z!Vg-XCo_;vWCqZQ%s@H;Ab&cM86Q9=@P7VuA~TRqWX1>3iOc{xA-W1TSAkAgMBhd7 zKRBJR1f>&ru0NenvrKfNNm)!METQRyrSWtE)i<6_060QA0gOoK1i&Mp6M&9{PFNzP z6PAeR1X^=pI-vm>=tLx0COQGcAaueKf=&Rs(N|olkU=iGYQl{1tJPx(q!X3^I$;T< z69Dq36P7?afw%Oh6P7?aVF{!YmH;{-I!xZ|1tKk!-u!#&N7Ddt~`yeQLZu*=G~c z7;-q^{xX9Qr zE&}}I<8HU`U07eP#3=QA7nlCF&B;4NNkN|}J*LUNfYRD`tJui0yVg@sXS?r6`6Rj{ zNUw^UkSy&iIJ&|r@EV(zhEyJhXxDaWNMnNt13-RpfTIbvrX{Tw?+axq7OSOdT)oRx zz3*O&<>hsjmg*C+JA1zRPw0<|M-DhoKEdZe;$Q||??uIy=J}&n3*0=5rN#a3TMf8Y z`f=6P{eL3rT`?tYd~H9H2QxThQE~5kuf>_4S}f!8EHRe4J>yKMwGYzgKz-sQ>aN){ zOFNR$akpn!W#1|%!CH7>DrQ=a6*J`Y@hC7*fCslito~RW4aWO+j;F?Dv zi`-xvARmqQ!*=o-K^_8$$IWtx^R&824sSIshPI6Iux?Zo-$^?v221MmG$tYDMCcLB z4~0ikP#5*&xCJSbwyH>>hpvjGo+SUsC&~T4EGS-Mf26$nTYBusnS$pvSwYAF-0%eG zbK+R}vlZ04_&bDn1X%7(%7XO599=j?Smz;OSmkM6)3j# zi(?nNP!_-o6x(}m#J(Yl{Fs4aTemQFp_`G++(5CdT@brKp!l%^#kS`4*w@v1{P=-l zTQx6sp4L1wLr`ohUyXfLzE%K7Xh!ub=fuw8;s%az`=AxED>zH%2)C2$F(XIt?_6;$ zPci73W@Tq@F3gU}t~;~Ygc@=}N!;TrvcK4- z;TCQ*;D($^MWWVM%b&}By+mMUKmTssY!hZkj==Bwnb&1?IqR2YZwBYAIknW-PoX&i zFsE0~MHkg=I>@hjNw(6^y}>vF5Y`oOkNnXyD-b?7M*vR#cKEaNoY>}%5{@JATk*(} zi;1QsRgR$HY8*jLH*f_1Va^kyfg@xZI0DBdM-Wk!BQzo!IY(eZ6fs9&q>JMS+7p_} z5rj+f5Ss(O(IoihfG+#MSm>%udF@PxZ=jJ;751@MG^*Tr7vW+Z=}kk&S~ ztw0Ij2`N{{Uai&>z!My8V%zX*1a1-(TT<)T)?5~RmjHL^En{19xy}^4u{U*%kBzVA zuOzn#H@BC4W@HNf{VOiXF>Mp@dSV}-Z4aV zm;%QoQxH*=DKsJ)IaA=N9x+p3q>Ez;+7p__6hLHEUx(^{!-WOg3$z1*I7%T>2{6YZD#;d-vQBCeO?N{6Rc z+!cJS2;6I7!F&^_qH@jUd=bgz*TCP_XXLO!>C1=zRtw^eE?L$-Fn=z{0eP)lP*S`dOwcz{z zkS@4*w}14EKpC1amr<0%tWfLT{yL7s_@;IB`ek597hJ$t`p)N5A#g1LJlVao4xd?@ zM9&@UWnaCK$vLiIzLKih@fJOp-@O5{mv2*#Haz1Nv%BQlEt>uuK2~b6}*Lmu9fc=3A@zc$BkA!VaPU&TQXDg_s1)ccP?N!|HthcQE z{ChnpV`stX{SV`4bvVh(neisooRl87cquy-TEH{-J6dx8ExurLUc4CRRp!b?F^lT= zVxD*p{&g!)m%YePRtCE8e)}&me^CKXe0@{^B13sQ5OBr9n1%Iu><~Q(l zOXpuT-@Ek9v3~Q)JABIGWmhfpE}k;XJgbI{Wl#HKhsjyx*yvd5SmIdhc*C*KvB2@V zW1izx#~jCs=uC^wwEru#GGM0ti*hi>Fh?iHt&Uj7jgIRaZ5>xT+BjM}S~}vRb1gd8 z{_o7StQcIBX}jL1Z0^wIhA#Zu39baL_Z8tcsc=0}&n*?DZ^qI5?YlE@d)9Y@ut>Px zSB%p21t{I}VYhz!hNm8;Nm!CBm=8I@^}g!OogV*g{(4Gwr(y|FGkPc8|0NCnuB#|4 z+?-G_co#fG}OEo4b>BgC51+^;$6__b(eo z>BpWGN|tp{o5=W7E9LsL_|7-aUpMPYl{c#*WM$0VsGMKofttb8^U!R~YG~ISy?x>( zL<`EEKYsf3@_5bb#n8iA6)3%6U&`LcQ7aT3#aDrep#H^hI(4O3oa#9^bp1PjLwRy4 zE!)iBP#%qj`-b8O)U#(+$ZyCU{RE$OdbY39Y|`)%)hXR>qxbF6Jg3_&^==r=le*oK z!VeG$HLKe#E?SS`5YxKd8&&U(4mq#eEj+VsbeM_VZb8A?(cxxxyVncWq=%c@?dFxQ zvW1=7?OrWkiS~z?-0kK#-_am~&hG10c;0>u5I69~1zXR5;Mj=HZ;adM{HCVQ?_u6< z7BRm&c?Vm>{Jynt03sphcWlw!C=NBhZ>+k@5_*1Lccz~u-284^kY))xzppMxv4ox9 zZOR>%@bkNMc@o+mZhp6PcGDn&&+m9o*EWEN&hIGyzR(H+)ajqZzoC6$)4Y1If4G53 zZIYCM?EB4oTi?5ST+zdOSKr$>)%h2F2VODI+qX++c$vehlReJe))|7g_NvG&hDC48 z$9^W(sW;JeVucDv48grIv?psx{p+C1LZCuiubM(@BPB@)PxVWsChS2HQxN|Z1_T`ON4+IU^i18 zUcU4pZnb-en%ABWOQRo9?ZxN^jLtxu*ENFh{9R`tZ-KfAq8CHmyC}DGWU>*Q=v{!G zSmkrFTJ?cPihrG_HpyOOM zPjfn#TxR#X=7z0Y0VcQyz~HC{U{eC_wqdZJh!ZKO8%xhTw0RmN2l6%>7vE-Mf|9;? z>?Nsapy~b^wQ=g>E)foR9zKLaJ;QA^kck*7JS$!9EP@8O@tPW(Y8jx;NiRExaZG2p zng+*o;uQWT8n5A70w4s2*jnexO!(lvVpd zc$K{--NjyIWzWE~Y}+*V2&{C}hQl)=((o>;rAMT;O*NJe@6)!A8(wC$^5K1A`nb~H zIa6Nx<)}WF_EDZ6hzujZu#}jT+NIwOPRUqTn3~ceMLGE}a@@lj9>EaG$E$D!sk85c zeNtY`KlAUH6=O)f4^T8@75}V(+sx z<32p?+4Fes4ch=(SNK#Q)DT>i>b`H8dq_@Iv9-9)`C_u~AzY;DhQnCxK|^pEtGCY! zcRFGmo{EX9-)E<;z6X&q1I0K>4)46rkv6Alz+hnDKo>9nI0pj-OF#@1ECJPl5*^@(AR{ zkVh5lg5(ikKe;e@G(v?Xk2+vT@~A^tDGYfuK!qlc0BS>xAdi3x)#=83Kk_J=;71-s zo{2n)9Co}d$)il`?n2~I2MbFcB~&=_sDXqf zk2+9T@+hG$L>_f8NgicdCx;=@I(Zc3Ci1A}7|A1Y{KzA6jKfHcM+cK2k4>~r<_@)p zZq@%cmOKKXG2~GNyC8W4*iSA@9*t08$)gS!l051VVacNbDl~ZnP@~8r-~zilFvo91 zizfJyN0DbDk0QrF9%Wi5k6O7#9%Wi5k9s;3d6WQQ$fE%wEP0f07b1^3SXlBXp~8_z z4J0gi)Pcg1M+tQy@~DGJ@+i|fc?^-($)hMYkw-PhNFI^nM;?(A9ZVrskCu3oCEvWS zsT*#4`S>-q|MSL`c3IldhH8bU2BhJtdprsdwff zlzOL~y$2#(t^wC3#}_`oO`seiN_eJj0HZXc(&U0qh9wTz;Ox&h^z^xbAlE8J%~QJ) z&cRVG@}YxqaHfjjU37vSxz_C2$OTWF8w_v868_8av|^41e|UxpuY9`@)znTq5Affd zAI6Lf?ZM5tcNf`d(8)MaZPzI^84~=|bOz7#(9F_;%F#Nwvdmp+t#m45X{J(H>D)J3 zyfQ=>``!S2&9t*L^K9Qq<@ca9!csY*l&?;!dwDBA9~#W!WxWedcSYN)zgjP9eKS89 zFRL2dAK`aQb)^`fOM0F9d}!B<_i@z^aw#r1uPb^}falFcrJlS$>(J=?KHT?w!w)ji z_esY-kbNKc{Th?gioAcsXofyGtBHeCt6CS$@p^NQyQ{w9&tLa+3JEEeXA7ZIFJpO? zCxe?dk#)rZtEpo&qw?+fnMoOQ+{}zPR3GAgo(X1J5LzP<4-JkYT;M8K`AleIprFu4u&Asmz%jUpCBs0OQ_Rtx(;O zeuL+8weCB8>5K~>3vg2{P+d>&f9!8G*a`2{USIJ9Zmrs8U9ktR`-q2?ddlV=C&rfI zGlA|&bZ-AMkm^ux2D@BP70{~@*A#!6(b*k8JRG0!LM1M?_B>2dt_E~G`2!^#*u}tp zqLN6ep>tGqrN&=((OGxx?nm);D>v58k#4Mk+=-M~N7?s+hiVT66^~hQZ9j4X*!nxa#OhkP@BpncrY}POEBt1a0y1g2rj`>q{&<&)4(M-u5*b@ z6PIY}U<)+}{qqgxeof*M2K=UQ2@O4RF2R^h<`Rs2aa^JiCZ9T&5U}cAf}4jU!eZbO9M`#o z#l$6=I@m%DLUVpI)ztVu(SW!Ge4{14U0kub2R5ckt`|Vt#%%d){Y zq+E;k_fGn96$9;^g3Eo~gUUz6zw$nh9AV!Lh(l9|9(6Lr(eW_mDN_A*(doIxlh?1@ za`5mY+YjzZqU9^`G}Xczw}u^hhG?*luW)h~=jNYT*#oh^X%4%Nf7XrGobEy29809{ zrqO>d-Ba`_#7U~rH;~^rl-lzHnAHGz&9tr=2f1~}q=@j?bfVSkh(34+W>oX&$kB|1 zU+Q_NtsZ@cv3WY3?hlu%Zb)6CfYoo^5#M4=u49(;ZQyN-3e6Z zN*ogh@zg0qKh|fk~xE{}N_)<0FaAM2v+1rxRzW*afyE*@4PydeHuAkCGgMRoA zmJPQ5^*ioN7<*&!zy{#Ga;i#~#0k^zk>6GnFUPgWbSgRwgo! z^MiuF(aXsryyRNR{ncVh{ARumc1%3%o#NsdbdL`Qv4Hu z_6Se8KrbDIJ5RQn(LGb>5$~NE`teWfv~#IAV3g=Md=P$X-5;*8_dJ-TBa0U~k8e|u zKSjenHjQY}mY~?_C#pO<*(A!p6#X82YwHm z<^NuF;!HNt?EEj@+A~F~8ONImV^8ea^3J=cN;}vbweNJn&c1vok<~nhq61!Y79Ab% zn5(?bjShHK6Cg_A;RiiR;s4bsyh~U#)(*v|RGcHBsDSlJd#V&hjU^+Y?BM20laUD< zL6|k>;by1-ZfPUf9!}NRurd3GzTLKOfCh!YtrC`vaZ8Fzw)RrhH>g&P90@0-{IU&?R z>!e`uW4;O@Xm zPMJ4V-Ce_vNVOE(t9GXYTiooYT>RdKuA5sZNxYe)`N;IoE4A;64nYx2MTKiB%v;31*C)h z^Nl1i&d{3Z|Ij^v>s=c$&R}Bo6HvWy<4gkyJI-{Vu;WZZU1*%?VE=q;GxRT76a62$ z2jumjNmL)7+cVbzvouy!t&h*_naK&(NUD#w^t8a|G&xX?|0ux!Cg;R4kI9Y8?HZ3* zW_)H`rifYMEpe8v@fM3^JHm4a{P!S2GDq;&1))7hEEelggs~h!xIAUlqmPfB@WfNU z9nEDe5SkxiLeFX3xvZ6MF_PP>-kF&u0^;NApxN;!cc^<2on)rLRf&X5@8F%7YK(DiV$ki zwo4JNMYt6q0iiF#P=v7v6A@lQSb(q+VGF_+{4QB-Ou_dLPq)anWHp3;e0~1!*HAaN zBU-_zZE*|NEz#)mX9`0@IxmgpE8G@b@&ra1paudf>OS8rMnK zmG_MqH|EhNGoScv`~IW;@Hm%hD}x7h#s)8Aod1n-byV`m3=GX`8a1N+ E2bc25(*OVf diff --git a/plugins/samplesink/remoteoutput/readme.md b/plugins/samplesink/remoteoutput/readme.md index e3f53e7a1..c9c9a6551 100644 --- a/plugins/samplesink/remoteoutput/readme.md +++ b/plugins/samplesink/remoteoutput/readme.md @@ -6,9 +6,9 @@ This output sample sink plugin sends its samples over the network to a SDRangel Forward Error Correction with a Cauchy MDS block erasure codec is used to prevent block loss. This can make the UDP transmission more robust particularly over WiFi links. -The distant SDRangel instance to which the data stream is sent is controlled via its REST API using a separate control software for example [SDRangelcli](https://github.com/f4exb/sdrangelcli) +The remote SDRangel instance to which the data stream is sent is controlled via its REST API using a separate control software for example [SDRangelcli](https://github.com/f4exb/sdrangelcli) -The sample size used in the I/Q stream is the Rx sample size of the local instance. Possible conversion takes place in the distant Remote source channel plugin to match the Rx sample size of the distant instance. Best performace is obtained when both instances use the same sample size. +The sample size used in the I/Q stream is the Rx sample size of the local instance. Possible conversion takes place in the remote Remote source channel plugin to match the Rx sample size of the remote instance. Best performace is obtained when both instances use the same sample size. It is present only in Linux binary releases. @@ -22,11 +22,11 @@ The plugin will be built only if the [CM256cc library](https://github.com/f4exb/

1: Start/Stop

-Device start / stop button. +Device start / stop button. - Blue triangle icon: device is ready and can be started - Green square icon: device is running and can be stopped - +

2: Stream sample rate

I/Q sample rate in kS/s of the stream that is sent over the network. @@ -39,7 +39,7 @@ This is the center frequency in kHz of the remote instance device. This is the remote instance baseband sample rate. It can be a power of two multiple of the stream sample rate (2) but it will not work for other values. -

5: Stream controls and API destination

+

5: Stream controls and API destination

![SDR Remote output sample rate GUI](../../../doc/img/RemoteOutput_plugin_05.png) @@ -57,8 +57,8 @@ The value is a percentage of the nominal time it takes to process a block of sam - Delay percentage: _d_ - Number of FEC blocks: _F_ - There are 127 blocks of I/Q data per frame (1 meta block for 128 blocks) and each I/Q data block of 512 bytes (128 samples) has a 8 bytes header (2 samples) thus there are 126 samples remaining effectively. This gives the constant 127*126 = 16002 samples per frame in the formula - -Formula: ((127 ✕ 126 ✕ _d_) / _SR_) / (128 + _F_) + +Formula: ((127 ✕ 126 ✕ _d_) / _SR_) / (128 + _F_)

5.3: remote instance device set index

@@ -105,33 +105,35 @@ This counter counts the unrecoverable error conditions found (i.e. 6.4 between 1 This HH:mm:ss time display shows the time since the reset events counters button (4.6) was pushed. -

7: Distant transmitter queue length gauge

+

7: Remote transmitter queue length gauge

This is ratio of the reported number of data frame blocks in the remote queue over the total number of blocks in the queue. -

8: Distant transmitter queue length status

+

8: Remote transmitter queue length status

This is the detail of the ratio shown in the gauge. Each frame block is a block of 127 ✕ 126 samples (16 bit I or Q samples) or 127 ✕ 63 samples (24 bit I or Q samples). -

9: Distant server API address and port

+

9: Remote server test

+ +Test remote connection with its API. ![SDR Remote input stream GUI](../../../doc/img/RemoteInput_plugin_05.png) -

9.1: API connection indicator

+

9.1: Remote connection indicator

-The "API" label is lit in green when the connection is successful +The "Remote" label is lit in green when the connection is successful

9.2: API IP address

-IP address of the distant SDRangel instance REST API +IP address of the remote SDRangel instance REST API

9.3: API port

-Port of the distant SDRangel instance REST API +Port of the remote SDRangel instance REST API -

9.4: Validation button

+

9.4: Get information button

-When the return key is hit within the address (9.2) or port (9.3) the changes are effective immediately. You can also use this button to set again these values. Clicking on this button will send a request to the API to get the distant SDRangel instance information that is displayed in the API message box (8) +When the return key is hit within the address (9.2) or port (9.3) the changes are effective immediately. You can also use this button to set again these values. Clicking on this button will send a request to the API to get the remote SDRangel instance information that is displayed in the API message box (8)

10: Local data address and port

@@ -139,20 +141,20 @@ When the return key is hit within the address (9.2) or port (9.3) the changes ar

10.1: Data IP address

-IP address of the local network interface the distant SDRangel instance sends the data to +IP address of the local network interface the remote SDRangel instance sends the data to

10.2: Data port

-Local port the distant SDRangel instance sends the data to +Local port the remote SDRangel instance sends the data to

10.3: Validation button

-When the return key is hit within the address (10.2) or port (10.3) the changes are effective immediately. You can also use this button to set again these values. +When the return key is hit within the address (10.2) or port (10.3) the changes are effective immediately. You can also use this button to set again these values.

11: Status message

The API status is displayed in this box. It shows "API OK" when the connection is successful and reply is OK -

12: API information

+

12: Remote information

-This is the information returned by the API and is the distance SDRangel instance information if transaction is successful +This is the information returned by the remote when connection with its API is successful diff --git a/plugins/samplesink/remoteoutput/remoteoutputgui.ui b/plugins/samplesink/remoteoutput/remoteoutputgui.ui index 453f2fc18..044929aa5 100644 --- a/plugins/samplesink/remoteoutput/remoteoutputgui.ui +++ b/plugins/samplesink/remoteoutput/remoteoutputgui.ui @@ -599,7 +599,7 @@ - 30 + 50 0 @@ -607,7 +607,7 @@ Green if communication OK else KO - API + Remote @@ -626,7 +626,7 @@ 000.000.000.000 - 0... + 0.0.0.0 @@ -678,10 +678,10 @@ - Set API address and port + Get remote information - Set + Info @@ -693,7 +693,7 @@ - 30 + 50 0 @@ -717,7 +717,7 @@ 000.000.000.000 - 0... + 0.0.0.0 diff --git a/plugins/samplesource/remoteinput/readme.md b/plugins/samplesource/remoteinput/readme.md index ba53c7ce7..6293c6398 100644 --- a/plugins/samplesource/remoteinput/readme.md +++ b/plugins/samplesource/remoteinput/readme.md @@ -8,9 +8,9 @@ Forward Error Correction with a Cauchy MDS block erasure codec is used to preven Please note that there is no provision for handling out of sync UDP blocks. It is assumed that frames and block numbers always increase with possible blocks missing. Such out of sync situation has never been encountered in practice. -The distant SDRangel instance that sends the data stream is controlled via its REST API using a separate control software for example [SDRangelcli](https://github.com/f4exb/sdrangelcli) +The remote SDRangel instance that sends the data stream is controlled via its REST API using a separate control software for example [SDRangelcli](https://github.com/f4exb/sdrangelcli) -A sample size conversion takes place if the stream sample size sent by the distant instance and the Rx sample size of the local instance do not match (i.e. 16 to 24 bits or 24 to 16 bits). Best performace is obtained when both instances use the same sample size. +A sample size conversion takes place if the stream sample size sent by the remote instance and the Rx sample size of the local instance do not match (i.e. 16 to 24 bits or 24 to 16 bits). Best performace is obtained when both instances use the same sample size. It is present only in Linux binary releases. @@ -31,7 +31,7 @@ Device start / stop button.

2: Frequency

-This is the center frequency in Hz sent in the meta data from the distant SDRangel instance and corresponds to the center frequency of reception. The sub kHz value (000 to 999 Hz) is represented in smaller digits on the right. +This is the center frequency in Hz sent in the meta data from the remote SDRangel instance and corresponds to the center frequency of reception. The sub kHz value (000 to 999 Hz) is represented in smaller digits on the right.

3: Stream sample rate

@@ -80,11 +80,11 @@ The system tries to compensate read / write unbalance however at start or when a

6.1: Sample size

-This is the size in bits of a I or Q sample sent in the stream by the distant server. +This is the size in bits of a I or Q sample sent in the stream by the remote server.

6.2: Total number of frames and number of FEC blocks

-This is the total number of frames and number of FEC blocks separated by a slash '/' as sent in the meta data block thus acknowledged by the distant server. When you set the number of FEC blocks with (4.1) the effect may not be immediate and this information can be used to monitor when it gets effectively set in the distant server. +This is the total number of frames and number of FEC blocks separated by a slash '/' as sent in the meta data block thus acknowledged by the remote server. When you set the number of FEC blocks with (4.1) the effect may not be immediate and this information can be used to monitor when it gets effectively set in the remote server. A frame consists of 128 data blocks (1 meta data block followed by 127 I/Q data blocks) and a variable number of FEC blocks used to protect the UDP transmission with a Cauchy MDS block erasure correction. @@ -124,25 +124,27 @@ This counter counts the unrecoverable error conditions found (i.e. 4.4 between 1 This HH:mm:ss time display shows the time since the reset events counters button (4.6) was pushed. -

7: Distant server API address and port

+

7: Remote server test

+ +Test remote connection with its API. ![SDR Remote input stream GUI](../../../doc/img/RemoteInput_plugin_05.png) -

7.1: API connection indicator

+

7.1: Remote connection indicator

-The "API" label is lit in green when the connection is successful +The "Remote" label is lit in green when the connection is successful

7.2: API IP address

-IP address of the distant SDRangel instance REST API +IP address of the remote SDRangel instance REST API

7.3: API port

-Port of the distant SDRangel instance REST API +Port of the remote SDRangel instance REST API -

7.4: Validation button

+

7.4: Get information button

-When the return key is hit within the address (5.2) or port (5.3) the changes are effective immediately. You can also use this button to set again these values. Clicking on this button will send a request to the API to get the distant SDRangel instance information that is displayed in the API message box (8) +When the return key is hit within the address (5.2) or port (5.3) the changes are effective immediately. You can also use this button to set again these values. Clicking on this button will send a request to the API to get the remote SDRangel instance information that is displayed in the API message box (8)

8: Local network interface address and port for data reception

@@ -150,11 +152,11 @@ When the return key is hit within the address (5.2) or port (5.3) the changes ar

8.1: Interface IP address

-IP address of the local network interface the distant SDRangel instance sends the data to. Effective when the validation button (8.3) is pressed. +IP address of the local network interface the remote SDRangel instance sends the data to. Effective when the validation button (8.3) is pressed.

8.2: Interface port

-Local port the distant SDRangel instance sends the data to. Effective when the validation button (8.3) is pressed. +Local port the remote SDRangel instance sends the data to. Effective when the validation button (8.3) is pressed.

8.3: Validation button

@@ -172,6 +174,6 @@ This is the address of the multicast group. Effective when the validation button The API status is displayed in this box. It shows "API OK" when the connection is successful and reply is OK -

12: API information

+

12: Remote information

-This is the information returned by the API and is the distance SDRangel instance information if transaction is successful +This is the information returned by the remote when connection with its API is successful diff --git a/plugins/samplesource/remoteinput/remoteinputgui.ui b/plugins/samplesource/remoteinput/remoteinputgui.ui index 305de464e..e6f0a9750 100644 --- a/plugins/samplesource/remoteinput/remoteinputgui.ui +++ b/plugins/samplesource/remoteinput/remoteinputgui.ui @@ -587,12 +587,12 @@ - 30 + 50 0 - API + Remote @@ -687,10 +687,10 @@ - Set API link + Get remote information - Set + Info @@ -702,7 +702,7 @@ - 30 + 50 0