From c5a6956458d9b35168af367bd7a4f94754891d42 Mon Sep 17 00:00:00 2001 From: f4exb Date: Sat, 2 Mar 2019 11:35:20 +0100 Subject: [PATCH] FreeDV: added documentation --- doc/img/FreeDVDemod_plugin.png | Bin 0 -> 42570 bytes doc/img/FreeDVDemod_plugin.xcf | Bin 0 -> 195258 bytes doc/img/FreeDVDemod_plugin_1.png | Bin 0 -> 7616 bytes doc/img/FreeDVDemod_plugin_1.xcf | Bin 0 -> 27063 bytes doc/img/FreeDVDemod_plugin_2.png | Bin 0 -> 6109 bytes doc/img/FreeDVDemod_plugin_2.xcf | Bin 0 -> 22880 bytes doc/img/FreeDVMod_plugin.png | Bin 0 -> 53709 bytes doc/img/FreeDVMod_plugin.xcf | Bin 0 -> 265294 bytes plugins/channelrx/demodfreedv/readme.md | 95 ++++++++++++++ plugins/channeltx/modfreedv/readme.md | 158 ++++++++++++++++++++++++ 10 files changed, 253 insertions(+) create mode 100644 doc/img/FreeDVDemod_plugin.png create mode 100644 doc/img/FreeDVDemod_plugin.xcf create mode 100644 doc/img/FreeDVDemod_plugin_1.png create mode 100644 doc/img/FreeDVDemod_plugin_1.xcf create mode 100644 doc/img/FreeDVDemod_plugin_2.png create mode 100644 doc/img/FreeDVDemod_plugin_2.xcf create mode 100644 doc/img/FreeDVMod_plugin.png create mode 100644 doc/img/FreeDVMod_plugin.xcf create mode 100644 plugins/channelrx/demodfreedv/readme.md create mode 100644 plugins/channeltx/modfreedv/readme.md diff --git a/doc/img/FreeDVDemod_plugin.png b/doc/img/FreeDVDemod_plugin.png new file mode 100644 index 0000000000000000000000000000000000000000..04513187b7346228a02898487d5347c2cca083e9 GIT binary patch literal 42570 zcmd42WmHvd_&0b!5TrXLm5}Z(0YMrODMR2n4RuLwPL(0u=>*958RfJ0$~I zGVtr>GZjU7_znNg zf*zqHe_z}4_g1QhC+UN!u${+4)HX6i%Cb&@3?%4Zu(84`1De7E0~sT=%Q7%Au~@^~ z!qDTGF@x3Phzbsgyg!iH5)nOo=rW}4(tXm!5Ed*jM88s3Vpp=fwOwiDVda1DYwIg2 z4+(Hf3E_=5&ylCM??N! zAU&hZ{|6ua|HD1}zk2fjeF#~_^HfysOGrp8+hW1sX$0@?IuoLFYT-<5QqJ&wqAC_k z^10ic$_B$qlGwgbZ5XY^@0u=1c#CZhjYJoPr&&?re$C8T_cv@Mb1{S+Dn#$FhHG2|oV5P}W9wfRmOwH=)S#o1nbB_p+Xnfi7Qg0^MsZS{>k+nB@ zYro^Uj7k^6xq6az;%U_=nca@B*)89){_&@M^zL%acgZ@@-*j<&E6BNK71_gW__VT! zNqzlpkn^+WL3wzd zid}8ZO}yn?D~Yp*!LS5nKM^8~?AZw_rNdj>d#J>u*&e;+4R_Ozv7)DY4~62C#p4VJ z-^co_mG#Z>5W6YzInp)ioKiX%V#MIfEc#E>APgk2OWp>?PpNi=9fv-6{l1`wV!Oqr zmJrX$?Wfr6him>$>I&*Hf2*YRAq#|I!=rCphkaSd9r;6-y3A!oxq@JQs>Z1rl^*`} zJ4A*D40Wep%jDv#Haav5tDbMmzrJSCEF{-@HY-tN$ol-sX*0ssTb#3vaw~F7w4=!!mWacm2`5qeISL z9K}Q@{3h9$m*z4X9#%-*R0LUnf4+;V@(}C+luHMs5ae z$vAteS)XuEqvE6GA(!e?i^w~LZm<|#zZ%xXI80R!=09esAn?>i#66csTP9^!dCcuQ z&rWcWYctmpF~5y-T@;F4sD?W@{iKerC)&eDcG*wFZ*nBO`JfKy8 zp47lhcO1l3G(RsJKG;%dK7UZNuxNn!Pdc%QqMFv%^Y8KPFqO^uDs>lb>_9|I!IQ#Y zvhzLM%^duwGV(I&4Sd}rkJXwTL{0yciBHPakkcL?*3b$FzE@_NFi57FBAs%P`bg|9 zloKjaWF_^5WlIEAcqh^Sx(eM^Hzen1Ux(QWBV2{Yi-OF7F9K6he7Nkxbx@QKy^%go@s z^c}ZAr>OgAbG#=Q5ujE3%rvuXBPPU13w4T!29x4+)G6r@1D#KO;Z47+8CMILq9BQ5vG&-+F?-ia`;TW4zfGB}&&5`NMj6Z|7r6 z$_vVOjni!;{=e`Cu2h8H=Qq}htDreZAsE!-K_2tRP*kkACJqa zLovCsPqIj$u1H8`rl-Qu>}$Qt7|p?p%Nbyk*5-#R;5~CVHADIQ-A|uMlid&-I@yGG zs&Z)R$E7dGYDJP7$b2+pf|_zJmuC6u4x$pjujJwiH;OL&y@hKhyZN2D?0s4RZBB!f zH0E^Ar&Wqyx6yZA*(H(h4FxGh(xxsb(Ay`Xn_IPaNhgI$=J+H2COuSR!IaGrlg_O{ z=oA@*3|fD2UF$=4x)aHZ<#HA+tJK=w9#qP^;3=wp*Z!gK^DeRBQ&oyLa5-Ji zDwM!M{-GA;L`a~~q~jgsR7__Hf67&i?io%bz)+)pEGI8hwjvv4Nx&jp09Z~FZG_dR z^WICwjLGgCmsqSo&Bfg$s;3q&!lFHhZjdu7{-Yr!)SR}NuI2Uj_kZW-@9fOe^^Pu~ z{wYClZs>6mmczq^Cp|i&ZhB|you#sq#3<>0$COVBb^NvtdfGk{1Zq-={%iff_@;j2 zTF|GQkzPLPdG1Sw?};3YGOYECrdJnd6O)rG-j2S$67Wf96gpvHVX1%XcP=h2N-Hbn z3rAh%TTq_&r>Q-A_N;jCXnQ7E&~6N&Sz;{tR^bjgmtltQ**@CMn?nUp*tN8@mbZz6 zW!o4IMes6PL&(y<_uxnAn)808XUzEGveHc&7!>5S5JIlGTx#4fXuW*8w`5r7(%Im& zzx>m%**{gv@8A2lxZvbu>c_e2meaK_R8vHFyWCP!Qz<1pOZCy*c4kvm61ZM0w4ra$ z)OR5ZHL45&mJu4-(n~l0%uaHwPE|CDvV!dP>K9mK#$wvXrUR@>O$CfsChUhNPh5xr zSJSQ}J2jcLhvMq#TV8KW#Kn;`ovkM0oZF7%wU%4jTs=O>3X7jaDXFQqFfmQXi;dj={*JG9m|9#~Y9Gp0=}ikb z{yR0?=;P6sF0D~yP_?_i|ExDjki*2$fT$K8py8lToFYo$czZ@Aib`;z+JQP*$YC*F z*VwEj03}bG4Mw&nf!pfu?rq-JlUQpD=q!uCLt&o-_j!UIg8)li;I;td~d1iU@iTX%i;Q?V&g_-Rn@m= zp4V5Gdj|(gy~1;M85mxFxTCngl3Oa7GSNg)KnT1-#zr5rgJW<{zPW@b|RrLR+(udk?!bl5#A>A%QX*(kb2smqVAKa45FzgZ=yQ)X6ci2J!MKR(ax zC)4NK3ODYiJ&N_54jge7{4S5KOnxK!Z)}-EUi-qC_A{YKOoDy&{Oid1!;Rqz5&)iL zWUA>>lEYU!&DUv@6;{KgW^${)KFMin5)d=1w#>}X1USxoD|7#AKt!aP-egqv487=S zP2b?sds0F|!W6NWSOM?f6KJ!MIyyR@o}IloKX&L#lf-Tb#ijmbv*9N8IV)>pvVsLR z)e0=Tw^V}4XaV#Vt$){~mKEyz2ecg{`^IpCvL%(at1$hxZ*U!6-Dvz>ARl+!l>(~7J`IE!2md9?? zL7?1WN(k1|O_`%Vqm*K9tgfrS7OKXL+Xn|fz|!686W>W}zstOTgr{Jo0eg8w3!q!HynLKBAwOd0tgf%8l)qE0ipfWYUH#^>%Op)>vYhPBlPmr;n>GkP2zP>EI`}{fa z&>3}Qv@uhGgiw^0^G5RFfE+>g@|7)hOus>QC)s{3OONt>tG*PQZ7-I)cX?od?E5db zD*7pSOcB-9)d4o&uQyAY`|4cRU`Ib&>q~{T(zT`Uxm~wLAwG6!^mAlH`)g5AS!Jcb zwY!_!ugAt3=~7WOHNsHc&{Ul$CZ?utXcid=`d=RDrlh3&LS+&a)!(+b|06c6Mc`-O z>eW$<5gx`3TW(2KOA#3Y4Vh>tf_xS~2)4Gi!r#2P!^5-r4(Gvx2fS|UnxQz9ZPZQ; zX2vY@bFZ#fmflIP!d)S+oUUACVUTOa!<3xTdJfD;8_<9|Jp%;ficRXo7 zUF&V4Q9Oc|jAgS$c@>VV)sGS?$QISY2*&Jx5F7Ch*x7Qt5dXU0@XYjVkScEM=C*I_ z**OC5Z|sY$jCdyu5o6grC zA=u>08^poB&ouR2R#qrGbiC4jZ-!a2Ba~B2w2hm*>Q$uk z>!^LPgq;4i;9Jx69vW}&V4l&B{*LG|vdVn9o6-00q%^8Y=kxx~=`*66MS;uL90N1D zfoV%HadBlwwB2%8ll3;vxE2}%^o84Te*tUBFd(hBe zxZDy+ikOu#e#d(p-sGYcS!_mB#0Dp}EahEZUfv!nlg&LG4{uJHPhVTj&72mO+9F>4 zI!$x)TMX$8a%p2lV34Ch-fzae`8*Z;A^$M*U9TsMS~|{B3fAm-Volet7Bx`Y#{WM}>%+PcBcW6^5jh@M|7ie>9 z`)k^zNQsDZkCe5&s_MrD#;-|oqbyI;J&*X~h z9cft<{+F87NmpnqMql@Si8z?=E-Kad+xC)=3dLXPmt}UDFrrP|N#sa`3FouAx)r%Y z34lkEHycoex?#t%vtx=9uIm@b2Yl~&Hy|6mqjab6->v_ou>DbMVTGkpJsbvP3wODQ zxzU<%8;hy9lxL3%^MTx7v8uri(o2GmCY-oYDhryEv4(Tf(q9_0(yv_SH_8qN%~lSU zzi)4D#8|JtXT>+ZzZ+WZUn;H#Sd95J=ElJ_SISNZog|gQroxljqM!9Y*+kcCy)`sm z3df5!#1^5e*@-M|TSTCup9mO4hjln}b1{vVYOotsDZe%mv?{2bGPTTK|2`b;*eIMWW?xGAvOD_p33u0iW6i)uR9$G1?$6f-#&{D?3kPzj zYRNvd{M;<73+;WAs-ZB(Bp`5jOM);nTMI1??RSk+`d>S59VxNf;@0VU#!10ldpeg7 z>sZm{ot%`<;VZmon{a4A}(6kUp#9Xwwvzn@~Yl*>1ERjH(^ zy6j0xN3@gw0!N&SG+(n?K44F8u(QZoOG`e0aA38{#vOy|-qJqZ$UjB9r zf`X*bqo8A1Ir{F)C54N;x{|mlH>N`9O@C5t>kHdB+|wcB>~%s>z=oN-Qrj6ez>rUT%KYBE8sr6&)QtQuH*T@VlJ8Fqd+O zuNe=%dk00#k{8q9=HH6*F`a65>_Q<}_%;#|MEK`~Q$H=>0te%Z)7_!ADg8pP zW))n6(U)C=V}ZNpN?*l2lSj{;R;Z3`ayJ*AwO`;T@6Y`e`F{0czijAbdByzS=uvB( z9#UaN_U%raNiVU$?E2!^l+WDd``d{_c-SvjJ@KwQ-f57fb_>~;ki}C>Ho8~aG+xc1 z$Y#Z0_4Wns3djd|qNYV-CW_-}X>}57F0yiH1jwQc&)Nnj6yi2JG%BB7T2Oh5@0pD! z={+sbt3FrwaHxqLQ>>Mr(GW{ow3)CLRsH1AJyMx5FK>ab2HErH_nT_P_4j-6WNGWwR7z|iEnhG#ck%p_9l{QOIm(_Gr?8yMxF7G7PQ zJ01ALk^>kJSW?36-Izur?q2$=6ANHI9v&VJjaZyPm2KwmhKTb#;?>m|i$WG*h-@n$ zhWl^GI5i7L4V!#FrN6qOjLNYuHa@eZULPWwO~XMMet;&yJNxR|4-jNWsad;-`_@AJ z?d|Pt!0F_Qii*g$Q^h?Z4bcROw^i??yS#ns7I`P(Gm6Md&QKTkkB3f=;{`Dsv(yAh zytDM)yUp3|w71~R8e$Fas~)&ZB%W z{&|{j%`xM>Z*ANqSw%MX8wM7Px;u9*eEfCHmWW<6#fq!5OJG_yljTg_mvSY8U-c{W>fJdJ zK^XWsfX(y_43HG2>FS>yw6lZT8tT8&rPFb#g$O7pe!whPPgNIrwHe9EqMjfBH3#^A zmzm7h=xR#>1u!&{=~#5f-3ty5hMi&!moV>&wj9ZO6dV?|mXC41?%X22(-4%Ebq5H` zuedMun5V z-T;z>B#n3P-c8(Gq*>#-hlV)c2o=>)RF&a9uklK8D(!T`**aN zrBmp2wvymVf}r&A_L>_CJ1JZU6ndhuJDP~IcKaJeNNQD*Sp4k@-1Ewz88?Bqv%`41 zv)AaZ%PwxkLQ1c;CgRWC(#zB3$g}uUVpE>AnDRXHy_?p?RuU9tbJTQdVU*S`^(|jp zS?cfOu#8y36wHWwZsORYOY`$B9TCJfqXqF^Dt5I#hwJxY;3xq;8`Zlr-Mbe-ZsN<^ zzqcIUeD$yAc2~>m8~H}{LOTry>Y0Kf`VR5zy3CA>frYvy&jhG=DR8!C>JxxR5>Zlq za;UwnEfad+zBAhkbNEY^*`c|ph!bF~)!t&Kb(O*A&z~uz{UsO}81hDK(_US9edIP# zx3y&hq+~x``vIt>xd4^T%r`-5A$xje=1@TDSN}G-(|oo?M{HkKpK%sHy?^$CBc&D- zdyG8Ys!-Jv^>?L>oR$`m^wsGd6ciK@adFq{D?d;$PEVKKy>M}1q^A!6aJj~chP6q0 zAKz*dgD&O~PqD6p@p0jgFQjXAM2>%oyuVGj9Op3iKwqCpL^BG##Ggj!uih(^V6i?5 zu+c-wh)KpZO7`CM*rmbw9(Imh(Gcy!pN|eYnOLp&MVIw8z}tdozZ? z(?frMcLXUHSNk>{8s;p8n5_lwPg<_0dA|gSGxO zAeacG>S3}cp$uvR1)l|`SZZ{882%dTL|c2isOK*I?Ck6V+pt&`jiKgOufD&fY)_N) zsRcej6~(NAFXLYeHRt0wD5%Qz_8c@~ZW%D26gW4lo#y&-)ROZwGf{5_z5ekdOwQ5F z%uKu3kP^Yo&FwhXmTFmCw^-^i0m`{UE=Afo}@DUTPwb(u#SFvuT5RIbqN!5KM_CFm08nZlOY**+o;R>;54z}Hkrc4dvzPIDA6kpO{1e>VEDf^-qZzA~EK~+6i9~&wtG774 zA1%;CXLomICZ-Trm`f`wonMq7ezXDQda^K z-(Ir!_4U=(*IP}zg2@UD48-yiX=x?%KSz~H!CUCGcgWG=MrC_a5Dbk5v=4+S2wl)% zMkH*QxM30CguYd&|4KV9(Y|$XNxr?eQttYxEgL`m%cyy#&NCj0#Kt{7*Bd1nqm;XD z)i>!M74{G?*H_Z9G(Siv9^8ul#W`~*P_oiS?zic(s=#4V((pc0*mz<^(v3H=kND)d z$l9+X3Zj^oZ#}b_aSO#<81FKVyFDFw(LOU6i5n(ISJ-e?=IRK;9LxE%+G4`W(lXwr zN*IPbM?JM$*TnBASW4rn-2|5l~n`7t4l163C=PGLX? z0yZ%xor%M2XNOaH=q!|1Q!fsVr^X8P(-Ne7_1qY@qjgw$KC2CWc%Krfp4&CtIr4D& zTk?B{i3Z09@mvZnQfZxK#{FJ=M^QJ?wwimbRMcbNr~I2RJ9s?1RgGPds0~RDI!@P`k>P9{ zY%MI9(eiozHow3o*$;v>@N;os$$XL|6bDWc~g?2 z_>fBoC`WZ`=C`%aDJGDVIRM zjA+Bi@7=OW6$V_CeKtmOsfoebT%q4Bsf8R0HTxo8(=_Zk>5Gn2*YFGrIwg|%c&E5U zDctch&|bXc5=^9WP79fmQzb^F>HgM78) z-yTSoVB;ROez@pj%>W zQEfAlN0_Df?)%i#l*Gjd2&+^A)~3e>B+1{U_>=boKmev2L)p;K$vs8rr5*poG7R3XBkAmt+}wTBOWO81#@b*w zB;isbvFb`%OY5laTi4T3S94Pgx~qRD3e{e0zubBz^%u7-js|9|GCNE)A2oiaLA;2_ zm+!c1MOmGbdqd8oxOH{jxp*c*I815jxKaL~RL1P%N%PYeZ?}w-H*Qdu`JUhkDCM8( zc&zpwOWkgw=&YmWt@}M$A9#a|jVVEPDRQI_JsUc~NTKe#nmPaa4(Xb`y*)~_==gZj z;arWilet$`Fxs`XwY#gyPD#|CpoH-Qj#N*Rh%hc$16kJ`D%I+2etJ6H^~C``RtRI4 z=aB24k$X_bEgTKS-I0Q#O0`#YX=w&r2TBSdna-|9b2)Li%0~_cm@!`S@AHd`fwGzN zF!V?vmeSa-Z^v2Yw**m@ff}>`B&>t)nNKkBUkIb~H|+=~kxIcWn(s z_uXy9;Ls}#07C>RJr*0)^H4=?O_T*ilCmSWKk#&rTX|2usSus@?nLc=ZAM+!9bd*} z9cI6Y+Q3u0zIdFsem?|@6j+SRbMDt#N^so+U*hH5A@#Y(s@T_OF|jzCT5nE_3!-C- zm}+*IcsS>8zgCoU#l;?|P2$h@zQKNM*e|3J@@e}`zs`IXht9ycxx6c)ng-u$$i%7~ zXR6rDYlIDbCdqrKDBmhaMB$3;*I>`15aU^u%rczoBUUGmuYD|je-=&6TT{=KIHvqk znZ#xJI%18!aUJK3J@kF+=X5!mef4E&k=4iaq2hDaKn0 zlRBAJIB1>7XQ^D>=J4-uV=|}NZDWXNCzGv+o&BS&Mho;B&&`U}y0jV~E-Zg;As#;D z+nq^r^{rVoOlxN&#iBZkGe0QfVKk3Pd-(0u-@5N6KE*4A4F{;)eB8UwnjD_I-%qP^ zPk(gqZiU?_U?z8G_ac$=fxn;Yv(bVlUk$3*hOfXhLcMVVWqrEN)y6+g)aTf~+87U> zCq>j1v|sg)FJ*ur(6Of}>eo2ZY>pN6>`wqbGFZlq=AZ^QQC@~Oi|2vN{kE}!t>B`n zq-^oxRSFZcT=o^tq|$Q3_w;d+f!r37s+w#MWS&Y7dwjs=Zz|_NrPB2<8_yRvd^Zr6 z7;4y^?57t$64v&3AMJ-OS|{-?NLM&FjYA1mp0KXqrvTKH*ZY54%? z9%wG;X(sc_%kuDojhr;2pO3T7if%62_Q{588o5_QWKexp>A>a@rIbWWg7XCIG3^zJ`w9~uH_iiFFsHbAG4vsCwfzV>IWe1uWX(#iI0&;H+g3@qZ$HIxp$ z-(dbQ4@srdkol*;ShB!mKZ(hXi2%P=#^s-6$Rk(DaAE%5O(x#sS3@|<(Ka)nm`(Pp zu;m-?X!#wfk$-g_)7bfgJxlw+T5Es1;brLvF=_$77o|JXGv2FI%?I~!}mx7*m@-|#lKnlDEaMh297nAkK>W$oE=Bp8GG z3hHf~QRVnZ$6rsE4Vq!m7#FpIt3aMF_bKXw9-xtkyFaa5(e-l@+8!qJo~BZhaC9to zIl+D~OkiE5A<*dDNV=d_lx9y!BT$xeP5!NU;^rtQka`9_NlnUT+OODnns%vEHKn0ng5Dq48j%k-#$ zdtzeE12JOWhk4VNNHac>R?^!U4P@p|*T*E=wMwbgnz_Bi!XF_;;D7mV|E>fxuyJCa zh~AFp&nmRcD}YUX{N^IbKv+sWrokaEDzuj$9#G!BKA5$4bo8KPoS2HL8;CQ=li9YT z1*%B`x5UN8-Na}f=cq2g)_KO0@nQ)O5&zAnPoHj2*R=yFPz7^cNJt2H-3RbbGql(!58nbAnFuIQL-ig`$o$Sw_8SUp3jXIf z;5g<$gyt=sbQ*W_g_iwCMZmwG#9p$|`JXa?;)BEwgm)C;KJ7~z5t=SpB=Nr=JvOhM zPVEdxOr(H2{zW%XT2ZkC2m(xTfRbI&G~&RTR<|ZAU?AAE^E6i-#=a;K%gV}92-=cB zk?rj7e+LLZk$nxS+3nl6A1Wy=%(n&!*bK=#3bb@}g~7vE5yh${2{5p-V#X_nL`C5y z3p?ciU46!5I#Ft7Ki5RVA^edPF35bY^ee_CxlBf9^#2$XvRn?n# zx5LB2Fkk=>;4%`^(e;CWhcwHnqHbbfgz-7?gLsGJ^{~qL{epkCwxUESw1*SkNfdHO znzqu{%u>K*R!wXH#@O-P>+auA;K2$$t#RaZ?YG$TeBiuke`*&u9i{OBmM5>%tONq8 zS~murFG2&0)~y0tp156TDdx%Gf#5|NTV01OH}j{|Xibff3KF3>x?TDqWcPvs;QgW$B+x0t8Xj6KCWdSdj%l#(!a4Y5gJmfWsOXS#?*( z^LPEQdMgh9#G|KecgSQBMt==-nrhKxp)jUh{ntKYr@17$F4EAV1h6Uu))*lHK?_X( zBUX}0i_RaP_^mK+-O7Z75>r9K-SFNH$7lRypEUR7Wn6Cm+QcBg7Q8H}!|u{)-_lj> z@~bCj_14wZJ*clh)-W-*a1h!p95AzqkWTfJg1ZoxfDE>(rUc8)(6pd0BF-Ep8P@+J zOhkKWjX`Ay4-fz0+&7Z{cyRLwyt@pYLj6)xl+4Ub7b|GTn2WbO**r&u)rj7*PpG=G zu;i+icuzOKl5XFDEYC2=aK@es=-)hc)S<-p7COeGSzJ1meVS0SXBHw>&SA+ICmHT& zCZ=B4eo|V>>qne17ev~w?#QNjsL%Otes%TZ-!q_ImN0MtTH71e6}<6y28C4}|+y4#rFGe~7BIKn2*435$wU(@=iNB@zP6fA2cd|;K(7$TzGF(-NG&qI{ z^-pC8EityR)PG;A9EfRc{u}v0u+$k%Gi1MVASa-c0Ns=W7kzJccYcO@&OGL?E&|dH z@5TquLddQMvlKT5v%;o%{{t6y(u^?eggOq*FYoF}KaxPPd<`OFw=g<VZF54rLG~nzR~-Mj-p4WGHNi+%g*Q;s7648dhtP5G z!~e^7wSMt?VuI)JNn?1xML7$FI|jM2uft_V?^092r=;+~JQl8>n)cj*Sy4nGvgl;h z`)Il3?-lLVKse|Ha6i};>XR<^m34~N5+%p)A>=N1AnF>x)&*n9HK46+$;|SK^f@od^SUO0agrbxT${P3xN#j z$|TuZUpn^-_YM8Rj}kZ0KhKsVeN z$tMPR??LV`vMqme+mJOiHI3kMf_=0O1`;?I5Q64zFN0WBneUkk1i%!s6rxU{#I8fC zFu&@7&6nD7Y9%Ely{Avf3lIqC(hrKbQhP1SSf7YuoZ8bL+GE7Cwe*UYx={NzlL$nd zy%Xm88IT=iR7QqCM7($;^39tdAuc@DlF-DtDhbNnAP72tM)HFIj6=D z5VK(71{Mv~s}vwmlAxW=B6Sm} zx}}yqWM992#;5Cy(C?6k^tBIfTZ&bQoCZ z&OG)Lr8os+)&h$g8xaW!CQZMfcXh2KP<#>q1zH_bu_0hTes)XB+Y>kn?$ zU}6>~yfsp6lnf*T`RM8?B4$mBp#4J2Uj_7t47Zs#WSc9cF0!CrUHra_h)xarz_0eo zD8&>GPEd2>o0>`e00-p@hyd{LZem8EO8hqX(XFN#fJwog`2 z-vI9f>?>nvcpE^@SG_V+P}I`E-gstiu2Mu36B}DrR(8K|l+Sb5)N!^U;>{auc*C-f zjHzxGG#yqFtgDLy4KPtR=9;AuVyVUQBh=8e0j(S~Uti)wYlA(qdw3|HJ3I&(*67=! zffW_}+zq>^0RQL(1*=9(mU918c(smKhRlRSx0_zy8_1D8l{|H4xF}bkZIgZEZ7T?O z28p{cP{R+}Q<@euriPxk=>P_X?uVQ!;}XT(;RuFM>l zrZ~iRI{e)$HvDzdv|G364WyfA|Ek=+T*K$OeIagg_775j6XllJ;LEYSPofjDr!Xi2 zvB>lo@x|j)HaQwN2SEQIg!~#Zh|r#y1O)}VB6vZ%^*vn(gSzkzh@3NUEBk)GjqC&p z2~Qpn6_=n9Li9BiFl&jnlqm!Sku#&O0W&NESZ_#n1SuQn z3@l(RXdEd(vRMC{n@y<1_wV0_s_e#5N2xc$Za@J=+LQ3iu(bz}@#$3YE@0A$KpEjl zN=tc=eC+IC&1cuIT@k2a(0<$FH+?lIRTTFAh zp|P*{)w@)c=FBHM?A?*#mZ87P{}0wn@^OvvFU1TF^cj=W6d6I2v{=~r;SdE zc#t4)4NkrcwXslJ?ZZxHZg(-Fc;nXX%mn9|GE+WW=d4|E>xMIS3* zYsB^0Ge+=C7eQ47LIiVA?w4_Wx`gizT&D2+7tl|@zI%g;bT782MZJ%=e!|18LuJZO z33pu|V7R!vtdOX-9%KYcrd`lo4^wCFvsdFZhs5t({MDY*YX{j0#*3i3eFkyz0-UG9Q`l-5p+TVR>yN>LFow>f*j5#^{)mtFYw0YEGsjRO#iwF_qxk zyD@`uw_{c=JxO+Ah4^bCUx33K=1={0bJwo!T<4*&;=1oC$R8+cp_1dFl|Ntgkq-$Y z5lIKCfec`P&Jy8uunM?cCYD*v1;-qmG#F|=fo%|0pdVU^-hS_|CsR1O_~(zUe}Jp^ z1jPEO$+!#??I^*C?LfM`Fw&r_Aa&fV!SIq2r!pUrp;{MYSblD z6sSAZ)}P+C7G&CoRcq40E+}L>p5S^eY&x(h(#RfQCEnDxVm_tD#gKkePk+jCqfbNq zE&sL2U@l5^G*`-8Gw-mMa6cVaoNrD}&JeJRe_G3MKb`w4Js_Vk!QljCE+0yK7ZE-bZ-H@iV8Z?T4d0`v4_Yzk zb|JhD$pZC?=e zUt3$-DUe)DJUlMV#t+7bFTGDhUM!+<8dSE7jR`$AN{EY-2i6Kp9HPPaAmKqnyr)}i zc(cUDh6c~GAT40(Lr(KBdHeTQYIdm8<1L@ncF%8?mIr@-87TxNO^m|de=gn$Hoq>r zBaGrov@jHfHDVd7J!F$f-f=OVWwOx-d{}6{E#%ZkTA0+YqNL7I-jGw2^HIq4A7}_5 zT`?r=-vb1-ih(?xvHDK>Y95qA3)sJasVw$yf{v7UtpOqp}eaaae&bR3nrkBORv%U<;OLsuF=pyiD(@S z*jK>efMvG0wstacq+ozA{r44=ZkTJp2dfIw(nkvN@@N=%w@qQ`BhT7EXo1&m>?_A+ zW#AY<1jZnv;cNA$#4VtGfBAA3ARWwpNcnQ9C#uWB0HvpNf)gKk)p5aCo?vt_ZG+&- zLZP88Hak_-X4h;0-NUz0ZQWxi?9@J$N;#8ne02IOuD@O1FkRm=VvVT^tY$q??~efc$#}7@BBy%-aQ`6BljU;kn}n3=GWq*AJbyx=?ysLe zBcOR4?3|zMSb3#^#g>Cl-r3OsPTIcSkpehbe3oLq-~P`mKm%1`lU&TPaP!f3aKS}= zPcswTmcBS=x1|(~^5* zVP9>+i(~}27TK)VsDL4XZ>IIZu6@_|=Ow$Y3^D-~py+BTF{j9Ha}HpJ+; zNrb43psI{W!r@y{|Dhj4{LE$~lP*lSx1UuY%|Y(0yGpcjqlU$C2@E|D%U%PVhlK$< zpiLrlZGAnws!H(1ix>LpIF+TPGFgKpe_TF++>`~#h?2ys%67CoO~cugk?wSsQ`7s} zqpfj7n0aG-h&!TqzdSr71Ra!urK52Z;pWHq^E*2lfFVSoLWK%@A&?sFbysJnnUm9X zX`hR04__)A5wNt~g(F+gnr6+%sW({8VU}>_+*ltrK7xJuR>3@UKli8&TiZ!u=oB>a z;f(t?x)Zq5v#m?Z%iD&Ah@upN0J5(2r*}aJ7O?JtrlQSiJ|0HL_x8L`^E!A!zfgOP zYn!pj{L#mBb~_tB`@I}!&1RIsHJM-hz)4A$GNn7fY@4?*h399BCH_%8`|sw`?&bya z@k)1=c7Fc)7;^WwL@j_(pgF{@Qiqr@zVLO8(i#kwlse)8nZhQ0>&py2V<7|+XXq5UP!8;2! zEr8)+3H#k{_LBMS?LLU*VZmUF)%=9xLnTfBA^|i$23@j(bEvPXs;aZQ8>>j?O=F`Z ztPv=nfZWWvY6h+2bkW{Kh9uLokx6-BQ%NRDy8a>hWpL9j(zEfs!r${32Nz~Bi~Jhz z&vpjpB&HSwxiFm8wq{@6?NHdeD3r4qcH&TukDRElsdM89?>&%aIlF)QxL)T&Uc_qM zwwzb%tGhQFqr<7!^rg?`iqj{rN9=D?QvL+eX*1g(s+=8DX5IyoqmsJ15c`tiXagwJ z00E<@g_G!3x5jRq935GLau{IK7yt)D;1AEh^`3-y6a*CU0Om8_y&DX__yDtfe@FKY z64cO~ktYh7t~R{_-;)FQQqt7a%(;ZTRSFnB$UgMEmkP9-?}6ypK&&CdMnOkMhd{>W zD*B~*V9dkcy|elm!vxJnHR>-wT(w@hzQYgGOTxxO85VF3#c2IB7p0$n=kgUr7w1ayjU~b|NaKO zkB*$29LQWmboBS(;n<9f48F35Nr8;C8$7f@O3kEKxxvlem=`p#j|W1>y4&mud5}5 za4V^Uw!lGX7jlBa8K1in7d(sty{q@o*Vjgj=KT|+!~&>H*`mTueYW=G3S>6viH*BVjE<>dWkh8S|NH^T2+;;5JhzX3F3y!<0&L50-=J!Wa|9v zO~Zu1nbeIGjEX*{CI0NE9r383G=QUz4uUN_fg)@^Xc@d|b1F*1pa38x2|mm2T_}0P zL`2Un=#!I^H=_d?|IPB8?L_?w#g+2dzKu=J^+h?J4Wf9liHScH%;v3v8F9e@9|8nyRD;>NMzj>aMP?Kyd((tU^v?3GOr(=qDh7*KjogYU(!-7(t## zl<n80zRiD6EIIB4rp(oi zL5#7(LO3ur3maa)sN}5eNO2J^fqVkofEtWW7&HK2WXRi`pErg3hhD7AA35H55=#DI zXqZZKx=FbFTYPD&={zVe;HOiFUo$~O1&oSMpD5vbmf=fm5;9fLTuYuTEi0Pw^H-R* zgH-ysh9jEG(KIqhngXYzbNc(W9?A37G4!j~>Xb*DAVyq|eV~LRaq1`D#xQWPD*KFD zr}r~zeVqX9yMjM(n}&wJk>(|s{vb;{6sDh^IbjHaT-l4g7fdeaWS*Ejc3N>hB{bva z^W%*k;o%WNlTq{5c4@Z}9DlL=gPs~Zv$3(c&BVS^p6(;v0gw3S20dfvAD{N==@i)3 zT7aI|w0|@YJHU<&p2gz)Fjd`Bg2&2nVoX!I^W}z*hS>UWa_aZ+dUq6l)vT&z0JS5< zkDpR2D!=^^{)4DWD(AEjg+fkQ_Su!utd)(K((tz$8?Ey>!l(TSDTOzb?YmkOsu*pw z*@^D;spnzI%afp%v(UH32H2(jE?{KTa(?z&48>VOhN0z)tV|_~lt)8VP-OVS)&jW* zOcbWVwQ-ui380?m3=@@RAkJx;KE1Fa2~#wxlf{Y&&%?z@n^3yCgPr0a!v35<2+`6k$S0mM#|}YcTvDaL&_ZA zZIHQ8aKwRz0*+~gr9Ir3Q&-w!2$rY9JK87!GB8o9$wE;90Ri^oFCN96fwh+lNCTOQ zA}8;HPl$rj04G4-(n=-)OL^w#*asC|ugTZz+lu6R#s9(Dn}=ih?p@=iA`vN4W+{mx zDw3&EqzoBC=9CB_gv^PA%tL0CAtIs3Op45vB$+dZOqr*5UF~P@cR$nb`2F#Ik7Kv* z#O=DT>pVZh`mD9ix8J+yI8R=j#%I(e^Y-ZU_8?ibwOc=w-7+F@#zWpD=D4Lo@tp~x zxcmp+xfSeaaG*7k2Y&%BLq;BS-&o)|vsf7vQCnzf2f_Y&h7maphg4ia_g(*wALaF( z1{u*8;(|}$ItVCX`IG#^Nme6Z^6h3{Q)sy#)oqp&#Cb%(S>Sz5L);Fpd;xC z?juhgh`Ftb0ca6BaU$pL+)aF=34{F-;!U1W)n-no_xa(M1~0w|G`@P3fSoaR!W>o= zTG##&8x#-M(SkslN{_oq6enMjA4&;5rB~t9U*|4tmN^$>6;YOANPGj*HBLsJSGfytOqXUoKIyl&cIT%rUp&8z<(S0Mq zX*064)cT@vAcwTRz%NHg3?!BY!qDUsNY-(UXd<&9nkc%J)AMz68IBIuO0G`2uFhE9 zc&;dIy7jy#fyyaMuN_72*Vhm&;^^cw4H&ffTD|l5_amKMT{&;wT!*&aZse0EQGUp_ z6Hu$Z>zoF7T9B9r*S1ZQ(@79aS3aG50jy-*x7MTpQAv(lbq4^G29`I|ixPSkZ+uB_?GsORnf1TT3IGoTQ@6cV@-X4wMFN_gz#h9Ij{J9}Xb#v_X|u(4TYMxhsd3?A-o zgSNLe#y;EF2qD6kuYxj|Dpyuk5;|}!_t^13cTA3eE7pR(00js!C&uz&bSjiuojQa0 z|0!sk`3%Y=F!*PIcIJ9_iIdCv(l9~Ce;ggX9oPqUtK!qca-f~4tA|gEZ2DASWe}Ft zpOnN2;N~Mx1n3VTpe6W?BpAd$05nQ)JQ^ATa3_$PLtiwvC7tpj{0d00s;*;n_`bT@ zM0`RYHOSRZNv9-cKJG;0_@+ve>0XQA@2q&h9Elz zyC`np5N$791zX^IE-xFFLXr|+&-4igvi5_n%H>|v;PI*{O$aUEc*PihEHQ<_0 zg?Xc0Z;}xbKV$@wV!#c4l;W%l-~YisSWn!h@JP83H3RVJ6UJkZ=!BW9Kj4>YX#bQq zUK%Pvq8iHe@T?qD&hQNTy?0)>SJy#CA3%wFjJ?yUyQCKMQcM{{eB3%qde&)gYKbE@l2I45 zsD!ctJ+JE})z({EGs@~WZ$umuO@sLaD&Dl4Kb!bOL_}`3y?m6G#tXpcW2-QzVUWdG zWDMguKF7GXXf|82H1fycH%nRdRwXK9n{+MoNW|>NcG^6&IpOAx|3EjZ1c+MaMJMHp zk`-E<^cbl_0s@aEoP>!*^TzzfWPTa)y~Ns|7Ky0}@;w%^@SB5<;M1p1>RHBoeNuU5 z+OWFlMQT%?Edgk-_gp|Pky8*Q#ybnc5chAqjlrAMohp?TU)=nLPo9bUr<@Mzvm zH3$N*Di{EzTK?D97`d-4fN zM_}sc`&!~RE{^PGk6$~m7W2ng{lz7TD@&IGb*0~lo-FH&I#WKdxCYFi0lOdg5^d?- zxp#GSeh9JzZx4Z+*7$p&P5)-JL8UgF5e>gY2ixjVVl85Ih`BDm4&1DokrMZy?9Rj< zemE%1AC+e>a{w{_&qPNQX3TvMG;I|uk%<<*{-vPGm+x?w16)hlv$c?t7!G32!efF?+E(Hp>qB|3Hh_}L7JxH#s&d$zg>-C=(E7_Q* z9uB;InQb@#6HZ*r0CEndVn;QG`x{cbEFloZ-@?(l+QM=u-=h6pn6gx~i4-U~N27#O zUms6iU?cV?>PxWtKt_-cYr)=z&xbx@v^adT{ZD#A+x37N7)Q0BM zhv0&BwEVW8_;HM=&H8&dT>o4u(xgujeSWF#deA5^jUhzL6g+O+V=qGVtUUGu7E**4 z6L*I@HtvH$r`EEHs-5$?)AjBOzmf$B==a;qFT;k32(`X?Z3`XDk={ z=XF+hbdQuXcJ=3DB z?bw>vfE{2viM9-O7uoxRB@xmrwIa}Eav|i4;Z$#>1(e2JUM?mrgp^}ki&6->06-)U zkz+9oO#^{+75$5uz5{XvO3g=WBneJKyZZ(E|7dAz@6M(vayj9k*9ARUx@I;zQRZWK zo9?E*Gyl^k6_8$0EuL?EZ-C{e>)sZG(G;>ZF-~D9&5k*D!NZo(bc&3%hf1`0aOLM@ znXNX4NZ{`oq3W+5MG88CNBM6C) z`YG`6qKUgw)#kdfw(uH+jQZ3wL{hTwUQqd$d&QKIqnY7xT7FLOs z$$@iCJfPkR&lBw1j|{GS#r6Phl!u}jaVlzTT9sNAPtVpq<|$g^*OncTETXrPi~I*p zx^Iel`+~fI7oPPMv(t}6%?Y1;h8RIcJ+_(0XoP1EgaO-Y!*8PsxN3wM8fh`+rIZOlU%nPlr8z6}qSkzs)Qm?x+ zja;>Q{y=&G!|^whv}!i#W*mp5zws^wWd@qx-157Fe}&r)uY8vin^q~LdOpY5B&X7whHx|gUvdMi3} z8CtC$YR)_I`es~f^-Wp82S3cu%F6l)Q&5b1f3}^ma(cFs%0VW<+H~Z{_1F0szP>v< zk4)tAl2VhV*0e~G1o@r%aptUSa>eVSoiY{Ep`UxQKAw^BzAf~2mz^o;f~L>Z0kPYg z_pi~cd!EpVlnW*Ez&lLQH7zPy>mDL?8ZxBx+?sTybt54!k5p9Cw1cL5-gAlHwqV?q zm+iarms8F!eC62zO%b}04+@O1etIA9y8Iq3v%I`~@A8{5tUVU12_4m=FB#82yArbR zRtJ+Am1CjeZ4xR8vPsuXa?ST_wMV+PRJ)RJlt-@6zgkEpx82C_`?p4_c+m1+jZ~I$ zfv-FhDW{ZF0tS1m^)Dj-s!_9HGJt{&$|`bx{ukVIWLU$=076SvXX;0l91ueXK86r| z3ofUBa!Oj{ygo- zr{?`tYBw=u)~z@b5?^4V5uovNE*+0P<3m&V?j0Ek#v9-$Aw^c!)@}h~Zogv8dlaFh zj&rS=PJt&PzDmSeuTzLPfl+lM5l;B(|`UX11}(A5O4&>#U=xvlUn;fSnpFU zaH9{ohu=fYsW5TV>9GVi+N)9}`T8Au`#~s$jnSqPWBgI~ph&(dz%q(p!9NTN;)R_S zaft*(iZHD{D=sGXsnGVuTML9cSThmB7lKPjxLu$Wgb~$d!sh}7Y7#nCm_!&F z8J#M;J;)3WInZdZxbD@kI|ragV;Vnqq3DcEx$LV$bUr+;7aq!c7VeI&2vd8O=V~qZ zi-bhTWzl-5Ik6d?gGgK&ZVR9To28lS{kEr0ol?i|RMa9$=;0cK0CgnQBW28f%JQA@iuT_57lHeq5H3_(cVD2HNQzreVy3c`xd+)}DJs{2; zKfZxoL-^$ptsKgmSNZu$EuZ&YUk{Hj7ZQK>_C)jlodL&)lPKJ*w zk9pfDIRVmhnaX(U@pXe?20Do)u%ts}S~l5C7Dtlg!ndwJUVPb2k9ZgFkwZTv4PQzUk;&mL!`j)78aW@l}~pV(zONVDMSI z9eJ(d5?EHS-issNBsh4ZOhiqI9BtYTR#qCG1+J6m1Z>cG&kLbFb6cI3TVKDFo6^V8fdz_eHA=a3fn3#PrHu4wIB8wC|F}hVjmU>Z6?lc)5#9^jGq}T`7QA}2s zH!wq@#y1j-Ak>B1(ePf* z){h^u2Sg+~&&6-n;6Y|td}N$7@x*A4%*cA(D+jK(;y0%F-8Kgp1kW#hcC9S7`lYj_ zxUkU1X_;|Ke%<+Z#=(ZQ7dImZFP!AO@9W!nNJ@$wI~QV9!q~vXWHf-;RLB}Fu2P~D z>{NmvMB|W2=*kR8zn`33E4jg!t>zYR?ZGB(vpCxt$EN7f!*Y3j~XrX_p|&d-tMTq|$6i@ko=4RoAxHfLoHVJzztgMam}}lt zjE|XE^164Axh2Ja-fsK$^J8C97jJJ{k2XV+axq5y7!GnIlHB#=r#JqlWs)~-N zSHa=JqL+gO#iN$B)m2lt))cx1*Q4b$?nqE0Qb7M4yyr+1g;SEtLYM;7#eno$7o7(!Olb^bo!N^#td30 zpfaK-_>jtus7OHHM30PK5kdY^ni3naG*6#7qm5asXNeVJ3y8V{aA*0Jc2QQAD`^Vh zI}nlO#@)7Z(5kVwqJAZvfXb^3Ls50g6~tZwH~qCuoA8N>6%9iD4^0vD;CqP_1+c>C zV=xz33%;01S_7pbM1|-y2=SC7SeGDvFoQ5cka2J$IEX#-zh&tVIQgR01a?Ju$e^Dg zsMuww>Mu_I&;Stx9}gBdLQM{Bs;*JsAJ9+xA*=6Bd;ypeJ%w>sk-gba4}46(EfQVt zdU|#%e%(hRc4AzKq3@<7&}7ts>q2Hf1B&fc6O(gTCXXiDk){Zo0WdRR{XgECLXoHzRyt%p>G9a}A3}hnRW* z<0T3Qxj@!FFG|}W*e;2i}kEvLl9GWEGS11NeNdQq5$mZh$WsN zz!wQg$+=O+?R?t~k;Zy>xacPE26yF6199ku1@d`^7}ND9FIxvD4>mGAAw;@rWaRnc#S0s4VDr^peINXH z5%NQ{uz)e*;D8Vf?iwM?#oBO0(WzD9XybDopj|SCAcT|^I}}(9J=~U-@LL{ByGx;B zB|(ko4M?7ZjEs!H{V}0`57!?6(AYi{JUw@o01Z7saTD{%Nkb<`0e@Q>YYXbWm4O`cA2)@IlY}`wsGcR>i=Jc( zIN$U)9V;VnL0x_W=7Hy#bYlr>WGF{jCjH~1!-woVpv~-G%OflWoF_|bP!kZV44;>R zl9FY(w7BZ3!j4jJ@3THFjg5^q*48A3z)dzRB`#ce`ZSlFl}}uJ570?GTacVEP1y{Y zA_WwvD9$N@Z|bRaL(fHuzsHDLHDSj6sAU4yMJV9`%W1F?W7j=AA5GZV9n*Ce1HE|NFvL%yL${)_e2ob-XrMZ-M|NdNuN&R*3s)2t8%tiYD1xf>g1=xYV3} zutJxU*>_VDd6?&F8F@uGpIShDk4BfVY~DlxmwyZAm+f_ss+)& z*t`leL&8r1oa7)IqQGK_{SHvn3FtZTxsjYi2_jj-FKb&{0V8^I1xQgC2fL&aOsp3DOq~6J2JuV0dl}u!^940zeADItP>f z6aYA_JQG?}v=`N05|-b9rCNX;5`Y*L)yF>vw{72E>uz!_`$II?N%9C`N!lax1xO;KY8o&!?$4Oyz*g&HqX@9GhRW5hW^r+mj` z2k}|4<1m9g6T!tD8YmTY`1A10;DGdxd(q`r$Y#dRbCQmbCfj+RB(X-C-{Zln#qx7 zk<2k>pq`BU{BHrVg&rqvd?(WL=hRDkCknZ_LvOUsPk0skJkq54$eVWlH?yNCZ_;-9 zExL7sx3l$%t3la#Jq%t+!m;|F3A`U7&Igu?`wmEvj*&j%;sp(b?2N~WSAGK*HcgO< zhGuVYY~vx@@tQE!qP`fCuuX{k$HvOrHknYl9XnB)F??qTrg}}jzWJS}PQ{)i+NG27 z!4SJX*1AB#V?eDH{7mq7ch)=wo=+*Lb{YGKDgRC~0g!qmPO-NHKQ)=WqdoW*c>e5b?oJE;^N{L471T7z|}^5{+u%-xpL~>FZGp- z0kwE>aq$dptW=!B;LxAw9%wx?FzsI68Sm>$m1e0UShjMDlumlwGfb_z>K$wiUNCHx zIrZ%Yu0l#`c=k_>FID};`dHy$6{ViEx8Ks|HENadKr`;Mej4?-2m)Qy+KO_X`-a)- z>gD9g z)Iv+7%k1S#MmVT56+28Gm2&8<(3g~CUdM>l)ZO*C4?B*tk&;?5_Zfa8QrNaeLFqk2?He$aoayR==f~FWf7VqcmZ&!I< zFonk57ukd-=3UL={Z%O4x}=2m0w}MZ7T!YNZ$h#zB{M0r=N;5 z-?*&531{q31vBjB{`;~!n zUsDYSp5|?OR=W%tEV|_Pj;l7jY~#cZOir$EOfmD8><#2=iw|mcnvf-~T6NF1Wp3g{ zO7Kg1VkL)22)C|p!ULQ$H~7~&R#?iOY|xL=r>yMU#+bS74mWY#@3Gi1{glv|y?a+Y z?S%5n*`dE*ue*1~Mmp-{)Ze#VVC}SBDO$DYZocWX^!bV4N#lJ3f5H`%CKH2m+1pDS z^ZtI`=MCG+M%jAF$lm3auo#@H|MSQ0@ari@2>jLOZ(GyxZ*rxv$m~F7jpl=WbaPe6`>+a9d>wogZDzu#$)&O6LnDrfyt05qpFRJ;E!V1bJssw zWVnB{{XTG%ndzD{yN}&uCExt#yu%41)-?!-iC?bnlB`^`sJH~i7LF~fOXrzINAQ=5D~6~hj+WMR+4E?Flc~0Er_8_Nz-9T5w7*)N zDM(J9bcU4XVL(9dXE_#|fsdy^&^QM;Njvx2cK*w&@qM?UFw=YH%8_R zQqXA`_WvB3m=iZ<>iIIYrYS*8p+dZ?ydX#%i(vxP5fF-kVefHF9_2syMNrX}ruYf` z^s>B6sjNqr7_+?3H=G@8XN+{$pBPoem?dQEwm*XZ0g3gn5)Hx-WGHp1iW@jSL;TL2 zd5ezxhuim-88*f+X2|n}k{6zv+=0kNCS=lJoq93)Y{9K;DB`Nt*PN#o3QTr1h=1itq{}Z1X-ilw3Q!@k zm_quuEV_{yd1BLqjDLPhO~ejvVt?Szf4%%5cUg0Hr*qZbxvfMD47qCBU%fefeg2Q# zx0HLtx2XnGb~s1ZQMn;s?NR*u&eUqBXoZq>Nbv|f?;a#{^`6wP^((e4*N zM#Reh_#H)CpAg@~_q4wE%eTJ~^D!%1rtQ4HKBe8?csaYZwWURyGM{^>&*+)L-%I%N z{K}j73<~19`2@UePW1E#olJjvR^h+}4Nv*s;-!PB9H-sr2Gp1(=N-nW+O}~&jU?G# z8#HD3%Z@LJxD$50b;GX=Gc<)dS+4zF@2DA;`2Bm!k4hr!s+PEi?E3b2xx1S^<<5xS zqpZKzI{L9$Xa1KVKljq9G;&$$DNR&{cpv=t&%!`KK+lAixE(3s>GPBaGWmH3eCPdb zJ3B)Ed80mZ&7#N6cN?3&u#$OOlYe9E0yIeaNS8lgxo+Ef%G?YxBGmy#sddK# zy977GC*}-@5ETQpBH-*-cb5qOkxoM%#W5R?D=I8;u#FPqev;R>TxB9Sx%Z!qq8d6K zFHQ$2#e(FXmmts}8<;;5URD4A2C5&K1MEuJcY!JA>W7})hikgJ^dh1+$<@@oE#_t3 zP;~lTE`CumCLZ;5U?7uOYD&rev}ZkCYx;cI4tALh;e5LVn|~Ck`g9yGHCuS8b9XGZ zdnLI*DLU)Mt+9&6#zP$)`}1ZL=6`9-TuwuSlR@R}anF9L*X>8a$1>A#_sl=oHs2X*2n9=Mw#eVqq;xEX(0o3=( zE^6WZj@{*Q7MbQTZJoupMzgHkGI1T8d4pX#-x})pm$w~=`&S6r58hM#`dV6h`NmN< zH~xTT<=EqdjsqBT=Wxpt9R0LBJdO7$+TWLIgO!LTq z6AQ`-1c9?ab82bIu8BfMv{xe(wY3Cl>mx?L$p4lr`#P&1(UKhf6ig)GfwMO3Zv?Z4 z$B$ioLi`>7oyO$#|GqSvojws%P$2hGUq{QBbRZ?*Z$cJG=@hL9CkIZA-AMZBkmuQd zE$x4RuP?O?cOD)|9P7H8w!7rHI*KSD<+%JAT;>2J6B z8rONzJS28Y^5grvHc?}V(_c!WC;so>>ahRxlK7`E)Z+nZX?X`v6ZzZDi;bw+_`K~D zOMKdm=1k@t7{Vbe;)9O8L2xQde!T6P1J5+2Fi>1gita zWvnF1Qtf^iL=xGZ>Chh4XXg%yJN$l9*T9>Q(6n!+Vx-?zpVDPJAHy%^$IzhpkWWE( zo^p5ne@w8n6>7?Fu72>7#$@t+T?P%Yw9fi}Tb=L79xZ!-ivFPZ*1*6B8wX{Q3T(hg zdkiTeCnpn2vKaiXt>xu4Z7Mw+-D-BXzQj59eBZ>2P~Cs+KZye;{_9TTz0v;~OHBCY zSFPXWJzfUxdWLb42~dh?m@;v53p|?J$ubs`C0^fr_mS@_fiIR3UG|4Z>}yAkjUJl) zZMomOAX}kseb*BO6{?SAKi-THEsnC~f!?mSShnX#wy={PaWv1}Ck@K;}RHw{D=?9gKaD$%s zp#iG7LIfYNNaZ$LU13KRoKh6X#>T&)L$@WmDGVoV z78VvV-raqnsPpS+S4Sfjd(q0>bImXG_X*nn8X0-+hecQXZ`-LMS`Dy2sfR;1j){7m zmT(i8tUKoP47}y*mxadGG;;i4lrA;~+^T(~(0H*Re(-N!0BA(cgw42@dg* zko>nr?LaiPr56?U*)Q)z_Rl9)woC;(90RMvl=Hbc4e7`?yPS(S_#3nwF8qy7Qa!QI z)_osd1_mmzioJ>gVxDW$e+&ABnwNSwr1Vb2K{W+7W#wT3$}QAXR2Sg=%_>VBCBE6j zu=DMu02a}MUV?A;?=HJl9l!^a>@4Gf6nz;Pt^9Xnj=lZ1-HQc5LBEuU-s+c=^M-?U z@J}dX$^R~*kT{M-P=vT-c2XqYuW#ZbC!%-=1M|3Dk=);k(&lg9`ML7cYmSjW9$10l zsa~ZqUKh%cwFPQuh^CaU&yF*=f$VQ?lIMGJne%U4FDIGffAxoTV74ghO}6h&0a_LT z?>kePX@a$*l?GK*{?GTxC`?6_gg03x#;cKHQCIgqXW}7#)QI|LoHgja2QT;KXniU> zm|8(26n>x4#@4p~_8Nb0;_5=@L5;^cZw>-iINmO7)wyVr-u{`4jQ#D{E`61l^2r3j z!O7y`ke{Q!EnO$?G+C^qiAt6Z`X;B@k8N5iDgp5IW%$d!W!l)sSJ-#`9a&ivoLs*rtWqU3vt6G!avi4Vh!QBhRZ_Eo+@=Oj*yl@&A7-#@OUzw`t z++H|KbB)ZJ(;=0lB&D>|(+;Px-sz{hI z@T08>)I0jNxF>sgb>UL##objo#=B@?J$Qt~2Tk#J@E4kYXwEKzGIJkO%1fIo42_M= zVV3c<&yzC4%%Jgs96mfve~t37I7#OgLo0*)iiK9(?mfiiNt7}Fh>R;zzaT^E^yl+A z(ENj0L|x?AoyuMC+=h@w|1odmib?cABZZETH|U#w? zkoxs?{={AMqyOXrU`X(Qy(s8~W|&prRdA|S%ja7=V|X&jzk` z+uk7Mf0I z=h)JKnPOXo`yj>6hyN|*0!H^! zpM|!~2aw0$$g$8uISxxq5Aswc3*6RjqQt38kn}|PKC{@bjP9i;BeNK8X|!O5b-U#8 zU%C`xmTGR$Sg4&h)RNspLINN`Nmf=2we+{L7|N}?nw~k$E=$Z_v`uhIMPbs1wwC2q zPv95)<1c0{89L?W8#J+^lOh=1^-R?Zx)W!Qpw5;ztVQzQ6G zg<4I*>Eh!VI?4B#RN1```@%woLr+2J_<*BAUjSVkeq`?dxb>hzATsc`;XfIyJQ4tZChBH8Z z1@(~>NM^oXUgR)e!u8)?Teb%U@DxU354*}Bwlw5;_47~4W!?X{$}wYwQy;)FA{b)G z$T-p64|GQo_)mZtK%AKT=8b*MXa^THsI=l!raI5Ze=xNlD2Ap1M1*JXk?SmTjRj)P z0CWul4_`k&Zpbwub_16JF7;@s%IZ@gf*mFMDcVvv+Wty z0T^2%Aj14E-*6-RQz51E1OEeDw3@cIw&Z#%NNPbcgU(7eV;JO)I$TFHv|P|l5x%o& z810XD6f`H-xkGnDjIc3{2gvz#_$igPme$mF_76u?qt#>aJ2q+07r!z6e2?(P zfT)z3`YQaStG&#@awuEN<$FF?`#dg4VulJO%zljjJ+LUg-~>7KC_hH~cG}J4;JXk< zalzD(U@WG^H2?S!gX4thczJoTz}sOB2F>E}cFT~@!`#x0*V+l)FQPr@b=P3~2n7+p z!4)=H1y`z%zp6$cGs40JPvbq#OvAi8&!+zzcI;csnhEIvamFMb{#CpOL1F~6^b-zz zS%;>JrkvAtG|1r~(8C$4qq-3WD0az&7e5$dy+O^P)`(M(ykVYz z4Mljnpj+J|$BoR5bKGENNT6*bAt51gCmnDUgABYduzs}NM&J-93&IfOSt4%dVUKY@ zj^k(M>Bg>>y2wNI*iP_9v3-jJuM>XdaMrs`N+6h~zfi4bS zV#KJ6kVEc&BL&X|!i~xh>>d(=qBfl1e7KAJlK!@fn=Z|VZ{UEtDR>zYx_%I>ZpO{` zdePvo-ANiC8YCs($}| z3b8QtZZc~psMz+P5Wm9;uDrixhpH<{SZJu~q2b@RQNL6sNCQfA}PJ9Nt4lCkVljf7t-V#Cdn!zidA&ntO86YOurBWuXN{BHI z4Re|%dNU+7OTX=XQ@ZdirL;a{?$6s>&A;s1jSW;JCN#d@q)STE2vlWM4?m#b zxP>S9Vz-HK-VKxH?&dXPVPi5<;cE=17hS)_Y>MWY?O17dN?7Y?c1yTn+jL_1Q)}y4 z6rks~ZmGR@>hxW*z|UH2<`-oY6=?}m90k6^@Cgi8{m}Z>XF0P!I&^mASx}^g#aZ4pb58 z+1Y97=?}ZR^-n}^Jx_M-N}WEaF)%{#t@(e zn&NdVg6q?*-w{@u`IdAy$Lrbt{@^8!hF8~=HkBDNpSzN(5b`F>!t~d(E|aPA7Bt3+ z--UOc4kfY4P*phM@LTs{OLAVGt@>42?(KNLdL64$d#&*kwnK)@n{%`>EpiBjB;YYu zGUGylXf@AUSKgoOz$NWWzg`iwW7zk6Nz>(U%MJ>!%B$nNi7wNxdRmV@Qkmm% z(U4mHL4LrQ z!II8ENLRvXtv_r@PKc7sx>1vp{=Viq1!afNuCa9%lVCpwhIC7wTWP-A9(&#~&7}Nt zJlc*VLWJwasp|WWy*|h8Z|(9+AEWTPBw;lYF|j`F-?z6~{54HtRyX+@%1`~Rri?a+ zC0{%$N~%$eOnkg0`E05RPRBZI zyGUPwY-beJ=lG)Ibg0Ke9jesx8XAnK(r%!%JbL{2Rp!lldU`OkiX{rDZ{N-#+2`b* z&&+vfKXN-wS#s{HZ{QqkqzqK8-iPc_t)dZ`n_+aZY42FACpS1}wZ5yQ%(d#rgJ)|O z&zvDA*+pWoiIsCp3AJN_oTcTV+H0W}$DLhrHrpBOx_YRDByxjIO#4;XtEJYy4|{%z z1pO&dIiRQi04L`*m2!_ONgin1orv2{FG3I5rt)+*$ zj3*(A=ecL}th`xLI*nP4Yyqo9xsSrvdlQ2fejHILtLyLRSs$2s8@}jltX<&EL%QS2 z(LE`tsXm|#8pRAH5$tfji}B(SxHH7LClJy%!>{cKjqwQSnSQZIvlwi)a8(L2&r6R| z#>CyqIZ}2$Q5kt_{HWT?aNAnRUf1Qd2OfGY_^I9t;ldio-&;vQv>sz zLf%|I-7gQ!uW=cBrd8P17P9|(rK%?Dv1~}Lk*3!uXFp7~K)YeJFPx0uE7kn@MUu{= z#bGBpNgOY)yChyZtkA;6=o!Fqn_8%1O5tEt-+t0T))wK&3EyBI!*{$S#DiV}(eL(& z+l8G;byrNaB%1s0Z9Uxkr$u>KvwWEm4n`#VG%|MVuAh}yUS3{ov~sH&s0}OpT{LFR zN?Bu5$G&xjPLgO-{5883(sSSMxj?RbFkzVOOA?Dpxkp@2#R!Sui|xJ*l^q+)zF{6` zT*-7@S>bOLgb9W|G~mcdkkNVpy$m+Jnku zO4Y|NAeQDhbRJEA`O*X*F}Y>5>Y()#VMhIYOA!#h3E3S;xTcntXIoyMie7YlG`O%* zh?ax&J8FA2Ha3^p*dEphEgvImh{YKb-Mc$G8}uBy>~(XLt|?c1rg&je*)R*BM6MzI z`p^xBTv6M@Azpds?ObIG^R-BeJ?oCt-c7nLdS8z3vC&)8qSI9$3&c0~wxnld3#&ax;Q`~K#U{Nnp65SjJhd<%SWnyp zYlS~#M0UTp-)q?EL*3D-WFes!mlZ-3QV7`q4jD*$_Kdv7#z*6c(zVRGIt6{_iDTia z>gwwB+a9#%Te8HYMo3=fq)$Q>)YPQ(^z(t3RJ13jbaYr~X=!m+L$5xn=^))B)3s?t zEs5*L>6OSUdsyz|I9N$u?oU}M=mRnyY(;^?$Ie&kGOIC$M>$pr0Z?{?JEy&v`j61oN^`; z_v&ro4BtDv&}SmqJ$7Cs>l|;Yvr8J;@xtD(9e+&TF`x5mD%%sMvM1c9j@@0k!gQV? z;QC2!o-L0*(Nq~i9Whd*v_EWjt}tzc_O64i8k%|^5Z_NbJD)7n{rx=Rmu!s!4}-2_ zpZ6+GaO91?_)}~f%DV%{83qF)l+w^!QQO(I0?zYG0GkKH8o561SWGyCPgt|~eB!EI3Z5bepMrc`6^e;!^}VQT$OuD9o) z$f~e}-Rq6eeHREFYLKJuwzck7(FSG*%Sa*)Y^5Lr)!JF5>Pfix&9*Cj5w+Kz130+M z-DV$jO5UCLLj z{^2_w-`w}5^?!?_yu6|F^1(|9-5PHiu{YbfKacVhOTC}#>{nLxJjfYReyf`0Ly_TQ z`iG|t+=Z;d@1=a>@mTcusa}#<+Fb3vLSDW!VRcCX1Ps4tF7Ku&xvq}&j?y3JWUKbG zGp1l*j!C?>?eyl?{PNDhpM@XqKcMI-O?vK{`pbE_A6uP+$mZWsRasJJGq-C3h*{3xn}bm>GJwZWiWZrD)& zIU3VuhTCcvbEQoLXDh#2(|Y~>F}vkWnUbdTH`1EyW!_vXpHvIUOOx=4A+M^tuq{qWsYec|M2Rd+13QfO7AV3V-P5HXFA zri}l{Ly67T5XaKJv;JNFu*>=9@=rplpIfBm9DnFl|264M{H8aftX58&w^!|pZi#01 zv80r^twc563)bO*8?l>Iw6(QaN1Wlc6snZwPp&0*xZ~RMW3LFN5m-l2qv?6-BqoB);*OTPlYr4=ngXJU9M^@n78Sl5vXHnr!FkgKO(!!VIKgUp_17qazg+(s}qL` zo*nby8c)*J{$m~Y%a7;#K32g&FR889Zw_3!kt^46R_}VK$L}NOy%Km_o{oLzdla9e zAaPP&+}Lxb!d5PvBH(N+GR6|3gh9z8Oe@&SmRqw!>j#y7Ebr&p!mL)P8;E#`7!lAs z3~YjJ_2;f~PS$O+fYGM2tX%zJ^&WC@Kcz~ExWGp8I+SVaO)D#2^5lnyD=m z!n?~NYo|U5%}<*C=;+813C=azaFf5$S3&y8mEON{--Rz)l{EEbk$oEyVqbaHX-;0w zD)+or6c)bx$E^yJ>9>78<-?Vf?g6Qzoy$ENyNcB}H)VOtFfqzMk<(K@N;w%}ZLxNI zQJ8*obIm)Fp>NmHk6&z3s^n!%QV(3%wpo72!;UKR=y?1#ZH|olOKer~Y(j9D`swVY zT;_b?W?mC!AKi}qv}l?%G&KGylrS6FIo2jWmM``uF0@{LZf*{zjZMDSunP>huKnTV>vtFUyvt`Tj(Fi zHFf29HYmKLZ+?MS^N6>6)h2J>6oWZhi&f8Cy?ChVZA;A0`8pVfJhZm`v8!xo9x8vC z-fFhaoPi_9P3IlNTxY!FytyTkF3yje^>M8C9luq)rgUYV<70DM8{^2~5$ZDzIROmH zx=P!gvN3ByprRP6QP<=NVi6c8f%W8FhF#XZZ*a(Fb z!l=i`$gt!1v}2q|lNfo5dQSJF*SEHgZh1VV+Xi!(L+G6!UlXo{HDf(+i-1(K@A-}R z%LN029;3Y@5vu6jAVayt8ep60CoS}?qWQBun_k=Jqn0WKdWFVjF_#7jXB&WYPGv+R0>cLWl>+ZIUQq>K1I5NuXshn7!@2!jxaCpsXX(II;5S;-ju&hbOU8Q*ET@)~}75 znc~dUv-@|j-nmUFqe0!MKz=GbVK3{);E$)gw{(eL(|u*TIl=rXD+h(eEB=`U9oA>b z$dPv{jsd(LSRFn!N#}55ecT6BCK_xG&v;P+X~G=3<{)y5$Z=9y|K)StOp=Q%;y+x})Lduu8A zu0uYFlAHar4`fNaI2j`}vD5YItF?x)=Vd?Z1!5%0TWUS)=#(0=c}C_pYR+nFhbNp7 zY1zk?opkwp#Y}$znXDI+-ktFDC!8neI7QxYzGS*~_x(Go%@5D%-7CC#PfGff=tZFs zj!VrgpQ}Fw{CThQ+^Wx-8P!AK?R{9Ad)b3_)iB0q&i$X>&O98dHICyLTV=aMWHgcG zDPy0?Qev92Oh#$0Mrg*!l4VqyXfcLJ>M~ifRraKsWGKd1ue~fYRETU*7;X^>Gt7PG z>5u#G{j2lG?|IJiyzhI?d7pF6@Avz>&-?p2**iB3_*%0t&L9=4=bHkrXV4vt2dzNf z7=C~<@IJ^`wXm!#Ic~>Qf6jy>?S0EWtT2M5d{4>YjErCa;$+~0IPD`BTe)1W<=oS- zm&?ySGFs8jHYr05+<|Q$=5w}a&bau6Jg%%(>kl=NfwXn?Di2}03TZP4>yB90EdqLQ zgL_$&U$5W78+_cTl7a+DDqH=$S)MFY(tI1vfg+lhPPL*6WIrCG@Ehc*QfTJw1eDk3 z;L?p%=NqqJRQ-~hLzy@U~YgB6;hS`TQ|9QQh!W z+Kq{#3kZ4k4agH{k>A};-}4@^Q~jE=NK^4_`A6z)!}m?og8LdQvE3|#LcOt6f}0AE zxZr;ctM>+_)=^rkZE=GYo}Kxc^^+ukQLS@mU^Z`oY73tb50UAVCkznqLp|zzMMNa- zJD_Z_5fKqFO$c}~!5`1^@}g{ZQcGqF>hPZv_hS2fEndvW@iO-d7TkjdRxIrI z!1v#|x1wM*Y*xH@=E^zxB!(W8_}ty^d`Gs?Tb0CljV#B(x}m5?4Q7xLo-|W}sq8=V z;-&MFpz}})EIUkU9ux1w;L0)AI_4V%yro*&p_pn?RCWCp-twMHo{Z&9;R|X^!PM~+ zn*^u2G6{uYy?gE}pu27ipP69|F)B z6F(x-WIRwA0s}jeKbz&O%AE2fD}$_{<%bx&Lu&`E+`CN*>F?-fDmr zz8-WyCY^R!=q_vCd?WyzY1ys=N>}U?o)7%`UjK#|ob^)%BdITRkPxvki^pU(8u3nP zS*11ZvXHtwGsCi;d~n*c-!9b&O&@P@eEb$vwSCMi?)ATQv;eS-tgI|)aqHT_PTz7| zS_@cLm5xRF!~@SbP!+0Iwqqz9OKINWoo^3lf|@w4$+BGBrv$aLlwW&Q<#@qT})*C!)r%KVq(lG|ij1 zmTjk-vKtMrAB}8o4TpP=7ao73LrS006iv!aEK)z5Q`2hP7h}+L0b8lFDI>#yDx;)< zQ!R3XT3D63B(xG>@#IV33k=$aK6&!whwaNQ+t(VeL9R(3?ZCA*Zq5_!VK9xMO*o|r znYI(dDoRT=dPmO37$%$0QHp_3#2nK8z7EE=*I7G80SW${&EKm~S_14=z;W}TQ(GOx zS}P+WbRQ?dOsb8O2;<@h{Q>XB%vDKVR3hSa-~B@^N&PqsD6k33Qjb&Ri~J$ZKWTRh zhM((!%9q#b!y}&v`S_Q)ENwqjGXL#Y<5e94olUBzHj1NbhR3HW?gW2v=8i8W>|TAQ z3`QZ|A3|Q=YUWn;Bu#Gbg{xw^?})ZwfM%S3U|@>2jSw&E8L2tw32#<$C$NAU3$3OD zRcN(^Kw1+(TcN%CtNkx14cIW~`#U=Q?(6;EC({;n5&v&;%wzeHhvN$pq9xaP1Qit` zO$8C869htuwDnW}cKuYuPA5Hgx3~Y_o87(J3x_BomM?*q>A#tsoq2EO?VHJ{G2(z zj>}raaU(l$+?4JdH{)xLTTsPuPu$OO%NKCm3+Vs#uW;N3VC;H?(_c(xp!zE6d-`bx zqW41Y#I1E`YdD;qe&NR*MDI=l)mQwK&qMLU;RuKF(2plb^?Paj4K)5+G=4k}!@UFU zWl%j$ns(f@P~xdwG;XMzJZ`3rm^syNY}Tw1lM%(9p{zZ{wf4cI_@K8(P8g3sO4j%( zYRGTOnAsy*ckZeV5IGNvmEXX`F*8SurI(jAe(IR4v178Pw4TLY=Gd(9qo!e;w)Pn@ z-ftQM9F{d@gx~NnlSgDv9W!Bk>#KJ3{AaaZcWPf1Vl&NDz&T2h&#K@@# z4x2F3Z`_38BU%riH9l+Hm~17AjVpW8Mvp<;Ff3Wa=+TuQ!v@8pzE->r!+z&D!+$93 zFWtr&7#TTRrOjZooyWT~NN${1X_E|+X#0XQurPu)^S3oNNmL?qHrqM$we?$@%{j(` zm~Sz92&Dq0YEGe)RIS8_)iy~l>1?88W-+L@#-_Jf%r>ctMR;%{SU0Y+?WyxoIiL|*#aM^Ih#W=437^$!0@!87kxnB*Io~Ro&s$JeavwUYB=r|baNx{$wqjtMt-13Pyz@% zz0o*`*jb>tVB3p8FM&3J_JQ63eF-|xam|6#0yzJ^1@v!_A1D%(0J2{S!of~&1l4!EchqBx$@R?b!r5{q zPt>S5#E6P>>mgodNnw96w;q?{83S3uNjYxCn#YOZqH}Y&TnWD^{%@M6p%lP5=tjJ9 z6(hi@uWre;#c=-IEs`zQ;dm-?`LFiM)%N%+JR_Rxa)aiB+BttW*D;U(s?$_`XLar$ z%SOQt|6ob(`9D6_9E=mYBDPb&51hs<2)y>CO>{}e4YHM zn`{3Y^2hnRZ;sCKd9&^-k30hY9y3AyeD3^NoqcO(uk;q>>$T?f<{-}g^_t@UhI#&p zpNX{J;>n+*yKMj5R`)UJ?=dC)In}J8wz&hdfymKavRf78cwuV(iPVvk|HD6lSh@(H7A9W$G06isTQ}M6pqkS$_`bi6KqP%33?{PR8W}>hfbapij)}J zF~KOP+iJ{O)+_%*iCP4hpk{Bdu0yZJC|qZ@urT{sNrGBY-Cm6_7wN2JyId-Guk3==L;u!$c2SBB#CRS{9jvi^29TZ04 zEtY>;ReEHg{lT5Bqg6ZdYgy z49D@X80L}2KM#5hv4V07&US_A@@5B)a?XO2QQfzZzIP!Mp#fg29oaNvf|1w8|L8T2OT zAPBhOzzqj(IB+98K+Qq-fPfLv3j~Y^U_<~T0vHj%hyX?eFd~2v@jeI`5x|H5MkFvI zfe{IeNMJ+)BXS@J7?Hq;1V$t#x0JWUL8MW z@2XC|fJbY_!e6dGykh&{11g8VRiRu1_No^4HNwXbvw2cj?N9 zbhe*szR?p##lms0GRsG!$NFNGg~Ytt$fpWq?+YiRzYGj(OQ0g9eY2A~iwr!_UxWIXfB~DNWY~ z*ISy|gJ|==syCzga%KdlOMUD?cg~{w?{@}G*xEx{fX9+^<9RmQeC$CZXZ5!3!{f=R z2Y^W49EGNa@)N&G_GowW@i=dsI05t!C=Z0Q$n>M;>4pdC z)&rCd8U>mGLcVU#f?fgb0v!Oo3&PpyZWlok$92CI)C%MeiUt9r`+AT7g3_=DxL}Vc z&~Om$rs(lA=rYIkdK!c?#JzsvxZcpy_KpFK1wF@ceM3ON>YE4}3Yq}Q0WAit0&NBz z038K=0{RhD1(kF?P%}_F5HJ#YfPj$zj09jL03!hy3BX7IMglMr-UR_80T>Cu=m(5` zz~~2ze!%DljDG1LVDtkU401V$n- z5=T*wYbE`k;s496E@Tf5^`>-=per~L{v9EAjY zV@dno8x-bv6#qeIYins-SUs{h_`848$D^<@Y>Z(eow_hb_DiZ?9qlYk(ayoa2(0P}reUaOZ57$sXeZQysv_0A>ybx*$z9bEv{yYL;&+ zMfCjD6A%glY8lT1lc6R z;4pBgVg?XLPo7}OP&FWYm>v(t5wM&%L5~M7vK@z!1hSzjIf+a;n?R`M%M zFN|Du<0rnT`fOf9Je0f`fK5cJujzfv)I?PsmV}7BS?6LgLSred3PHnHJtS=BYS;n~#E)@P-u2wDrId$qAc z)!2AVY(=BX=MryeoK;=b$Q}#z*D!khQ|vVvxthecD=OX|U~kX$YG;`7+Rfs4%8Yc$ z@rd&&KdF(jN7cL#cTCN~t)2IS@V!548fdO_o;27zqi(0me{Z3MIX%%=yJL+xw zT{aHa-tIVWhidK6xneGdTA;CqApftmhq#`6&UNKb3+P>-6~9X3^!HFC~WgOj{{<(e|4d?lI?fH9!O$V-S z&cWz#*mIC=22lSnQf)s}H-}ol=4>war`Uiv&#l^X?e~YTWn;o=P80l9B4ldUGEFR7cyRo14EUvUYwOuihq# z-)hToqT!b6yq^GA3HcJN3Oh3q!be6F0Cz{urchvSAndqAcw z1Sgh>n%cCAscTutG@=Ll35`@$CblbLtc|3n@qlWETEVJD(y5=TKAKa%Himc%onkLl zdFzJgTbrhS4SO0j61F!Tr6cyBCnuJEZV%N;#A%vGAMtO{WWd+b40|3J_`FEna2zLV z?G;u1YnD)2@$PI#d#+bOgT437V^UNX{kURMjpZczJyJRUrd804!g;qTP+L#&0d)d} z$@$ehqo;G+=*K|ILF+*~L2rXT2K@l41lc%l3?6t)3TP5&F$fBZF(*M#$~@o;0`^#c zP!!W__3pp~FEK>I=e0euBRofA;!M0ZeA5U?i( zgSvx&F>xda7!!dp5f~GJF%cLOfiV#n6M-@D0tgtBfH4UelYlV^7?Xf82^f=rF=;vo z7?Xf82^f=rF$oxxfH4UelYlYF#&MH@F&P+>fiW2vlYucA7?Xi985olv0|8?)FeU?I zGB73sV=^!%17k8UrZl8JR}~b0mg}^DyLAfZFkQ%@klk2?LM{{rtl785aM&*w=E$x@ zuKaQSubtYcuoI^ewYiamutF5Rl|J$M;fpoQN$ifczhncS8*MytH9W_gp?Y)b$H1tB z-p{qSw7+!=>^i?=EKt32{lwt^%7543_w8J-u0=xU)7XwK%yG|^4O9>lEh!<^IhX-R zvm2@W&zER)gKey?HcbqgSuM}*oca?muU!x78$Wp*JVfRtRC|M3HfXp%PW_@^jtf?Cru*Bx{d z6gTvzB)M#mt345B;`J$Rg8n*+o115*Gpz}_i+(hUn`zj#u^%OFS}27aW;!s*D5pPb zNIH-DP)g7RDCDolnXUGKJ6ChrCc;98CAlM;g;PVY3bEQM?LpYIT!0;oCakl;G(*je z&3vU)k1TD-S_h$OM~EFOlB;m-)oMH(rZ{+l60&|ls|7s4Nm23R2~M0O>ljMF6C8z^ zjXk6jESws`6C4wc+Jkt4y~1%$6V{!?6R5e-<|9HqGN&PP8-$KKLTI*{&9xU#@ayeu za89VnStw;xtqsDjeXj|-bLOP7R80h|RvP~}BFH&r^-fM3gKI>3op0e3W#FnBemjt> z6(_FPh`$$U)t1$Bw&wJ@CfY4$5YyT@2B)dCMSeMWy*is98pAhZ-V=ge}0%I;P<^p3LFy=J_0b?F8<^f|KFy;Yc9x&zs zV;(T(tpWjK9x&zsV;(T(0b?F8<^y9sFy^-d0b@Qe<^y9sFy;eeJ}~A3V?HqEZw3Kl zJ}~A3V?HqE17iU&764-bFcyS>fUy7=3xKfz7z=>002m8^u>cqg4p5)}W7kae_HlYC z;!h&k35qSk!X~gat#NPChDb-sn(iPh#5qzB(T{qr>K6E0fG8fD z6b>2*-K}sNjT$pLq|VH40PuydE-(BReq zokF^V26gTNPv@YnAwhvR`c|38-ll%Q5B4*vrOjiXvlx5aV`nJrp9IwbBbb4t_Dj?y zT9Xo!(9MP%U>-rCnxw?UUa1L5I`|DqxKot5^*LKAqHKvQ7ft~sA|xsh zET~5?hNaf$%zYD*lH{zgR7sS9tf|N@*HM|2m=JSsVq%gSAvd9~l3bOH#^HYgYoOY4 z+&PovUxW}Ns992X@7}YgX!lOaRfNabU1Th>Z6^$bDtGVMxpTwrqM|)40){d>6)&2% zVaFaNfTr&*(iMq&b}lN;SIO)w?!q*s)uIbK~k2 z*>)>wRc{pSLH$Kr8MNAPJVuefFG7ryu- z@A{@!rRVIC(0KVs>+C_O-;8ZnOKJ89oI|@0*XN2~4zoimQPzs3xbjEAMmZ0^)zVUX z1T2QWJZBG~7X7!?_8=^V7S8hXY8d>wbU+hnE2I`*?yQNbYBKZ0cE7JHujS2-?!H|QAX zQ_xSKYK~h3GtEVJfZBrsKrx^s5O5Yv1m$wvGv`27j$6_I1m?e_4G8ruxfg^wmkb9@ z1uXzA1HA}(9rPAR0R0yPj3vNW3XG+9f`G9U7)yb%6c|f^u@o3ffw2@AOV@&cu@o3f zfw2@AOM$Tz7|VdM3>eEgf`G9M7|VdM3>eFRu?!f?fUyi1%eI4nu?!f?fUyi1%Yab; zi~?X30HYuR1dIY;6ab?D7zMy607d~Y3V=~?1O$u%U=#qO02s@Gu^br7fw3GI%llHF z|IrKHYvKQ43&46Wb9W~BIFTXgUl2QBe}6OA`8jg9L)hBl>5A}l8Zs2&=@9;z&?K9lzt3DlU-H2ruE5oP`4{)9(O6y=XS-d z3(J!JkL{1*Tu1-AdPnLT%;64RlZXCcJPwleTKrX;Ue^xi{;KPby8h74kJ^6w`1q$N zW4g4aCErcjALww60@oAdYJcE~`0G-}bonbOW7wY>3V;sg55D5}xfFC4{b-ai&yA9! z)VSwnP`LK7r>f;E=_FI(OW1X>qf9H=;iCfVJ}FpJxay_nm#AlxaEY$d9l-MWCOUYt zs!%@sM7N}@!rj0G2AhxPSFL&p7tGQ%z80Ktk}vwjeY7vGDqMlrPBmC?Z}5vaUB@cd zTrv!8geC+nfXib~;wAZ5(K)J-4nE1Jj?Pf?5!BhLtrh3(r;?z-Q2$60yjJCC6WU*F zQ_el1{qUO^8ruJZ4c0=>$IT(&z*#^OnclaZBItr3dVh&@ZG*`PyI~X}CRzM>8D$ z(sAMmk7rnWDZpL}9?|2?bAfnVxmLJMj{VpXk1U7Ln!CR^-4>6nw#vev`JyErT@Bl| z&}GK2+G~V?QLUKkRa>^1T(Kpn7u25@j>)*J-^V+}CY0Amd>)&gTKFxCQNEil$5f`G9W7;AyC78q-Ru@)F>fw2}CYd--2 zV=XY&0%IL8)&XN3FxCNM9Wd4n1p#9nFxCNM9Wd4bV;wNo0b?C7*8KKy$Q`o|Zu6`Z?U($ca*$3x(a=2VB zhiaElyM}K3IR@DRL0d-~SZ~O+V=Lv8+k$q&K4^pD5Ys8nZH0K5{oL$t?7y!*x#iAJ z#2%A9GmRN=8t=lpHT3)5>($4Ewhr>=aBJn-C4}rGzn>fb-nDg*U#-0bX+M0p7Nq*H zJI(&42U~nNwdM9lQ6G#RGlyGqO@4Jl`N{9#Np4lnm@oM$4_dGUj@> z@1*F%!^_haTQ}$oWIvfvc_@B3H{>!U!l55mECUypsy`balYQFl`$n8u*_8moiK1O2 zK)7dwe$+ghT5{Z`PM|1IKhO}+c+ecsQ=pZg^&Gbuc28TNv)D45>Xm17im;b^v2XTM#gI0AmL*b^v1sFm?cA z2QYR3W5*^CFm?cA2QYR3V+SyH0%IpIb^>E34+6$cVC)3OPGIZ=#!g`D1jbHa?A!+e z#!g`D1jbHa>;lFvVC(|ME@15HMm=6zjNa_9U2MCTb6!%Q6W#bt(A76r&ULfRA+<;Ebr6+WL za@HO48!M(uJ8)fx;!>Z~j_U*ds0cE6*{4Z(?mP?sV#zpg$6Z~SntRW%Fd zaC2FwLS^miKW(}MbPn|k2=oi+;@3GKFsMt=&>%i=W@r#UbV~N*2~#^w96yTk-K;GP zeeNW3wS}RRDaz08Ub4sjEC!C-J&w~~ybI(93I(y{G!CpN&%0+D$Gy9d4N)nx|ruVU9TEWHyGhjpK`jfrJQc^ zYECzMF{i`vb=_0xoNmQ5{Mp}!Io*2TyoT}{Kjw6=n>gLpR8F@ae=+xHJf|yrkkj9S zZg2D{2!GF}xt`Ovox$llw&nDZk8t|lXE}X8oXGC?1*cCt#p#Fj;PfNkzfKjWFtdx3Dj#f95Jk)Tu%`s4zP zpE)kJxwXsMV^XMOZrMTJ!WFYR%!rsIi(_!!41UyBN*2 zQUnf>H<#MC2ycP=E+Qqdxx`2CXgAM~TH&$6Hj4L?L#MDvx1B-=s60Qg95-Q92fSJcxqMk`B#Db$jO(Fo?guJIj6r_ zdu$#*@9WdwMrewC&+{Qt|A)Wk`QQt4 zL(Z%n!1Jt_xV(yvc~mXO^Veh)f5~4s|AX#_%Vmk0vY(?R7Af`d_uy81GM7)@^wSU2 zXgA(gj>5KbR4?{?m>ND%iuz<0f@N10pYtV(i)ZH|n`KlLD{h`!E|t$k_HTPuEQ#j3 zZ~c%jcqft{_ZpvF5zZegU=K{SUyxFh#6kT0s=4X^xtG89B1#*1>krZoaK5|$(1r5z zn|twLt52W)zf3;ri_#H~RTWcTDMk53KmK>cd%66x-+zC4v0QX)^;q7Qw^1L9Ur)x9 z(ChZ+tHUo&;zw5XQX&F)^W?98{AwC%k%tw2-xYBeerHEy+hZ`?e#!qYz8y#D|Kde1 z%E!y6Jjy%D$EWkihaMgs?4$RwQqbt5=7?+O)y^8{9oNoNc8u-RlGJ5`w&ftO?!;L7uW>3{q2)LcBt(N#02zp0Z;MQB(Sd zdWBm1d-o6ZlpSNJnvxRc6=qHGP6_jr9b=f9G9cV5+&aK}K)9#u7{k?+)JU($@>K8C z$jF{=p0}KSCQ=`1rJylV&5;)66;+nzofZ}S-r2nIFN)7c>7uL@HbzkvH{QBZ8iV&_ z>HXEY1bQ)CdbC$`b-H(Y^dxCov@W{p_44k~(G)gDQwala>3VkGUV63DPGho)Tt-)Oi`yyX z-X|@Li=&`1PA*V+d?|lo3D0AQQ3ZqJ_3<`3=V$8!-}%AbgX2rSir*>CO_QFEkEft9 zo(k&uiez&S{-JM!)sh2a^|3ZH&7vdloge5uF!rr)W4rf`zh8PRHkN|MSf%9Z{X8Fj z=>fH5dbB>;_TX6ESlbZzSbwapj-&pVA&t@YhmN;~T^Nth&X=!(FY4{`4PGZdhg^c zk=92*+O*A_k{_CHZr*ml`Rc~o;lC+Rq2hU)UlN%o|kH6GDv6EbW7ZHc9F+Myv>bMs--Z^X0n#NRRZCq*AXF+G4ibVI21J zu8l1u=|}FrlJs(@;z%fFkSgo#lTI7Ik)H2@8rd^D9>pNlZ0saSA9JmAtt{Rq=9;}t zR8TKfe9L_-Nquu9{&`m=t2g?iDcsMp+oxzkVK~-Npv|!AW8Hww z;@yYdoJkU$f+W%996d;)_weo!6}@)Xq|DsIb4a37m?S!lk}D*7Pm<_8y?aIvD;Yr& z?8$xiMn_YaBsvZ1+7i7NN%UUcy<#3KW)gjPNoGt8g-N1Qfh#0>ACl;Oy!*sGyESe} z$+!U}ljGtjND`e2)RO3ZA;%4UY`sXF_x0`@|I!=rOH0NlmCTHfryz-Qn&Y*_d2bTu z2TOD%wiij9V^J2nd~0mC9`OT8CdI~5ki-Qx-muNI6GEs^-Q076 zAbj;biS+Nk6gmkt&AD9RD_Nv}DfkIy3V#ntZTVghZiPsx52Z2iZCCvQ6@=!D9Z^^0rgG6-kpg~YWN_Qbcm zBlCpnprBr;nS9%c<4vBRg8dQ&7X=r3EEa^&0Vj_5PgNaO@cd!jI$?O2ARO{o?I>rV zW|351@^}+L*u!lRgt?s*M?g7)Q0e}xu)}S;FuOhKWRI0%( zuDPiXmDCFrJGqU55IIsX&30L|`f*>hhATo=D>(*1WaY$>cT)bRn{V(u}ww3sSjCC}`R^Y)J0D<}@VG|!M1k!&5q ztw%ZbvcHrCJH6~`dWpi9j?rMHh;RYU;N0+BTXWn7CN}4oVCS3!J3<=4j?k|V?A)&w z>@4T+5bWIV6zm*W&2{#1GC*>xSEF1oCY;-h* zNwCwPt}WQRl3?%Z-8E*|BeAqqPtHw@iJ>qFb}Dd%U=Jg~9_Aeu_rQ#}(Ya}TatFr6 zQIG^X6{scH!%49BuyrTFj-^%nxM}gDbJKg}-WMNFK@#jV$7>7rP!jBOa&@`3u_V|- zy+dOkm=PO)Z(Q%(jM!KTl3-^gYYFxch;u_fTQ8F8^yHayVyGwj=O$=-!j)8~?yjcp z?U&o1r243bqNAcH45{9I=zUSB&XrUTBB_p78xb*Xc3Q&F+zb-u6oxY>H!C8#d9>8@ zj#ka;H_b8NdwUD~Sq)t-Z;Rk_xc$6sARkG;a&&X}=)0n`DJhzBtF5(?l~}$5XUv(@ z9W%pBN~}J)#@w1AK0f64@NsieV=3A!#qnt8=A_C}G+K(|(b3IGouz2C6vrdL%}J%D zXtor`BgoB3t)*zU6vrdP%}KSTXt)%|Bh<}Fy`^Zm6vrdX%}K?jXu1@~BizlUnhR4X z?eg(RLu47#f%SdkyXx;&cZ<}?N-&Q|w<}a%6{LlUNn3WQ{CJc>PL2EY{1X#aSc+)2 zQL0Cjn^ymI$*%ueC4Dyi;}g*aId!Tun+Dnzv74+7@`!fRYQZkqwP5}G$HaVmBE}%6 z#w4&6^{bY9WgVGEjGIeUm?2L5_(Yr`&PsYQw>ayNvMq5sIph)N=28h}h!;OT8E=TU zl19uBZ^``ev)=JKIp`7Z=2HD-h($HAhFB}hmh<ZV#<;e@I^%ldEAFp)y{6xg!yT5YQw;UI z+GqY(`nP1Ya9>OAl6dzn`h8|2bS_mXQzXmBS&crG?yQykYC4idB% zE=X%*C&#zmIV#Tdf0Fc^sa;@^Bu={1|2gjKFL2Mh6!?k+>@U0Bb>{=(;oEO<0PJWe zVXu^kj$P(VH3T^c_eHJrX@wSKJ3+(@le)YHeN(9hU}81_wA(allqCI^`}!-c zMv~f6HzU3D?9WaEfe3yB$1>hO{=#EhRh5-;!N z88db$FfQ2@7zqh6F|QU9Gp5v-UW^$pJQOdhHyAT^p~V0*e(hyq#*_wToVIT!3H{#tIQGa@arX(wH}<<_lW=FP|EOdKS7*_8M;l6&tiiTT-<(_Xn(R80M#0em5kg)Hzg~CWj3w~P3ooMxlAZ*u{ zJl+br31|#vcNH>{P{ZlF9v_e_2-}T~Wg!yhXRheYOk2(INl-cc+)N0C*9tJH8+sA8=dCM+{qqNiDnH&p%2p z;=ZQ7S@S&RtZf7f-vdH)ZZs@due~aE-XO~R#k+$dqr*E9Bc@b;>|APiA0P5FMy%N} zf&*(pj1g;gjbOx@5M#ueog+A~Cd3%AX7{LUA{B=*V$BW`99R=#j99aa1P9iH7$er~ zB*B3-A;yR`yGd|hO^7jK7duMQ7(XU>)6CDf+|FQOnbvluUJ z`>OifD}89{(DoAZX^~}MoIdVCZJP{7;h#~MnOe*;pL}Ti3svvjD_dUZsU=CLov+nCg5w$+JivDc2v~#t^5d&FTN3gsrHaKDkOABzdy%ED%T99Tt zW8d5;{3XQI)<%qF!d-1@#BP*U9m#er2TKekwwGweQ*cI! zvE*`6q?}~`9xF4lD)t31zGOkVKHZi`GoIGZz0wWo7oOb~FMl7@e4!P4P%TyLvui@h zc$)FFLdT3Be0UhmcnZniXRGB+j$=fz8BhC_w33lD8{ZN0J@wIn5j>2rl({^R_!IvM%$~~|eGoFH&@jZ4erWsEm z?U!3eSvKQo+hUBZnpu)9f1AaOpHPxPGoDhkA8zG>_8CuIff+xzWTL#A!;F7%3(a^+ zkU!g2%b#uKg7z6tZ(#D;ZZzW`E*Y$TqqW8#dW>c~rOF$jYWWMUqrGg#(|#tkc-@Hm zat^Mf8Bbx7q$Lm1jHgh9<3lcunp!iSGvpf=8K1gsvHR1;XKr1B*)Ej&2`_YL=`%?X z?i}0nF`wh)u9iRTINHmmJ6kr-nV8)7{yF1lo>Lf3 zpWGCh?iA8|;h|wuYr0EK{&mOgjc&VQVePgXR&drDU5zb|@5+n+d6+&fKl;WQ-5Jrm z4qsBmFD4?!_6dDm8gV`eF{M8p{N{&Oqe84a-}}s1zB6C8F(b{KcM@ABI1zQ<66raG?){vu7~^h=CO-Ip~ka9{5AtmkuRrZY49i!@Vecw5WH zjT>F@!ga6ky1OEI@vdz8^j+(>2QLQr`_}jMWhwP}OF(G$*m3p7sbQ=Cu#p+{Gt{8y z`(TELHk9s>?nrwe%_Geb8k6dgstviNRxJCfwDj}m&zJ3wr9r2T!g_qgdvp1HVolA3 ztf_3d5)-)NAhpeJvS@6c*RYU;bd_+j*HOPk!>lrf9&|$Riz@F7-5L@smVW zkWc!GjyI^y8rk2if5qrG{%ieeXH@(<>#3MLA1KeP)2OH72b8NRtC04^YRZjBu9sXr z@abVZKlK!~Z|aYfxbOoMDE~;VD0=xf;nYs014bknlEmEcN%|!7WR&_E`K#4Ag`y9g zLSFOF%EMX1Z9{66{dx1LiOI=xK8TAJ*6@9Ecy<2i`HOw6S^O-ETx;0kihDIBhbI~m z&B^P&t*HE*=Bcj8xVOPu#(nPljRzVO8xOi2x?-Nzjj;EXmrystWDfsZMi{4i&iH(T z6%Af6zS!U;&y`oO*SgVFH@dE$e@VAAYISSlhF3^MeJ*eG75xK9s6Ybx`?`&@jLi-W z03(!TV5f1$4C}~Y{)k{gFgQbe&=&y`fdLur>Gn{1K)QRH_<)@DKw3bWd#X4_4vk3- zNVP3Yb<;!`QmxNc6{hM^&ki|Ue))~G)L|zso!gq0nzr@arIW)_8>foaagclBXc=Mj z0Q~^Vu9DAI4bTlZd-&j>k)KW*aQJXW_BYQBc<$TmjDv>BlJ_FH1=oZOKS!rpfD;Qc5`=IlJzM?+Q~Um{W8q=2>Ma zmuJEwnkS_+O0hiyc_)sP!AbU&zPqx2|Ap37DdR6LoL<&n*WWzJ+`oDfJYw0*{*C&J zl}{ualEo2ni4n9>h|V8fTM`$-GB8g*aWdMahX+eYW+K^feq!9C8*(Uo%`amM{sA za8*oJIl)!!aa2x?+)a(#ZEvJ?D`M~LU8C&3PgWnG7+tk;Lg#<5+Ycj5ets$h0rWs~hJ4hMLGAvj&Na@Ye znVZ%u&4Q(Zl-exSf<=Pe9nfrm1tMR9aJE2@ODUcyw&xGH9k4wM(avJ}d-b<%IG{gZ z+W;ACfjpLy8zft{@87>Y*_dpB@RX8nNzxV7OOpM~WH+%{q7{-)!idT@Pswc4{KQGO zlMMv7cP!5ioYq!qCRu7;8$48MAc+-p9Jl6Ng(T%ok-Q{pg*vFpr4{*zu$*%Ys&f?8 zK(aWEDLGD;UFsN8a+KaNo?x)ZN*dI`q(&f*I+D~VAUOt-qe90xqByx->NsNUVr^H( z5ojH7&I9NArE9OWzHDF2Falz+uI%C9w!@-^cqKS>@(`N}xT$2j85o(-EeU%Sq2 zU4!**uUO`^@@ghD6D&vb?`kMC5X7J8)KAU#KMBHQPr*yD{-lnhAB9{F5ti>A;~gn84N0p2GtGvMT3f?L3JH?>Y(E6gNjoJ6{id;P8n33GN?FZP}yB& zP;ttj;;tA}+!ce0t2L-N&7k6xLB%P93P-`%Z7Z8>qCvDsQKAVG?BvynKAU#( zlpSLywM_R8ULDBJw1cPY7(1vbSHJRut_vZ9S|7cSwbQJTK8D)2g@m;v87ytjZ12qMBXd!Dbe0dBAMEl)F#~sKW@o^PWO_fruuvO zG9Yp)s5{f%UYf)Q5386+3Q&8B-ma`gD)!kQEK-tvOcu$6C(t>xvd9rK@?!rhGC1_F zmnzGutI=BG{}jt{#_ZfV%BxTOYPdV=uh zr)@#_h)AXILi#K&Xj>pI?!({0VxayATKr62+dS(ti-Y(EN}T9hkk>9xEbzSnG4=AS zuT&k$Gvrlo`nJY=?$G0Ty1be5j__k@NhX z>K#j-c=^YV^76LT?0RO=mg-%3#;cTa&#PEc`uOLs=jG*BZ_m@`=@wh^@+)@1C$3v- zFI9%Rw!E_Qa-V17d}y0gq}2;S3Fs@pPuWr15VFXyQo{9b(!U9 zWIS{B^}KE7qNR_o`n2@vyq(s4%NA{|+F~zTiAPk*(g}8SN-b_pmkE@UZA)0}le-VS zF@d->1;MRfIMg!?WoX355x0hA9&u}Ox`w{>6u7mV7(?7T#yf_%H95g4NBV$U%ZZw+ z530#5Cun*BHe)%+(i+hnR^;@bXSc&jqErj`=7cJPkmNh^~Ez8?hMhvvsK)B8J6SE zogIXn=T}xdH|qXJzB-bTvAlA{$gCw5D>95%DdnC~G5Y*qAwMHyXyvmR`iz=k!!w3n zegQsl-duYrEzef#L&?{+W<2?BMnt15GKFXFE!X3&jdu#YOX`j7OxbjErHUM^{hIk%l?dr7~VkDPaY5 z+SK!d?k`=1o-|iazQ24OJ=el@PR~`ls9T2h0rPNVJoD|783om=Mh~8K{QU5Y=gn&# z$XZtMjJ>QA&t_L2)Z*E6PQ08fl)@sjXXQ*yC7w+|@azZY#D?L7G0lO_#IrklcP5@q zPS?~`u z$+st--QK%B@oaL4XUk=LiD$#|i+DCU#Ixm;tDn@TQ~u203_RO6sw?qqGCy-1@^3*r zyLB7l*)6*X5x+gAo{#nZ%S$oJt4E)#sQlt7Iy_M`=AFulcgOj- zGeif^R%@!~W7#$1dosH|pHn&`xP8h8Z~FL5DWA>zjW3-|GX?FqvXr||MaWOSdq?{C zbSRsK{cBA?7oQI2XTv8B%&?cz@@%y}lw9<@&&UHlKIt|Sa^f$%cEl`|tI_gowSdv5 zqRqGQK5c)D@EKW(X4+Pt)t$A*jx6(Oped#DY)2_^n6*?_da-7yPm0tDCuKuID!Zjh z0Zw(Pj8{`??`-O{?mx9{e<2q=X|CvY&!s$iuB?Sl&sDo93|zyiyCCD4FGl)Ism$%_ zo3Q)GEUH9y_ft(eitA)5%Y4UVDw=6JG0`;elp1+4>C?^~OS1jS{lfjei#3_3@TJ0^zA5tK zFW{oyPZKGsz3Jz^r%xX+nI`S^>#$~#UsXVM(3u!Y0qSF0Or~WkO$Vl$hJC1_mUY?j z&hg?1Q}<&ZzndgSMp7eO{kp@{X0WYfaHNZ0cMNXR#Fo<}()rgNO_Yaa*MbD`UR)rp zk4^PWs_Vs9b&c)bGd?&lkZ-A^dGKYSy=JW1z4x`pGom{M@^>on^?B>PX~jo$M|6jE zM=Xna`USwMS%#~}o9~_c_Mzf~x`S242lgG_&>M*@ltg#_d}!+1`}ZFxEnscx&H&{r*ZM?%8!@R2M(k#ahB&u?>c6e&n0WAKp*=iZvV&U8fx^ z+WVH_t%|)xdv@|q;ypzrNA^;IT|0Md zEAHXfiHZ#6SrPHY9hCbAy0g|^rrVCadyXu5@nF$zDzfE`lHQ&CNSh&-cxx%;J^G}k z#F_59_v{}W;P>DG!dEVGgsmbEEYlW=TX$q_=a`tloqM)#L5WR= zjEI!R{R>tP3egT-6vr@oJK{~inwKR;Kg;@Z9 z%~077CPvBv#>8YTfubx>oGQD{fH5&ySD>g26sO9rIbckTR0Z~iN+5<(2pg4?)X*39mB0+H&6LWQ5iB{k3a1ywdCV^JgxQVLA zz|4X1B#Py5`<{cd26eX|kz!2DNwZMKLIds#^^eZ#O-zi!t-{9BnAl>rlT-X)tUNat~?Muo8> zK11QJNs#?uVzhf^OibQ3E4ydKsj_dP855H?&&nQJajNWFX~x7{9Za%ss2LN}Y@@-% zG$F>sTpfC1_XjX0rrAz|duc+9iD|af;9i;#V`8q3VX<2c7!#w-w0&z`Mj@Xzff3OW z>|mE1Zj0*@A_DCvzZes9+G>|ks8wiWhjyK!gNTW-a99L|1Br=I_;1d{oL5?oh1VTT z+CkKHx^XQuRE*cE$C zx`RMHP)5h+y2_u}o%fVtGCSlLEPr8l-b{*#EbW)BObFC`URO4bo`K4B3cqv>LnqBA zc4gD(*-7ll>g%BS$gXS|Jv%5@JN(j>5bTZ#?CfL5KQbeot+Cj~F>u)QM<%DW$C`_6 z6zyN)JzKAl7>uy@M-W2jvyu9PPIC9VCcM3b1jt>gyym^Ka_sDk< zetYJdKI-@B!qp2X?jF;bk58ZGO%wN;OtW4$9UYnxw_}=;IpU{C)0PFM$6qs%kL@|j zCw%nDmH<=dEuVfiQL|xeN=;*XT30@{o%AwwcCmG2+exp%on0_AFQ@$@F8Sbssg{nW zvNyi@@Wb^c)8fxRTiwxQkR!!?Lrr>9&CrhmIv$#?MwST&K0KaoTKaS#k7rYImOYea z8g{l*;r!M6#udqt0j8?&yDeGPJ1KXgJ=Z76rj(!kQa|bJcVdhhSw8He58u1bWP0NB z&sLb+sABeL-sEdVzg+oP7I%}eAb@fh@vFd1^>b1w+tP#$d}+_2H|IvtB$K~^T5%qn zCYkeIh>ra`O)^(|9_7xGU{{+RF-D$bu68-fJq3ZT_BTq}FWr66N%LjYzPAxW0sR$wJaH@9W;izLDvaFgSByH`30qPp0+{sPfGYr7dZj(vlz6ty9+_ zJ!z6rxb2WczjlFxlW3Ar*#0?H?C_78WG=QgY`f!C(Ajxw!*)7ePWzj`|0El%PBLz= zo9f5kZ(~dDuwG+vPw|ilnq;&bhm(}shbEcxTAfbYJ54fIYjUwO8`#0F7URkVBfoS# zK%i#DZC`&YR|ovkl>?nLt8M$*TWl{+GR<1szVcSCG5DqH57=E}m}DKpq9fVDT3%?k z52l4SO*-c+iq+Bx_=yWKG;uKgWp|F5^$Z*$(0Se^u58r9Z6;=R^1$LkyO$3-O4_|#T$fU?@w!XO3XO|zM6p4+fPNs`p_lKAerwDAsVa@XD z>C@S!hrf9Led`B7ir_{<7gHHBDl(ges}PpOHPfy$S=@|Tb2t5R<)bWveFnF2-%vWo=ECj@@4<#j2@H*7w^NFh=PvlV$RbOqM3A{q5@*qjb0F zLg!MGNm2dV*E045F^+QryKr;bCCxuXy#`6yv5CuL3G$4M-$qdb;0pZg5tpfsoFVnzX zeN3?As|*WmTJ4dcO{+aPlr-1lgC$;Vh_FN&;b^lmP@MfP#tNs771i?(8Y@kFn$TGJ zB_}#Gn#PJtr*5netI_Zkb%^Lx&&Ac3&TWq3CzbKMB4-SCBWk)6r2Id_>5H9mB}R5X zkA99zMTRAKBwQ_&)z_o1nr8J&8`P(MAA8V0C@r&orX0i#DE@x-8&F`mQR{}32;O!V z{y4%XVEm7m#DsTD5hk&CyeWstNyq5-xl^X$_UC2HG{dClBCbK< zCaq47!ygUB)t629b|%@8ev?CgiKBE3Oi0>MIr=LbKP=em2%evDAnZ(cp5L{S=O>-w zL)QKLxyhF*GVte*PvF<#4_hip(_zyrC+Ycf$@p`SX{UqLwAVND_B3&IO_{FjvN%Rf z-21Yc2&{ zfP)}DDji##&L;hbHDR^4I82OORUgZAv@FZ7{ngn?+I+6D+X<>=uhW^oOm;dY|M}0+ zBS)B-jz52q%ySNxOdfggpkkflr*>hB*Ib6B;?X~x%dmHN@&Q@@2jPj)#6cL&A9 z20wp9vCF|9bG}cad+5$!ESAZpIXp_>&#K?TpOr0h4yJYC;||$PbMRV5pT^x;XH~-WyHBfm!L4!%C+gEBopeGK=|oCJI|%tg~~M+pco0 zsSa-H8v9zmuJbGV(&B~L>y*P@Cz2H|T1AE5eFBBeaqHgNv16xhr*22N)@}!_{d^u3 zWp+E|u-i#yMc2PcMNdsfQ7g=Mw&=Flw&GX0)_@14Qb^>AUp)eZGpZTSD-2*nX#zj7 zG@h!4G0)~VHtRO)-l))6^I#RQQR46|h9) zfAvi<+#Q@Xi6{NEMIyJM$vpbwk6@^+S0ZjP)dZc7Gd;CY8#AQDKlI+y+L#CSj4KVf zsExVrM8wcOr?fF$e&}X;c9lH_Rb_wt$=(oqgxZFiamQnyztw-o{589t`|g3S9vzvL zMR9x-;QfF8R`l5g(L0{H_p8~zKfQBFjs~2ZnR);J#;$pV0XY4Cvk$$pZsX|8Op5#i z%1Id+vp?$cuUA;>?N46!asDSO7jGY(kwGDURAAgQMEPXy{`vn|`|=JaaAJD8{UZhV z#P|bG>|6cnj?wAq6dVYY6VuY{{~f)0F({vy^4b00y|!X=c3PSMUYD9W^U#0)_^3tn zj=8sdJ@fYk8&+nerc&r2Wq{<10iyki0g|r{kbHH3kv4~0Ld2vB;R#_BD=x~K8L6;1p1K*H0s1H2rMhBj>(ZTP{Mh5}R zM~4D29~}hqJUR&KadZfv`RE{^$I(GRkE25anvD(udK?`b=xKBi(BtS(gF7LHir=G- zo!=lhNzqP(QCa#!dF3CwpQNZID}Syi`(cR|>V-~us>dhQ@GJEXHRB$%24)cv+GZ%G z;S@^7HTVr3+cgW6)I$#D#c1d+J}53CA<1)UD8`kYqTNg7Rfk?q#J-GnEX~47#_j+8 z_dO{L*&rejcLU$m@|Q~y!d~u`{o_0Yz}Fp2lUVV9KN6T_bVUbFns`%zQkWwMn$t9?oX$lb?4OlS$WvllUe#= zAsUmDqSwKfL7_XQhFlHmOoduKM=KN$(WF%c%)aBxM^X(s52~RC=X}yt!WZF(3Mvu0 zNolKf^z8st;X5BvQ{DaD$pblf1d;XLFOHx5AcqRn(2n7$!|BsuNxbU4BgcPRlZ-EK zD79Yp;fewBRr}x35*&ZdfW7bVl|$sWS8Y4+1wJwG_48M`^60O>+c);BpNwcBvSZyV_&y1d zc)HiuK6Zaw*7WCf(NC@bkv#di;OD9*HC`Cn(FWIR8FwbMKysnj>6HX+u}Pu zE-gMdQ{;(0y-*If_>G*nq&x# zufN%MS?>>Dmj8LMZ{qG1@?BNe$)l?Vs15z^mA(6al#k4*K}q~_94kLUD3YQT`*U(0 z`K+Y;=e5^SjFS8>%ZfjLSPSf;m(VI{-C<;0gU^_SsL=(pN$gJI09ix9M9`b=TyA>~ zl7nH$gXr{-tMg_D&L-l&e(SC9F3g)fd(GkE;-BA`%`@$l^ajzQl4ob%ztS;ZDXDkT zyE1R~JHO1f%&wgOp!Tl1rFU80?0IFMz44FP60aI6n3vL<%J$T*UmS;5JIhw5_(mI8 zXO};+x_r65Xthgl6zsJHRIo4J*9um*1V{GX|LtG1?|-Fav7quof`jP(t-qI*|FV+G z^w;}|+WAO&cCNTp1TG^9xo(kOmhk-Ruf4{rr%sXXh*|!k z^dc3bR+)|*EM6_GCOofXdM{bz*E^X`3Gt~ zbUf7}(|NgTlj$6x!7`oqf(FZUHE4-nJIB232vt(_)Yf+Ga`zOKezmX%yuiyR?JqgG zXRH?ZMK9A;(z?UUxCW;&3t?jx>;^L3B`6LxkXa}lWopI56s!Kf-imMC+?xU=c_qE| zb8jMQz}#DXSKZRvF!xr-yp-O$xwrbdI)%47_tq7wcFC=uduwl@@TgwTy%j1iB)5L< zUH=ZDb|_CBPM_`~rzwX9In8UQ4mpjvcU*fe_z2qzLEWKkubw?I79joVntMB9E*G3@ z71YQ=r#{1^VKlDZ+5@|ueBd*v^l zkY#n@iJ&qIf))hGm0btDwq=F1LRu~@D_y#7>+l|NaS3t@3Od8#M-$?%dST~VtClWZ zwszxt!}}0R9w!ITK>1{R+@R~07rei9=Q~di>JcZ!QS_Y;#|MoMl8fWxdR#te=(x)V z^^LQ{Auuq--XT!1T-_a*j;`@>*0}0!JeCioW1-)@E0P@D667`lH(a*#Y)g^xg8A7A ziDAXuww>G@zvN%rma-Y!IF{1S#Isc{QrFOPYG|okH067lhiESS%1+)rhTK@?U(^Nm zoL>$NK&)LtMzrU|8Rg?h%)4MKtMB7jeb0G!>tYQZh+jQwg06{xqjNS4J$a4Bz0X}0 zqfO8csX}1RMtRQXy?I_r3JV>d-{h8YI7ZP59EiO?o2zE}%`I@RGG-Y$<16sru>uFO zJmw@q^6?T5E}qW0zCWh%7`1WmNq21p4s1y-Tl|B9j%DWjACq{V^#oSASQQ2e{(wUo ze%~LTb;GCLpr4lz8J*os!3Md`tS|%ZL;I18&Tl$ z3I92E{QG~x3VK8TI)Y7X)Ig(Kg`%{uNbIYu6fBIrH zTK=nJpO2q-y!ha-iYJc^KQdL8UtcA!UAF$yFD7pO>_Z|_I9DY8?=$-dyy9bFfyq0W zCEt3q?`1{P|yd2So7X|J~yb#obcp;Dn@j@Uo@j~gY;)T*&#fxt?qN-A>b3t+r z&qit%G+vR7p~oj7P}egF2{l8i(D3HjOg@xgS0f`X-ZbFZG6WKD^xXKaNM8pc9TEn_RYj@-5drzV6CU6>XnM!*Z7dt=s? z*jV9E2e3F}&B3i>izfjnoFf1f=dATW;U@v0IH{or3YQ50#i0#7P;?3v3H^zz^$}X!p2p{*6UGg zV|VG>u*TJ>e67q|nIvm0{Rvx89lJnk_+!1A)dktv%5L(S2V0P2$G(5UB6xD|ykQ4F z-tpuQa^lLPKPW*Eobeb$SO&A7PB3_XAxF~*Yn$9U)`1frHaRr5=+@6a-9J=>d9;e; zkl2$6-zLRAyjG3$Xc2%$vBh2An6JiqR8zxZi|#-9@1AP7M>QG9arXDsSdVHdcwhB> zuh{YbQv*J#0OaMNFTeP7jEMTED zzt76z2UgPq%ll*;xf5ngn%QGMON9mAYy_8Bv_Td>*fLA587j-qY&9YV%2kP9Jd8B) zqhLM$wGj)Zg}(1@BWE?`7jNCaHYxRsAAzQ13!;ozzX)7d}{(N}(wp`R2QW6XE7oNqg|CEUToI#l}7O-mm|D@?f5< z+k-AN@B;Ub+Tb|LOc{1XY2PP{uh1~5Bb?Km&o^WTW>?+de4dgOm{m1Jc_#YFnSq&Alkv>C zPfQO?ubRl8Dih+azBbiAwes4WE(w&EczN`MJZoO%gi#6c$g87Umk$0N99`m3iq>*+ zjUC1f-}v#3pTF_=d97-pVf?&UH4i8Joa1M8)yp`YcY4N8zHj{a^pB#{{h+_COT(*D#*Q3r8D2sGLS&hOi$)DA=21d$xENvN zqC}_=np+@_TvR;+hR`WyeRL6cn!JYNl@--9$w?3Mszdi+$_kVKxjf_Wk|Q-8Vaw*U1KAYy`P*@A;f9OC%$Hp=bslSeH_9gcf&e|-88m~Cpl zJ$`(WEax8M-}E~^Ylvk?*>Cq?hIjNj;FQ!18ZxA>EWfsvvc)r34!#4p<0CQ%&%?d^ z7=tol18CzqOhgsP&v$7=6^HK0t~r8$<5dfh8<*nO{}Hb(_z5pBxnkR~-(K%6 zDHg}~{Y(I9eOcc@Up=A$P*YbOy89^S+E3ey%;C95?PyQ8rWimy*voUGd53?yt5B+kf6GSm{FWY?V z*B5#bNZzywpjs-cO-*ZKzjDK6HMNc_3WMNjToKIExKdzdo*yr?kq zag~puVLGm84xb z6{}@j5zN!LQebA|iXi6W3J~46!jo=X@k0>Kab+G{1Kp%9fQNBKpQd*kS3J>lTrrR1 ziXfiG6{~$*vD(HJ^Ej?J#KX9%t2Vr-F!OQ6JdGN)6=_G9|{c+QLI?7MZXtWoKcYX;G4 zId#STMKwkDgUz7_%byySeBa5LD(xY=JmS9xm3RN4(aL*Xm3z&9fL@KjuZL<5p{R#% z`=qpRAI=zvx88I3>rHvb*YRBID6iV`^Uqrc3Cd|@)5xF$HgwF&@V9Nhwn)kAhZFRM zbazY?whyoPGxytpRnN6Y*(qxlnI2e_$ieyc(1cab+$L=+{W*~h+Od6U6MTk4SK8*R zq{~_<^sCnF=J`fQGgd5ZjbVM)1Vpttz(LVb(Mo**l(~ShA+HSkG2&YzRXD5cc;LWN z#7rq(FEf_-c9WzI`-~_!gwf}_=4SQ5~5xM7ljQ>v1{B>DoE1dK}p6DXGiYPuo;X!c2x;7t5#l7L>Ob+PmD0g~W> zz0%&&lSypo{(WyXb?R9vEhIs=O;XrzZP;DU8zCeKKIp^-Yz%08(bpWr=LAFqP|iz? zrL0Ih9{Gon3X`XTkKizvQ`N<8F6Q;${4(vXAFwzW)C&{#D{C@j^|bABe4Xgc zC9=GJ0kG(}Zh(9uamshg)xc((3b72RJZ{JCv{gRDi4o#V9MxTpP33j?uF`w|62!2I zj-HD8F3kU27QxOIuOvxx$1lM6c~oDO!QpALyk?bb!eGzMg|{TiSzo-W#y^{IEL9${ zW#GWVJLTK|?6Yu>5@Zd5ye>Oz!oVv3=k9|iihq2zj~WXm@!LQDcbz=; z&p)oBAZ^!w_u={_tMwU(H^k_{+QiKtg?VHfnfTe9L4rEmanmVBTGNBY&4$YX=KHl-eEAsGbRvBAMBQax`BHc ztBz(#OtNE1on)8jslS(hE}?NAMaZ}-aJ`EGPX~rLM>y3FR(m9qqO0ZTm}u+V$Y`GJ zrj(r!`Xe!`-HO+TQQnYTfMf|}J8P*H%0r}SM$AE!`v6uR&2S9VgwhEva0fdI?9jI= zJt6Q)f#rb9VmoxOvoegFfLK5(nh+&kg=(b{o*(mdoipB{%=-uL+2$Z;i=MY#$EL}e zc-K1!8k+;+Pn9~S^ucO#N}WWf)UnY3vys%Xq4I62)QKmlV>^qf=pXSUbxbm14x-#E zQpbiWbfa{FdXZ%hBZ?Zj92fevghR!5l9q7_h z-oxs0QiAgsw$znBZCkaiuE}ear%!W2`5kM<$oK2C{Z!Q0?Q;uQW4xuJhOub-d375d zEzdm{3&hBmtyZ5KJ(RjhK6Mk%6iWZdKW#r1`Oa>V@9ZY$$*r@SoLdEAsyLrdC(6-F#x zxAA&r=3D~c-S@J9FQRq+C7KfnfRpC2$WJ149wu0GD;_2Q25s2=)l?5YCP%rC3Co|# zf;RUw^DzPa>th&ud$5_034k$gy}98r3p*E_Od4AAf4S-YHVn{S;jir6J={|tvp>Y5 z{~Mv}WBP8iQkR zqg(*ZM>zqtzVCZbysXZCg?Jq0qA|@!xd57v^7GMkLu;s0UpTZtSK@QvkMPJ>iU?z8?C#F zv8|Wu`WQMO_YWasEo~In@4;&CO~!TFpRDyp+3M_#cPOa!`9;34m)grfYe8P^Oy+5| z-}ht|yi>vH$tvt1!(mk-kM0>=F*kZ{bo5+lt|NLbGeUij`noz*wb9$z0_WJR#AIi8 zkbGmpHzpt{&WK1j#|DBfJMLp59->~^+J~N~$Ai@d^+5P_+$fvV&=WQVwLZVd7k0m) zCv>zHcplhDPav)Kd*}%b2hDB+8M9GQZKNj>qZ6Z}6Qx8)bRu;M9n|-DI%)%*P_5&0 z?3RxSwL3`gc_a5Qa__?D$T3av=(c5LC75|=xa7x$iIYWm!_lk=ZWiJq%(*JpS}}`( zxtlQI>ktI`T9}^Hp#t0g9K)6n%vq@eV_leL+Y@rRQbxPQUX86zaID09Pj$6(;NIPx z&dNY!M{NV2wgd`jHVe1tdXN=zG7xw{RRNs2%x<+iiST-K8=4U-IfR!!C5c%oa-(*O zG9-hR04SbhFD<}3?FC4|u>jnGgt7n%69!iBcVoZ`1Os|WNdq|iCO8l&@$x1M1)>bU zP~ICrZ;z&8OZIxwOUz$X!KtGcrA9HtT`lEzdq1sUBz8n;f-}RwVJ|>8S2}{Ig;SXe zRD$Pv5Z#M#{E&r0d-Pm+?%e3zxaLMfkkT3qzbCLD+pR3iqIdHYZIM>U7i-F&-B`3q z(PijLx^h@p74<=ho$#EEBFduZsb+Oi3y5jfixryF@nNDo&I>{Kv+HwN^SkWsE6Nly+d>o(Nm~HdC?t= z-HRq}T3_?XK~eLVY$$!wnn|f%B0fJPlW2MQnD)9Ly=FFNV1pF zT$3inF1hrE3R7wWm7*R!yofJ~qylBRB*xNNC9u$P}T;*wYJUtWruvi7Z8 zed|`=y0!jGPT#uK?pwFgY`H+0H1nDB-)8AevzXdeoiyjuO%RpYj&Wh(Tem7}C0{)# z_265#DuO_@nQQA%-NaZdq1?t+{Z@{?b*nIH`qr(AVD_zB&;GiV4z^>z72EyDi}`_d z7!a_7kNs8!mrk$)6MKv{G^35Y3+Pw52oq7Yyud65=3v6K*=WDDHl`p6uj z+05(0G~1q#%atBr==T<|M-F4LQVqD9=czZG{3|L6(( zt;&<0fz^g>HY6JuF!Wf?fL>D401m$i4n#`482;6jg?N#LU+C2hptnWa@FvMtPkQWk z+LS6db@ZatC`R4ocYD9mxJQ&GI5P|!ws>@NLoJ-jT=3@i)DJ}WA{;+tq0kncC?_UH z55|>9`>o{5MEk99Y#OZivqTT(DVnoWA$NLG{tSlm6ZTutl|*Y&Ij~o1!w>dbJvT=Y z)ihzzACtPMg~#uLj5@f=f;uAhTLGbbU}}OpKAN%L3M}K8@G-!CD^(EwHJ}oS66;nI z2H0;!O#|%S3Zx;`R=|F1?Hq`QTRZwVkow^&=De%P-*ml0^egHEOzBWwbO&SSpoyDc zQws-WB7(_=@+Ox@9S^J+6>e^Q(uKz5@yxKmx|L>3UKYaUIfE zGMa1Bq}U~w-cVsmZJ<)biH8^QMUfP5$lO^au%M#qlOOE2dYOB^R`i~^SX%N5()ARo z9KPR*i4;wvu-}?kO}^pSY8_1bt%IYn-%9S{%FGG-t%)oen~K~w7fj8fi`l({N_Jfs zGxRAXVwqrE3s5+<`8i{t>4?c~S=e~Y5u^fh`isb&3e|D$g1Lt*nb(m`+>kL4A!QTUQ=U=EHj0~@j!h};FgVLqKODuRs@9?> zPGphh|L70ZxcLj~LTgO{Wyz7@H}0_@oEFG+VXn*_L#60SavF_p4Ht%Aly|wkmtM~s znHQ9>a8n1?aaSzGuQQrTqGP7`-AD{I$|33|)L+IcsGT3ONB=AT=+``ZydPWKayOT^ zh_gxzX!K@3$i_~)C3)N77G+TU%~)6hAFaqeWw#WxMHV{_U!4XJG!!lPn~aPztd z+fc|#Fm1kB&kZoOKqXtlfWr2MiGUi}TlZdaDiGUB<_J=}IXxy4d?)JM1@rxDZu*2O zMlqp^VPM3n&_+AMu!fMek@l^%O*L)}IcqpdQ`C_m+9~mjf>L6NL50-el%l-4veyqs zu>`8M=!p~UbMSxk2S^@XSQi?%hLD-@H*QZ9CPgwVCc+wmZChAFXiHbIhA8iHdoR77 zH!?5iFTlc09azU*u_PPqx<*q;bj%!hBnWDhLzJgefX8?EiK+Q}kv;lf`R5sp_hVQ? zIHnUV(MH71DlwqZo0XT=iUtPtK|5(9ZXm)x-VBC!s?5#=)-jO{&-D5hBC@FW;{hl0Gg=g7##dlYJ6@)Jt^2)FuBfiCYta`5Y0SxUU3L#H#TlkLb;ZrF+E@Kye=JScjMp^yXqh0L{e&0kyLG9?q3-t<)(dsHy4_6NFQ{n9yN(5EF#qotV(^ z@*pM%qYg1aD8BCKsSd=)T4p~h*^XqUtVlZ^`G->o6A)rT`B+Qni+dMsOZ4!u7I_fs z@LzuqA8Tpz{zp4|GT+Bqw9lGo-SO0a&*v|*CNYul)>@iP4Bfx)t)`6KeemO_bh8P{ zGsMIrPfuLSnD}T*^1eXJyJL(U2V!CfFvOQzX3Y$3H0}kCp?yI}V2EBfcj{AX(^eXJ zKuqw?huH-25}of}dra5K&lxcVFVO=N4g~oX3^!sxOgymn%Rl$tE;=H+_24IuIA;^* zU{n0%wmek~WM_Qt-rOgSe46MBH{>68zTflE(3tg3|T3Ilyk;YA={y1dbWNjgNUG&Wk$1DqEG zo+(l0tTuGEqId@6?!n(nnK1TEmq%b89rFnhaKiM;Ban6N|I)e3i}Hse){ z@UMzTHPtvJ@e|M7O^H(4P^Cdok%LbZ&ONoEz}JB|A1dmF!;RRd;z@XUQw}asUvjRyHaC zwK^Z?uT>%HjQFf1#+$v}t3+P)PE1U(ZEA%(Q!)8Qu@Kf$n~&Ql3COw>%(vrp^YKK? z#|P`?<2DXgXW*yq$>z+HDt~R~>3hS3sR$!Py^$%DCKea>$x z$5Ls+sm^(9SgPqxtk$1deJJ=;RV+#PoJV{6DTnaj5z3KQsv^vJY&7TbrTndHgG(Oe z>@gie#+-)^9@D2oR5^QIwlt)RuJ@WesZznl&ct0S)`jL+{r`r4&Y`op$jaZa0(qnR zYVlNb|8uGq?ZE^2@$T8o?9O?(u%gC!T+(;T&e&09^IF%=fBKrGw!`|qCP1EP7FJ64%o_y2|;(HSUXuA!E^| zKX1+x$FRl)j+WG0`iKAlr~3CoR-v3N4^VFc#hco&a&e^GU%S)6#9?y4#GMW%&W8df z?sPD5a2zmkr-O;Jp!k5+%*z-PPbwTa9k^rXYuI^bj$PMN&s82(_^Ga$+W4ItM^Eb> z%*rWR5g@?BU?v>HSaluDZV0Y}nGl@kesyEjbuhbeavjWspq=_PAIyZJ$ngEE*Y z%ha__$FKQdrZ;&S%mQXQm<7yqFbkOJU=}csgPGv94Q7I$t{O7qdu|*Mbso>HY&z*r z@)4`3?R;*Bx5w^5U{KxpT;1tg2hP+&GZTXDc&>Iz8Kd2aQ2Xgz9isW+TpdEKXLF{cpoJ!+pfl~%RBCOBnHP|x$0XaXBX zflV0&i5LZm$|z7$HL$lg7zH*O1=vxf^Q7v%@>O~z-R$0CBR5+I@X_aKZyh1-iJbl- zg_L3)DJRMCnEqFYFbZtNhG&0UsSZk?BQH~tF$!`q3UX-_WJNtK{vwxq)_o@B6fv-S)+qC{2`uc%S zuBHBMf0{-9bRqTSt$ibd7F|Pk`#OI#i0YnbD{4JOqJIoOc95HTHzBXZ@TfEgb6_kcUsLm^R+F@f()KcS>6(>ar5nkTBsVUUwWV8Z8W zl!|=X*@aYo0v*?b>~O%>AeDd+b`j@A`2cZil}?4yEE4|+O0KsZ>{TdX0Y03JnIHW5 z>4Rnk02J6QAF+zzJGQTH&os+mXI38Yc?)*KGYo44VH@nm9JkJ&% z*ZKc`oxj2EX^_b@!_|a69^#D;kh}4!+*QKAct~+dejYXkl7FyH@^i)>C{@YthC|bR z%+hr^km8jT;drV_ew-{NymA|TI453}xcJ6ReN$PrO2g#pnx93V&@>p^mc3SmFxsd$1T=J*y-?uY{B)?*L zBFX<#D|Yj%B-n+;36g*2WArSnOpyFp{|ToAVOk=||KRdQB>9Cw36lSxb4c<(;4~tE zb*Gwrd-twnF4iNG{0;Q-I|JTfX)GB!@)uSS@!Ix1cTi0!Ynm>s{I)w;(B~IXMTpf6 zYNq2yyk^8ACyrI^{VAO)Myz#!(PBq$A`wfT&a8Oh6lQ%pg)zg@2kFskc78C|%9wEp z^q8-%&X>Bv2t>b%T($4h`>0hFEH#|17$ZSqm~4Gb}lfe&NOgUo1qwGA=zHV|L3n@^19QU-WnftD15= zl&m3_J@#!V1v2Zf$ch`RW+In_Gv)4@J)1ZGv6YM@cvk+BQRK96LTw>WH`Rnuo(4Vj zM;PU)<1#C5kf*415lp$8@adjCl^;-U@T~k*3^ix#<2z3Gts1Al)Om4RT~lBwxPV#Z z>Ke@5PvMWY5(!qgqF;+;h%-T~L!1d>9YP6?nowvTbsZv5jNS%XqG1&xQcr1N+?kzC zS4(GaJO^C%PU+`!s`J&}n6LE4Q}tu)jrk;H^}UfN=zAki(D%lCx89hKNsxY7%=;ZB zy7$IYRSMn>&6u5Ozsd8)XRw;(T5?JJwW!Axq)xS|$pf`Xws;a#Z1E)K*YxCoQ3w)E z%&#d0`~D9&XV*%KVON%t>XS1)F2fG=srN?fClgmsfBj`ge7Bg`7)Q5w?0r|@9>{)j zWqhB!Y12DRFPxUwCtjB6iKka}n=mOSHm7LPgl@7-_dL}RGc~76PVv+jS;n1~Hmb`g zkv3|Sz`G&4OLp-Mgm*)Bwn)2vWS5b}*HhZ{BS(s~DOpmMV|;OzCCgDUE~{-;@f3nj z$;$E)J~>m$bmSIiS~8DST$}gN!Ec_(A`WqK7ixTaXcuQN0}Eu6lWfUqGkxCs_Z*I2HE)Zr7x!krAwOv-AU4r$mu$JpXjOX{(Tt7rdkVBzjPcYK_hdN?WFdOs3YPYiJp3`dwL_eH1X0wLoV-? zkRV6t?n8L*50wl1Ty^K7^_!OcBPY3Qg51dEIf!G0$W>QlZ`&p9l6FeFD(4P~iXn>TKMd2n2J zInXo}Re`bx$rVEi3N~-qYS~%>f(`HOn%pA}6lSRk1z?G{Z{N6SvvqUvri~lczq@hO z<#>s|d9ojWOQ2jn@WpK#Hg4P`Z7KrId+)yU*0#ZMT|wmmpwj(*&NM&}&=X z+5YbG<;wuuI=n|*T!I{+B)?s-Y~u=DvPyF_A?~UdcD}W0>C$CuH@-K#54{JyNlATM zpQ`kYVEJTx+@R~07rei9=Q~di>JcZ!g}5gveLF-hj*shc`JkcWE+5o4&JtJMQ#MIb z`gN#W-5tCfUE|}dan;>0vW(Bl$Z8}zx_1SFqg#R;sk;wG>z;atM3N5qTy~iKMSZwx zynGKDkJ8;W9;Lc!JdtM5cuJa4<0)xIji;n})_C5*8=&zN#tqPT3Sqr9o}SE0jVDOF z)p!cJ*BVbjH)=f3X-18w;2AX@rMYW7p6aIY@*x)7G@e<1_0*ed(|Gw%Ty<-_d<<)E zHD12Ca`UM1@}a-#r}2owOt*Q~c=@Ca>#6aG#)D$3RpSv|{WTs@HALfax&~-GPS*g9 z$4gglji*r6SL0DT*H`25-c&D*NBz}XjYs3aTa8B}%c$YZG+sXRpl6MD>iwBXMli1N z%>J5aJmx{;QM$Xvqf}RoC(;ZWPf0UsJSEMj@su>r8qYg;12mq(xB(hZA*{E?)026r z@dSyt8c#v@TH`6`Mvdn=&8YDdJfp^=GEx`R$1Q1pJip)4`fAWl?z*;e^$|` z&Aprb86lXzn1;5_@4c#V-DY(+9I`F7y$iK3r7}w_4TaONe93F{+ZMf9Y&N3Ghm{2 z7d0X#rCb>OqEcdpmkVQG)bI|&N;Ml_%P z+g%NM+Xr`#AG$+Lg;5E%ZOcf6IG2x-QSU!<cQ}oDJayNSo&ztHmu(lOKBAg} zUg^({$jU0`{l2}rPuQk2?ES8!Z@(%bVVE=Bq9as{dt0`SpZWeqoo(-TCB0zRO*3|G z*3yY^GP*Urkz4mRY}oSeU8dH(O}jZiVf7=zNwAswvkI9EeDC?aSERS%VMDVZbX_~Ajr{pwH<0m>XPa2STC zsU0v7;~(1qfp9nRsS2N}KyUY{3ZJUbYY?BR@Tm&EedJRWlQMj(id^5SLiVjHe5(rD z_V=wSyj@ka)ES_nV_FytP_3u8G&MlA$!Vn-pxTUTr5T{wWVg}`P;ExG(yUJKTbo?* zi}XwR9a%4a|NWa;v3kgi|6x5}e$%0`*#{rV3eRd}Vqk*b+T9;v4PO4@y3EXfy$2&y z)w2Z!rp6^Hqws;B_kX=+%8Y3#ZJ>&ffdt$`{kqANhBB zqx9;xUCc@T>81bN`uw`|^yl9OgI1dDNJ=Zr-;kcZ>xJtNU7h*mthDg7R+_;`N-fIT z^8I(~GgJTd-FHhFP>Y{M z5&)2l8r7o3h_x$5wrFpCFG(qDfw^(g;W;hgg^tQr^O^LtwZBdRMCSi{4YI zvK+9PQs&@c34iDkDI_f3G9d7RAR-gM6CB9yNolp-jST*iR>@itZngRaSgoN^R*Mz= z7$#H7BY@2B#euCisbm6jj3A&0#T8_=2DA(S4RI&^QjH;4cNKTiG1^6=- zav?cy2T^Dwcx`g%ae_)=D_XaDk4eogVv;1G@&&Mr950zA1o%}^(T(v_8ew59JstK} zEVl^Sti$ToowtQH8M(7r(`LVQ3#stqog z9Y^;M3W{kG8rd{Z3M>n19GRNRfkz3*A{Vp3JEmW}DtBHJ^abTVI&>>9p{yf2GN8=ZB>5Cs3% z#JvlFLDb{BurW8>J@|>7&H*|}uc!g-6&i(*@=b@P_V0IP`lZcvQofQ)d5y$H!gowf z>)U7G(5x7LorHHG5hD7SBHbG$jUC*(Yo9Ap`rzEQCfqBza1W3i7X(Wdom_`(hFoWz zQ-=2M-aMiI4 znnn0Us5A6hWOS4@sv>&k;HTrF{G!y^dF?Vf$`Vx;ne@)a)q^8JtWM5r5z-Nsh_c4h z)^A)hBOIAi=h!YnS1+ZBp!W+etjS;0%QJx{b1@+YLFx1t>}#gJdRt4}tMl<%q;!-N zRnlwi+7-)IuY0*aN~BJzT|};4N;huYWYXHT%a*KIy?SiZ#!_PsBzh?w5#IIbw^l8h zxpd{4dF>;l2z3fxtCWt2ynW5;TCc_r3T>Hv3;o+_n?o#^6n;u%bd`7#LwrMNZzPU25Z{1S5 zn=N z5ux+*WXMVdH9C3)-*9m4bmB89AkgLX}{7}Ypx!( zrb0TKJqmSbA25C9XxxEH+`9~cKXmwdmkj9}Q zmXOLu*1*Vczi<^&7Sp1|s8LSx7A=Opz9^O3Zv0xPNSwT7pr6IBGR)5(4o0vQJ^Co; zTo%Jvb~d-~h}Y*18*?kSTe!R)iwg@o5o85D3vb`Ek&eO0k;10K^PfAc?~5)B$|(O~ zR!oQ4iC}9$U|@913oMpeIJ8-6#vuYWCrLWda_$qYdrnFUYjlB*Lsk~I1BpV+7~Eo+ z8HY%J&S7q0;r#K<8Z`>_*Kx?q6jmQ9h2OlS7AsviD6J3@ECrViUH9{k%ZImW(Ohb- zRx;J3fkoh=ZY>n!ma`@+sUm{>f~o>nEJs`bC#@u9WC$w|Fr=`TTA~0_GgyhNl8VKN z(Rk&ytlhh_+S2MmgO$ZJYbF%ZpY)Dq?O$yj`(~pi>F>fAWNBt#0UO@&ix1S)9B3;^ z7Qd#tD$tBV;J_L^!!CF(g3aA@J>ID@;C0Y61YM!BQRvy?=;}C5T>gzC-f`LQewCmcwGWrp$3tOo@hO@9rE&IoX zhWP~qpd!koz{VPn4Oa+-rtpYlkT`*u!B#jAVBf#08 zUfL$~6=xCta4KVQd)8gzKWS0VXXdTdv+xgV-u!|~bDIPNVqI4r++52=gCSJKQ(LP`zQNvxsRimC1vBXL1!F7i}cluv{DG^o=>)(Z{lzVi_& zc0^Py%J)AeOq~`!t#axF1Z{ACHfU1{-e5F_X-@`iO4}l|`_WR>ruu!6v>{gv?9-u{ zzoJbA#Gp;5<>X)|WS!Uvfi}%?Oe1A{A_kBLZk;$jJHB-wBnLOSafm^g0*UX5%u=<8 zp8Vb^Q?AYG+JeX-yn;LQ&B@U?Xxdb8NM7N>;V@<3zUh<4X2i7!2ne)9Bn%%h#505R ziIRCF!7(brwHd>PBwxK2nsjJOu1Q4=88j(z2-5aaoE$2NgTLf21ylwG zD9ThL*C|sVNsU&v#>S7-451LAOa&IFcPdj0q_b7iV$p()e8eXkrk za=fk*G-XOfh*->;E{$T(?!A>%pWeBDXt`ktEv6tlJPPy|DPaLpk61gyutz7bu zD~Ikah(l2OP7$52o^Zq6|Il&Jlqux_9D?qc-hTCX+I5Lm+lzOG!GlN0(E(w8K@pv^ zb0^;UfR2TxONqrrwEgnWX$wQ&OJbL9xKf~T7Ci=x$ho#_pfxc3l99PL+^sK%rU=0z zVji{%yMMW(GxlK;-*{=8o`p52NpwucwM_yeI%Q9odau49dKM3UtJ{!ytMOBU1#eO4 z(mw+lw+;x5>NI@hwNq{tFoPRXsLXkm&j)wvT^rjAaXsY~hZb!xS9`}m}O;r%L;;@fwo{^{~;P^+Ed z<#v(ns^s`iz^pPn>C|dvOj5gcWSGac5~OiEN0Oowk=n9thuE%{v<(by(cG`O3el<5 z%9wub+NoPi(5LMj{S;bCZr`)drG2`D1T`k}sDSA7DW?_t0sOU`^e}O39^19gz+SOL z8Xg`q{e^bzIE;veDy@yUQ@1|Jy*h^kH;L+V>-;rq>P6h7V~;+`iHY-`y14hWd!L&% zMn^1EXf1DUc1ibs$-Nd97S0=!H>7uT6CJTopXS8JsLV~<$97A|A=Npet?eS|B7Ke3 zN?k$JLD-Y82vF6gR@s``DcyyAdOzPCk1-3i{)(Xa^l)P3ijIL;XU4YuTPKU zKHV;isD&D~QYSUfOcDOO&ISbO zM~@#z`t>6_YW7^ekwp_X7yi2Aji&*igKkxHhSR3mcdc24*RCzzH*@^REERzca5@nz zSrwz6KE8b-o!mtB=;T@zo!`7bXUSDJ?JSvCDs%bynTVna5USr23^2PTq))BMSMsOl&Qu{qw|g zss1kM*g#HQeEjhd{v!x~LQ2P!LN!d=h^Keomg1j6>EnlY6jt5|DN;&RYWfHc;C?n8 zhL!QNoIna4F8piM6P@IT6EaM3vr*1<3WSi{?%dxdB*xCR>D=_3c93wnih>HN{&{+< zFQR1_mZLf&OqhHeOVHrp(6FK7wym6+6d8h{h`GP;+9Ugxg;n26)A=OT!WJSQOS}}G z7>xP(4Vkj*)oBThxdWW$xF@LYGf?4>HU){}Zg~EFLxyaBIj;*Gz2E_-IpPVrSwz=Q zeOsVhN+(TskuM%R$}HWkS^VyOSCJo-r0x3&Lc4)5KrT^Y$SIV?{^5P^T=ejbz2RgA ztE8YUA`@OxQonxx>fe8WG(fsuN|pvHhD3k4Sj3c5-1d%1p<$!*K78u>PAxFE7s}Ix zzWDkZl9LAxg7;LwPR~E+AUL`4TnRS!uuGH0s-LXJ5YsBRn`b zq)GQ_&+W=fZyZVEK{$hGv=38}20Cer=sfB>1)JB9LkKx|cAUIm$FS5uo^#*k^9t+yQVkwqhh-fKVo>Zzg#L#tLnY{RMRU?dn-ZdAXJ>Le!9UQD3|d_ zbWV3ar_>mVcn3;c0)AGIJpP=rCJdmiJ{4%#@${)c^xw0g0-bh?ctpuCC_#hu14i30 z7e%Nup@hl5LJ?kn?~OBGUbgzpmCrvkNX$s3}5D7$E{7|$n9Dn zcWS|Llc(Nw&!aD`UbT3|Gmp=_ZGxr+MZPsH$lt8fg1TOinkBEUT=482H(#S^LGfZulc}^iEjVWE_`F$jmal!` zrC0C2>!us8)_iI_(Eg&+f)l3Par3MfSG}=x$y4{uxGkH)PH~rZt-g(VP<^{j501Ta z#_WYlRxDrf%)_@$9-lExSZp;0Mm?x9(CNYH_ul!yORue1x$Nl&Zo28(F)7*_Qek1Kv!-5`ldY{G1+k_G)fej&Vdk`3?N2RVv24+cv+ucO zV#+XW3292})Pui5j$}-{?%p|z*Sxl3#iRBcr;N&SrPb6Rf3LY3RL!R5YEU=y-PNE$ zZooo9EYN)0R$-1gnhTJTpD#N%oEgIn%4$NACt>P{naH*yY$?T+8hTNv-RE8HfYu?mf z0-Y4l34wZ&HDxI1G-b%?bjq+tT!$w9^g^j|hfWCt25QPs5NgVh6Y7;=LVU-jVIiPo z!J$ps54c=YhM;s)hMd}8i@J(6VZZ)eT3-+vj2E+#(2$s3$(lAa&XQKO{1C88nt2X*lvvjP-|8e7SW;0fXiKJHEqb>YpxAdi>bLb)Qx>p zZD*J>>x9uxL*?kk6puYnbD>`Wl23Ba2f{xnj+R zElWmcD<&a49y{{uuB)AokIlMsYW7IQb^{jNja&E2J+AT0-KcfH{7ed-`Q!_~+!Ubu z<;#0K^ToFAmpe8g&x-dFZWQ+<+;ATxyY#MHEEf>jQW+d|IG~Tu2`p&;p3jv;J!UJ>-+dIRD+{lbhew$M-_QCdBi1M&|D> z7rsT0YRLT_vN5(6o(&PR@VvCd83R8-E^|h>m*omw4Evt47Nx$I?8k$N^cFkEzK(#e zSNJ*tIV}4m!vFJ*&>W#7|MI8xAK9k6_=QvJ8X zJl$6_sxsdnr4E!YtVPO}p3QM#*U{t~Q+p6czpCYH|B#d9%9io_9+&&dQp>9NSNGKP zsYz~0UzX9+yMM9cR!*Ngn$x$x{c452!?;`X`{WZnGtj%oKM&#b;TLiGW+4|T^v%OV z@afg$mcb(LSQ}&8BM`AsX3NRQmuWiQXPOQ^h^K-L6T7sQQ|q2Uqk96TUE0QR_%ERR zx0dv3xu?|AE^X#S&IeFBA3)yPTtM!TTgr|(ugERrsyX|*)-q=WWc+f~E)y8XuM2pWKkSmATC2y~&`6I!si#}7jg z5ROClA-a1k{j_u(NZ0ORvC!@#a8G>I|6m@_U`@n5J~b@ zWz15F=h8?8Ti{quyud6cR^r->YcH;^aRL8C6|pEPw;d%(y z)3{#81s*5C<0N>T1do&8aS}WV!J`m73cKI}k3#S$1dl@SCw5M{3$N*CAI}Zb!}Qt{UAvrJwfaWXAtL?eD3pT)uo5 z`+=`MeD$FlGj8Maoa-N<1DV}<)#&ai{j@)KVf=sSTvD}CFGZiR+4y~4+z-TWinzDo z7rxE(pQaZhGAB-(n0MRtH{EDU8Gq|kf(g~BsdPKiyiw_h4aR9HFQi(9I##taB`g0~ zN?+3D0DeHFJD8>7aa;>=y^3qKKm-jY9G3E4xccH6fa@|`gK!PSH3C-#u54V`V=spW zD$m6QEmS@Q*EC!+a6u!L--T-?u35Mq!1V|&ytDiXT=Q|^-Q~~WdI8tVxS+Ypm*QH9 zYb`ElvGVn}Hsjig3wbWzjq3wk`*D4O>vLRR;`$cX_qcw>^((GFa2>~0gbTBe@+y=O zMXRvj!a>%G5M1H78sln)s}-&bakazM9v5aV6a8P#+anZ9UbT(faKgzGV?bD@1{&i?#)^!C)wwmeP+*|ndh0Ak6IeaWW@BuczAeZswxUPczD-v z;CIk10`Pr}u$T>;2rOPGD}XcjoIFDWf)kO8ijg}W9?6}n;~L(N6k0Gy=%K2vNVs_8 zI+3_o;%Oih3^Cd18G1Z(c679M@&JGF@SeF@n|oMW-S@Whcza)2Rb9)9*P0OA_dr!a zPS0l&gYt#y9U?Dx$GWY)s>Q!olMf2w)@BK!yibdxw&K$%rqrs)9kDO*qH+OeDP1hV3oVSd?~hJ5%iT|j`5{+ z4@(k+rR@8|wz1GYx*Ky!3JMC)G;MZDDk|-@$cTstc~da*&nYV_>#u$qDk`ebS}^$N zKoN|l^MO%fe=zv(t^e)d|L{Eix!!fE*|?o;lb)l=?I_92>ycoJOyQ0xQ>B^Oy@wEF zgdqvljbJ^)tCyBn_s_oU70ugA-aNFp^>QuJM?7^12&AoPssPnrijwoF`^$uZ+@$QE ziTMO2%&z5H+^N3asUfC!A3T2ije2VZf`O3bIzP5S)3nFj^(xp$eBr(L;IW&H&e5un ziC0z4G7h~BR-$=gBMIGi9YUIPt3=SHS68?>WhYxsMYccZUg(Z}zNx4YERp=N>TyaT zTfv)T%GO%zDz0x}ozTYzGGW*iVHBFZTXzleerQ&&)O-G;MBU?#^0F81Td;U+Dc)r4lZ8b!C?+-pgf3EdoYvd>R}`neseb3Vq*| zPA@)JN%|*xO)KoYc7I|fz>C`SVvML%MtiNRMgGz<>NeLwi>(^uo|23f)*EG!$1K_t zZ0j7BD=^a0hCg}!*4r2_?178@^*SKxWJKvuF-Cv9?4DcgpRI|u&q0t&hQf735!Kc#K9_Yo_*J9@bnTt$jSY8S5u@rJWx~TocM+3`zfcR9HA+P_B9ldwm z^T+5$ngssXd!vp@ zP+F6@XTi~^i_<3wt@Zi-kRKHL29wUK5*J?bj4nk}L5u~dU6nRYkB8j;TR zxynEJmH!oTjgrhA9wd&J#z0;*`{yC6o4Md6N%Uqg%KqQkqV7GL+r_5mQ{E*H3FaPA zP~gos=Ab?4+JG%GRLWcyxaTtGt;#OwjJ!zaD`?e(UAMP7v{bdhEh9!7(Pets2E44J z@}%?Xr+%!fnsIm|vcPj+@*EK2Q$4w_68a@R7B;X0UpkBk@r8IQONZ8$zv9!>Caal- zERz4Z#i|qIgk%Tqp9VZ%h0Px}y;EHG=-_u~%jf)yd+02v)Rq6guqVY)jZpj>3MlLq zn;fZba4R&wi?2GasPVU6!th~Z$(vNJj8w6Z|G+XNqDt~jC?)KO>{x5f6s6r5>pBvX zH@~>F5q=eLpr(&$*%|2wH81zLkS7epxV(!tW;#M`ZEce}K6Yl}<*ugDu2WG(?GVnb z1(c~V9sRy|@tvSfk2~L$J-NARS?*8D$!crXbXM`z0b*6l&v5hFnCsX8qr56 z64axez;xPTywDz9H=8F@AzZ%Tu*Q6tA;zf%$vTc8)?9;&%uJg<+9(|uS_$-h^lj-` zde3QqEAkWFbe>^4-{5d*S=q@-B$Qgd#zbEJx+0_9U-W7ZQ`pz`#d@2cejg4-u&k~K z)VBmtR0>gKOK^i(y@SY&HtN%aMkM^iWQsrYV*9BwlvmOT9{D^RF>+VBzAvc3>;Z4q zuMUx{{zcQ!ISi@BGK{jBzkds_zgI|JTX%L|NQ!1#G;8)%%KS-uJbtg$gh{%O*hW+!L0hiCW0olVcr&)fT)tF`6xMxM{s z`cELR3&^qft+|Sg{!1~s736?Nk?3~9Dg|!ispr)ilw<@IPs9tnn#fAS1i^nN?D}1Z zW?aXI7K;W45lbQZk}1qTG&GAI5>iocArpib=6DEp(M)3s)n3Jl&iJq`wnkZ=9;}No zlAHJ+>OWLVtY7I#MxO3RGl)h%v1~0iZz2He(YBp9KiM}bvmv{@z}9_?in88aXi-b# zZ?LtWFW?@|QD^?PYi!j_3F9-`qx}AByTOey}_X`;wR#(P7o-AhO>;$V|!1ynQGKx9+-I z;V>aDD=UjE23Em0RpH3S&HZ_JSP$rTZM-C-Z?rMN=QU#93&AJBN=-+ns;y0BZEfut ze-j^n&PD7gp}mXv_|E(snB3rj&E$_0UjE#WnN)n18>UD6EaRxiYYS5Is=TMK-5_vjWAX;ebrECqd{{NS`G_|m^7z=N zuxf?gAm32Vz~F9Ba2-{;spVLqv7WyEK#8UDr%#`5k&swoCjTCvoe8?k$r6*0_*alq zQ&Ssq-{pGzI7td3l9ZG*?jQm#OugZ$sk#yoh&(CT@nClb7?6J!YQ z^MYp06m=`CbS27ZFPdo~GLUC=t`}SM78%cH+8fXF+ORIbKO=gS*>8;nY>;c!o3wiY zn5fF5Ye7?(to;1X=t4yFJt;qzjbYABFG#BH_0>Hrb~RS{o;gs)v_BTbDB-bI)5jze z@U+afFU$Yz$jaJ!w~>TTPqTejE|P}NrXlzycsV0Eq5EoY%esf#T>Ui>$I0euPc+zj zhuPXx%oH4gTV;~+6QX#!gGUhZ{^!s8qeZ5gHZ~76pYGxZUhKnsSCVWiw`ZR_d`ok4mOC684tfY}$)7zo zf=rTL&9k%2$vv&W^2A)`@IXknm~RXfOVt4AwhqDvnCJYsO(v_f^mdR&szhvRD#o`U zixihITJIcRKHu+5Mi7OgQ_V}W9LL1$PDpmSAbK?xXrFF5DRn1yRp^t5f8C>^wpR4m z9Efqfd=j&hV?S}+>5Nxte*8}>N)na{Ph0GtQD8hGE@Tq=v|C1V+l**AFY_gams68zBo+^vbPkc zxi@YZ;|lg6OD(DKmtrJsX}>6kBkxNmXn*yDkhfr`7`Mj5^K_z zf}hZ(=;kJXopz^q4R>OH@BkkuA3*BbKnCI25oUi~yH`X~U9!@j@K+&&!bbMCn*duB znjmJ6TaeI@_Q^g`MIkgE$L##GoSz~a`DwF>GvC-n^wQ+X?0(IF_eE^xNZ+ph;H%D0 zdw;n2mgk~-YW5e3+WW*O*AAf@f3khfciWO=0;P*;Pq`RG9L(CkkeF7|(bB4@si~#% z!wtDX2o@2mF@+@7m6m?p*>UM3hon#B_eo(Hooi246lIz!T^Emml2z2zTQFYxF@fhd z;8W_W8mX^QUfS+tRXO*1teYdKpl2BPIokA+RYWAdxR}4M)HcmGjF1`Hzkk^jMrH|) zk*L1|?J45!<2Am5c`u7>_Le%*RzXU+1MGfodz+$u_>Zm;+==N*%H_`Q(;h?WG{!|? zlVk~9p+oCdy(!|K?@3*OAiwj>Q!Xy9!W`5sGP1+zx?h}AQSRZ3?(Xis3m-Ya!mI#3 z9P+L{=H@<_zSHOgze7*|Tu$!V{QNvEX_yV&j3zA+hxCWiJ{C`=^VtbX7wRmT@`<#7 z&75_{<8xSL3i(lB`TO^lwyr+gqZ=$~X`+;rw9|7tP(`EI)m05C=lkvN*f9H1hiM5( z6$sVQAt$(?-@)6hntcs`14+{U5)@Jg{k{-Q;ilKA5}tbp^&!E*DjFIOA3cgd7uC%O zdU$X3TEM5Ip7}kD>nPiWX-=}w@c&khxd-BZaql*Wp&LS8tTcl~&Zb4LSNBmrY&VAg z7OZfT7ek&wL3L(GXo+Q;-(;{dvwwNRD%riKiQ&uviHNQ0$dnYug~dgq z^0!n(L_|R6D_IM z!_Cbt1H|=f#)4z_+1W#xacj!>H*b#EqdU90{^aD`fAHW#P0iEbkPuEz&U6qXJ(U!b z1r8v?ry7+3V~v7ijUUqaH(qLKkGM@)CvUDZkSbXytJ_{GkF0jry*P0UWF@R0D@^oi}Wt+x{J z^=iHncDXB|%wt^##8rSWg=14ixw(7i4)CdupFbbG@tztdli}y@ckk_X-_g^44>K~w z-FJKefPH@VVl z#uF6|3Duvlz%O%0=rj0^ysxamfC00TMtj(Ib#-w9vgf;J0BJ{Z%~ zgPR|q+gKMxtc}kbHc7ho1(ziloFv_dU=j||6g>|uZG>JAmM1Fypk-v@pEr#`n=I{n zUlP~f;Pd}5u%_(0Yj>TS1)snO{TWZ_@8FS}B`QHBi`V3NXHtsw8n(QY{-|_U~sdbGE+<9~To{6~%eg8BlZ_(Cm zhRv#m7k|Dd7Tj*{S7txNz;kf|I9q#r`%i%E9+*k$vWZP`LfgNuh)78@0mW+g2(>9o zT3A>h>7A^5v%bErpsYM5z7QQ9ops+;6d$&~lpo{k)Bi^aIcusbaeOZF=FH?^M(hA} zH%U#&34sx@>G`o-mBnN>S#GD6BoGP+*=W@u!F{pgO>!MQJp~n&_6d>4tiKwt9bH{{ zG&_J3E-f!#86L!~aNuqJe(J3#J5j(h!lp2V`zQ8(6A2?u2M%K0_A$4oU7E-gl$1n# z7*Ih$c;`4ACogZSO<8Pwd{~P`dTwr~`^K*c?0m)uA$}|mG`_5dY&(2XV!*wg2a2$L zfnr)7_XbLGNBz_m!{|G_{`)1iEbYP8DD2Ll^zDp}7!9ejC(EKmI@+%kjI^c6A?(Zr z0crC?_c#I;9ONBSL83F{JZ|++>hyM~@5|L+LV&I$m%H;VR2V_8$c@q9QbvvkV%xZ!6dwlbTZU%!3@ z1NQQWD6?m6po{~!qUUuAGeAJE?mEIux$iBh`W>vz6HQ$O!nB01UmN?=pV`gUN&(un zKEzPFa*`1vZ2<_V$Hp*GQ2MiQAPN0b=YU$TjHBN*!Yn?uB8zU5QB-V7I}4F~ei}E< z53*%`KMN<{oG8oI#O;isY?jsq>wNT@^JNKK?Tqb^x|ao3O8w^l+t__h^C!HL9UXHjHLbH3Lldw6&XaQ>kaJlPiJA5S53{>Aisfd&ddnNiB` zTX(nGUEVkCx|&joK0aa~QJC-GfHgZZ6g>DMlA)=_eEZHFG_LmN&mfR(UYYoe?p z9S4`XQza9?t^*27Oia9i8p|lbv~G;#l}tDQ3KtBt4!|){(3bq>&6{}YgHD;**<}tB z0>I#jh>0yg5>s<=iauZF3*05eV7E}oL)n^^WfQ=8e*zgn0s`bsK6gXjq%hDNo;oIC z6eozsqkTeq_p;jWBujG=l%>dENaVqJ*Nl;Y_u|AQ(fS$R1&w%(cb@G^pl*(oU?3<3 zc*e7Ha0mvRACpkb(nbMv4a>}Y0Mc4{Jw3hD7Y4mjrut=zVPRpVRvlj@nu1mt3SX$I znvWNouNsHLE^D@^rbY{1qf^@OK}pWB9q@Wi4PpluBTUypFH*p^=ZA1w+A#@VO+7f~ zj4E6gCmx3bFqe|4JKI8dIuZJF(!UdZ*I4=IiW#*G{(fOp?O_*J!SENdEG$Zq8ygqb zR1|Rd7}R27dTg3NCib@fJ~@+wo_{saL1*W40NWj%ow?pz86^^7O`G^WM-8W4UfGRZ z=vQ8nHMvb^@b1Ek!nv?}Jp*0NFS>06L0tlnkFYBk_S{e~GyA!E{-!64iIOr1%s)E| z1Bmwp2HO^|vk{^{jDoPf6Mf~6a7~}u$;!^&AARjiMNO>;u-8>0wdV5TY!ih@h={l) zeYPnGvJ~}z0BH`5l(&0RI~Nz$$4!q%GR72tYrP`poNZ*jd?l4pSED0^%NoBvdG1Fa zr35krz}_u!r-vTs(I}7^45U9J1iSwyKfl>QL|0F*8Tj~kr7N4SudnCB;o<4E40dZ8 zxs8%mWUD%p#@|VqlP%p^nGUU*1b77ljpTj6YOMj;>`oDnD=ih!(b3_jkIH`yj~2A; z<@epQ0>nU0RaG^vE---QY2B~W0s7-NYp;2faywrTm4@jWe4BkYCfH7If=sJeIrBsP za7J`?bg=O8#Q;rsK~=kl#{4w zAWrz?`qS)% zGc*&!_!+iyJz6NQ$|6tE{w;c5zsoOKZ(hDDD1dc<yWx3`u;fm1IU4M&Iwv!XNL1Y}&+@dFh zj(+XT;e~kTB&g+_4QhowZpyhN+cm6ihtC4}TZ{=H1N6@MLtY?9{s!s>5*}}>hipMb zic#Ec>FX*-xd$O!KdjbVrOSyR1VSxX`Le|84d0R72GK2sjk3TDlLQ~OXinX%evn1% z^A-KgPl$0Iqsa>`cNF%fk@DFwLrxuuD9Os!TSq}FtiW8@14UkCZS5y5Z5XZ(#~=D< z3Vcw}9C!YEE@NcueTXz3Qi0%O^Yy{GIQK;meteyHYQ5h z5iUP99F0C9ebPa>-!$M^ej`V=nMTjKuOLqN>_z^Bsh_oRL2R43)?uHc{|C5w*hO0h z-h|_U=5gp);B_==p7M?fO6ADocK^^HDP5?BmzT&aG`2`y=>5kKy$}%w&}B%;p?eMa=SV=glslx}^I5t4;U6J97V}clSTE z>Hee5_kZvG{rAuRzxNLRE6-=2fTo9kxVXHBwa-H53Zv&4T|I DfmSh( literal 0 HcmV?d00001 diff --git a/doc/img/FreeDVDemod_plugin_1.xcf b/doc/img/FreeDVDemod_plugin_1.xcf new file mode 100644 index 0000000000000000000000000000000000000000..5c3d2bbbff92d2c5a019a2547e68ad500a84d207 GIT binary patch literal 27063 zcmeHQ349bq*00IrKoUf7S(jrm4rIiEA!jGyaEYRLaAW`z23bGIosn<|L4noHsH=m@ zA(sjw8Bsnt0)iSy1|fk&S0IWm;3}+&izgV4+{aA!_kVq*Ck9BOuzuf1|9I0?uj;*e zRrOx=tJl>b!!~VZ@Z6O2;B;G78e>f7!H*q4R~LXzho9>K9d3V~F#=q_1NZ_6|9d%2 z^}3<{UATA309$j)jA_%-re`D1sIcqsS!qexX{o`F*s?Q&@49ESg-WgytJtpsN_p^w6GS?9_RORd+w_d!aHTCE=e2~CappPGZP~%L=_#3Mvx$C2diw0NY*j#_ z{y9p*c$3mN$d~R*#{8dVEa*K<$rQ$J_?WRjMl%+Yz}PL{G8XzeW0AlOTEbYmK_bee z$)xKB08J6ifNwAtj2rWzA6)(bnnnT8K~7V>YveR;td}O;QIihTq=Pi+PMUOQq`_DB z58NRy3(%BlRj7xIC%H(4h)&Xr?6kSr=B%W7X|sS5`%u}BaKQ$2#emUFpD`Vo@k!HX zD>?IQ+x)cP@K{9wq&gy28sV9?xoKH6IZ4yAZAn?Sq}jpq#L3D^nw~KSdKhd-n{J*X zGE7dIon}t8%}Ptjw#}FxoRvKbbj=m9Nr%D)#(FCx>Cl67W@p>d=LKh_rDr3XJY%kT z+Kkk+;M953lcw2HWR$2ks%V)u$Og3&1*5LZmp)%kDAS7R)9?4j=|87@sL++^Met?( zIl))pdCaw5Xr6PQ6L?HG6=;$kV10Ox=cJa#!+*#0V$GU!y!%|0hZj5|q9b!RfD4rc zG96FNXi&bahW9l5ROMExLj)cIdH5e>cAiR)rZq+GuINK(8FC_ksHDuV%nwbW4GBFv*Jm5#QG)NE} zIC!A+z5NOSBsd6Rc$dttwuD+MlbcgSMhD7rbPenVfiJdqu{RbAf*osWqg{K~GyXc7 zogW~nRMHa0eVy<;dZ|=smaV-`IPqic(Z6AY!J=ieuMB|NMmuVL;1 zLaCbV$UGIt)LgSdJ*#=@vCyDy_kATiqSk@1YiKU_chsP2e>bnJqWaMMCV86oTFTR? z@WsOKvHqyf9a?_^;~!3&1*@MN#*r#tp=FAi60eq)DS8yjSHK`Cp^6L}448=J`BlIc zK%sYezYW1{B8SxMiPgPT*Qv{&+wfC2-{cf+>M(7yxr7 zUWz|Nj}PDAs9-`~q34Ef5!&hrXz95@^0mvojr#4lx60NByO`*RSS}`9b$EYm_x9Qq z7%sY$>BOV;+6@v)!ChNGdGf15o$$0o=)f9Z%yq6MXU~aru2W72u!gm{ugDpHR=>u% zR;h-ZGnXkjKUSCPd{NE%vf5R-xlEJypt6p+mHKddUU3FE`L)7B^E+?0dcq*QA; zsYng~(AngsL+&abUNm{n9pFWKvyh$#eZLen=MOu7?Rw!ypyjohJu2l*Vp`myVF0E(V6`tu0c>tK*4W-`Y zU9lQ>MbM!uOr`6afFi&lgoRdN9}m;*2Jg08UjRDW?GC_wfK5L&^F{(hCj7e8x>8? z2`XY>(msV$$Ydt%(@9B{F-(wzhUb@RphEAh;W3`m5FLFxcdw--^aHvjcA< z5iKVt=%Xfml=TwpW!qih$Y5G$uhfI425n){Z_yR*1bhzw9t?Q+;z`ijNN?nC$rX+a zkBA-=4OciOVo+3g1QOv1@4##zRZ&_CSDis zw!~Y=kF+388E^5k@FNkNqg=fuKK`DB(H0%jdP_&{qvYb+u@DabMvDR}lEW3Y zgu0Lu0j_I8K|x`mt6&G!a-kaqE{AqEw2o5Z!oDR~|05&%JpkYL2fqfKc+Cv27Si(cg;x;H{u)VmFQI*}=3ycu7TK z7hw?At66Mm{6f|Vi7LX6@qZR{g6E55m9>m=S1%7xtAXws^EzRH}n)S8>dx749xLli{2ZE#E;{qQI=w0B`CXo3U!AApn zBlxrlBtD4a^|A+3(7KnP-pDkH?cITwjO22~t`)xBF*L=9kuXb+9uAw7c4^W^B|XfZ z!|Vcno9eZhrl+M3Yl5~;Bh~LC%GlEdJ}R_z8mYd_#|Sb8G61Db>OywkmjJw8^e80I2V6pQJ+I(~CCZT5D_eA+xA z;G?n4-bRVfl`$r1h9&d$Hfzz=Nfgm~$l99HVpnCnC@!T{Yip|4CYl@CF_l`|q;yW; zHwdM+eJZuKNoko+o2L@As%=tQ;&WxD(!dn+Rqa`^ZnE{9z>}A>HaydeoOp#{PvGq?&AMjhUuwg|bKVcNT0i6GUzQp*yxMORD95}iJjFVjm>Lc3it;pIc99k3A4th_(s zN&OLX8lVSM;1xb%OXjWs0{|T~-wqhZ*udLB8wQ92SO8N1GpVf>w<|&0SZ8Ob7wDaE zX)XzY2#|tS0IddEca%n=gt;0_$%bhAafbRJHBV=(SC#pc@k*}Ve(=D7gHjG69fW9Q z?Y;Xke_{;x59hztB!rSrhqvOGwJ&S9e~b(IqK=j%lx}hhXoOTQaCEx>{N?aOzpPqMnpeT30XXHGIf274Qh4S$Wi1 ztVCbpTapg}UjWJhIN}u33GhdNnX%YjphNU~P)|UAz!1Plz$9wxm%3)1%iz{&pmnEC z;ntCp0Jrc;yLNa$_Yrz(ptY_U{3N0+1FZ_6ZPg)L*~bFCfgYPZ@U(_&r`~S7Os*Z` z?=84uzX;da+tvGJu375_4{n`CzdGY&vgBj^2VA=wTj`o<^^4Lu@RLB>N?mEvFVZ!l zr764dSN3FkFh%WDJyf((a_wHe?An9nrxmWA4rk}YkM4B1cd{hSrY|N(DPO={DW4Df zW=7D`0*?K)at*#iN~uC)FFrVxu{3lv9ihf_`tlH$Qa%{__uEltB>c&E96TI{Lk@{p z#HWm*S~;wg9$nvdds$9YCBSsAj@vt%UKm2*ceS?UOJxR)-8;<|7i<4VWP4$n$s z8`1#|_ZzjP4!xsp%gOqNpY}cD&^hY2e$!C@?KX$M$jgWG9Da@q6uAdD8b8ZFzW{a0 z3+wWiJ^SkSM;(s0>vt|)w5@KZ!|!MH{TTTwbH6Suas8ji>AswV4+4L62J$Onb@oeJjbaiLlrH*yNVuxe#vSkgcUlW#i zwX*3Aj>{}>B008`2Iu991<%&FFk%f2tDmdgLw(s;tnR0(w^;Sox<#MW)_t>Sl2vD|U;KGp?eV2nf04dP z^Rlb~*2euWoxUG+%GXxEl<~l0UzS>}FVw6~Pg+*J+UobS`u^7H%<@TP3$514H7{Cy zto6yM*2(8zM#}TV<7)k8nwN!!t2e%7eeRIeYUlq8)!cSriZxK?-c0kdP+mRp)I-+$ z%d@Ntt05X+cusfDJ#%4=HAq9E(L5sYEbvt8s?RoTu|6gwS*^*LnRT;rgk-N)G!rV4 zl&z-0$u7VDfr?y=SVP^c2ddUnUly$Q>WdehuhsovLn>;WI{BP+WnFIOqQA3iC#RX_U1-*uG{akGG#V8f z4h>VZEgZV{iKKu1`;q?j>reXEzi-%(p?!un4hieqpY*R^7-tAExVf-?qW%pr+-&d+ zk#ue-rH5WB9bj-jaDKT#Z>Y;WSY2~`vC*J2)Z0F+sXjE#;4jiQ>EBR8fT3~cBj1Lg zPI*qnBhmfFAKq>-%&wZxnWtCGH~9UmzQ3V5<_F`hbc3OPQl5!c zwSF`G8;XXj7p*d+7a0r_coV82z&^kbD06S7e?w7T-S^~hL&*0-4e1pSjbC?KciMeh zdZi&qL!!|?BJm`z5nG3j+;?(3qH-I}?O3uU0gAB$Di!PlGe!hmd|}>=?0z z>N{^a=b*kME%54#7oD%cJ-99cwN4#RH_WcF#~Mc$d>>($TmST6^F!ySU5wDPbT68z zdVY_=Fy(`)+T$-oQ2Xg0)l_`&fI2zN^zTBm-lQ4cI^(TT&_7k%MEwiEVRg2h!$EM) z!vs^HNx666YGZF3arf}($VjfYT;|VJ4!Y-&+=5*jpRo=b7|HdL@qV29j>O_pU8$}_ zSK7GfZgUvdQ!eu58t$0&{=VY9y1liu%|DlFLH+AQncgySWc%>oQ`{?xX|@p=`JGJxg-DW z;=9ZPiDWV-l6cnSQ|<3;TADlacNLZ{TeH_yKqT9?zIX3HGw08FB#L*JQ{BHmr=d7C zqM&fkq%iZ;BGiB9&8>OGQ^L$q=z&a9x{xXtt={H-1Y# z)!($ywPLVY>4}c3&Yr-HuS$(s^6wZgnm;Wl+PPRDL0IpwbJN0~KAs9H^)zb0BQ>mx`0+hkgD?DKU4OnM3F**)3hW2&EgG;F}WhZSUHS<#XG6HLpW zkL2d%smQ-1nvzcsbUwLm_cWJ;3^Ub!d*`wh_gd_m)mr}?Ya0KfIpLq-<^whbS(SYB z$j5&+nVvoN`D&9dHGC`m^rW8~FO(9iTx0TC8AdhyOn3!iTB&PaE~#JAHBDSvpR@c) ze$f8!AI3?_Ff!gWN!PyIuztgoVFZ`f=l%~3V>it(cGI;p*RPvq7`wGPrwpTN*wLiZ zA*RLwBVMjB=}eXP960((LX&}a5PF*$XXQ^3%MQ#mO*~;z?Yx5!Y&sKOVKSMk%tOrI z+@{*PTELx&5ypKMuiCp>V6F{Ii8>Xh+C3F`zzPBsWt)USe?YrKvx=@c4+u7Z@UKS@TiS zmNg$Jxvb@}5(_UhTw)=02r|oq=AFJeZY`?W6&g3$Ap0`jg1us2lXMf>bo1rhYb$JA z5a*5+#t40g7!F75cYoW}40r00qQR#|aNN$d9QV)(E@u6Y#~i{KQy*$o&z;&ogIm8$ zEqxeq`E^RE5BKBP?_;>ce@83Cd2e#un5JUSy8238p1t|Gvlzs zKJ%=L%g^PwM^A8Dws73orV5@%Kf`AF%w2VNt}WtXicfHl{%~jX8=CSDmqW(0WlKjM z{$$^huesQ~@~@wC$4kODjhsAyE!{$3;j6LpwR6ozTORvX-n>Se^&u+n(N=I*NBin% zlO7`Mb)|G$TulUy594m-5D@C-72f2H-1R?;Z35YqJ5Pbl_dfdQ@1>=3TodRn+*t~0 zmb^DBeeYg1rip^<L_yM3P;)6{dG%ztwM+K6Vg*n;EB6aUOYEK=IJy7s)E zZQJKkWmJserdHpHh~#MmH^on{-+zBsQ*cwy)jxT%?9|7ZFlUb@ zz*$ek8@ACjel-`=jR!|m(e z&ClPV+o8*^(gr;t@UbU|Rul9TY5#jW(Vlo1v~C1Hx9PU=Z{ep(8~y~@cv@XOUp@vF znjomy@wAH3+{}vM)Hq_HZ*F~4_oi-ZwI&#f2=%hBM#el*c^Bsu4wZ)c=`X%IoQC0U zjKsEgi_aEaUbS~nH0Jfw6^Bzeub`-?CKrl`N;=eHI8@ZT7Gj~mcWo&U3jFmyi-PiI zXudWC3RCz4;-5L}H7x&E&Eu+haMC-1tL7oTA-HNDSDzkNpB~CPw2MAH;9!M|ryIH$ z>u{Ea8tgdcCy~2WX~F@SotGI3sC-&jinZ-H%^F zKA7m2SUti1@q!5xCQo_eZ;3vMjSmvmH?eZU6aPB9{Gn;Po+{WoDN!flZ3(^!mGi#b z{mIJxtBc=%=J<>RU4or`XESn{_uV&f=EDm$-gt$Bs=i za%mPz&Sw+1t_Q{9%%hKg_1fll6D<}Lq{he3|L(J&KK?_meT!!OYyRn#g?abI$ES(~ z6X*VQ!9TVQ+q-zx!G$NEJiHix!3PB?V|>R{&iJ(W@bd@OZ~f%aFCQAC8`GF#F18+}CnVKOm=lk3EqC^&x z#7RF&zZ#Ko*f_nKu}pmYn>iYQzY(h~&j3DSEFcN+5a4mZQoyT#?SQ?2PXJ#7&VybD z=mNM25Kf$$eP1A4_PqBu375Y;?{6vuuTNh)$B_!P=2Sbr(qVQ$=kaM-@_(i>I%zh( z^O61@Al;R-?KwzykntF9{1Nh|I{3H;AJ$73X)UFx4un*+mHzyof<}_A%r8gfD8a+waozF8$KJpO|tz308wrDM#<&?JO@}p Uyr2OzD=h;??1E)P)5;P3A75xZh5!Hn literal 0 HcmV?d00001 diff --git a/doc/img/FreeDVDemod_plugin_2.png b/doc/img/FreeDVDemod_plugin_2.png new file mode 100644 index 0000000000000000000000000000000000000000..285a43ca5191fce9adde83fc17216b9e065fc419 GIT binary patch literal 6109 zcma)A2RK}7w{{2;H6aL5(xfS)cjF|8PDGa=$`DCFXzAax%Zy`|DSvJ^Nc+fd+%?r^}g?VzxC?At{Nj97aau!1*3+#$^#0D zKZxLa@M#+GvrUz?5u9jjwA56<85~E-wMcL} zNJU9^ic-q-{w)yVaWpjbR(5xFg}HfyUnwY*Jz>_~FuO~>j^0l$scGokw-biZQc!T* z(NMW#=r_4B6Zoj-kleP@c1u66tV3J0>AG!qXNzyeT30C>ZYSjt!U7*T>iWa!iH>k* zYlQ0)DVIH%u(%b6@=YcBvYHj=fF0*zSlP8G^K(83q{OlS&$$8F=d=ih_>@}^mMfn1jO&quAa(whjH5!4&e%|MSuDZe~4c>Hq@7SvsvM;%dp zSPq2%!!a=@XUb;&_-R6bVq=?u51amY7Znpx#+1j{b8-EAPALStz;emOts@E|c;6bb zd$S+<`wgn_*@iNPA?!1so||~UnL6d~u4#<(P?w3%ukq!_CSPFKp0t|da}P41^4mS5 z4rNxtzwFqX|86#-4py9auj5QUI1(0z>TSV*iAT`HFxg3Lyi8Nxq zl{L0rx`HJ`l}G}|SGmzsg_98qot1sFA3_jwA;%~CvF=S(0{O3|2Mmlp=F!HZ41|8) zV*-!2-q!RZNhS}{^x{o)nhRSl?m`0Bw^of8&lyM9DZclFGJkd ziA}4>g6p1YCZ2P1Pgmrj7y*nLd_?8Deoo*)Vxbeyg|c(_+YQ&;WsusEu{NR?c5AoO zxDDxl-z0s~a(mXl{o5(lhm9@bU^%00TTR*8rYIiWYoSGqe#2pN==&Asq=cWp@tK%o zdsE@Sj?i{Kc9DE)Nzxy3q5Gz2*X=ry`1NyVbqNUjX~RrN81 zCzZq~wH1;_KmSwqKPy~b;dIG&qiIV7c88>_^4(aD%j&-%$h}O!6SBH@ z_g|DYyyMA;h!3P`_#zhn?ufbc=Lti;JEv_xP;MV*ouSn~SY#^XvzR2sjC;4?ulJ@4 z`4_K*ZsO&mAT>KhG`QDKCpiSJ`0@*1CSRt5mx-a%MWFY6&c@b?m{^yTq8iTDT11Y3 zYW+*jx-UFt~5LzT_;k&y)Zo@v8~sqqSj zn5Nls^TJV1w~kxy3$=R4nB1Oc4-Qn=klc^yh!0PQ5#ndmQmdL@N4#3D;H)FKgsmRI z#yC1WhR{kC)5r7}`(v3W9WQ+eGhImbyc#QAr5{WS9?VH zqNhIZrE@khHGls$bHcuIzy%s6?g$J<>0V~6MeQ@d?>m;3maD=+OP%@{L?8_#mx`O4 za40Ra6yx5(fqnbi%NL^VJuoof6t`#KfLIFd%Z(O2Oe!rExo!C6&l=CAWLdB4PoF+z ze`p&tW`#^6oe<-alGJo{nQU9%%n#=o$jHc?WoN%v@4sJKR%T5)J}NY;_z`QVn;J)s z@+yxWubszFDEXd)6GFmcj-<^RsRuO+VVJ5HdbsWVQ+ykD-?0o9nu$+VIjfBoTht&< zbb=|9NVkR%&V+7bgx~y6of+%qyC_x5%FHR z-x|YbwN}L~YIBO7UGVYVj~Ot*VY;Cq+UVL^k#>rAhA=mzGprTm-ADtH&oM%B-<8AEeza*X~FJ{_>_U zuW~Y)+h0zHM>jXC3=R%{G0bBb7#QeIk?y(7+ zC;dFA^1FBMv@ZsW+jloiOuSD`Ud1+{BHnp@+2f0pF&o3{x1yj+s zcXTuk4aH?3ereFZQIM%u3ZG65CzUZD56fqsW6B6JQfkPTF_k)l?^62O7a0|mZPW7l z`_huN1eb=EmhJx9NOy)3Ur@0{t(fO`^$NAqddVWeD=SZ~>!rUbDS?cYSV=Q#X=z=( zem!iW!XYjt1+F)AFzH+(`nZAOwn=gKdvS+kF&N#dY8}Qs4$a^y8~-6@DI?NX;Y5ZN zrDL}dyRfC_{q(6d;Hsa${{wWu^J2^T&fYZn_s~E&Gc&WCZw!3UR37Rky4~%%52-jY+D%^Xs9rxUjl&gWfA6KVPWsyzjrjxp#VG*s&38I z%dj8#tSV?;88JQm2qgHuh&3feSE7*ZF=40hQ4LFIW5f53IFPE^+$HDl?XeQBljnm7 z1V7-GzWVPw#bVuzip(YNMRRL_r;2h#si>;%^dU)m#X0i@C1{(T50bydpkEFjqeQv{ zg{JF4kFxr!WHz4#`tPq9^`}1| z?GI^bJYfBorKA$a$4#5C*jF(zknMCy=TQ;oQ9&CU8{Xa*Qh`)l#71dykS|8yp>>AC zi!ckm%{Rtd_QRmR<%_HQIJ{FgvFh3jVw?S@mAZ zl?!me2IQk9{W_p=NN6ahm|etb>P$q$Y04ysC=sz`J{vGdVx5Gz=rz@xa$%72b{>yH z`Vcu79VEZ6v}CsYIzGdC-D7R|);I6fyU(6U2@4Brr^>|6%{^{vY6?0w&;~bMovoLj zn3(V_gaj282?2Su>x{pZD(CYW8_xXDWv(wn3G?TlW+CnE>VTm=OvIfwKCsTnmXU#} zsi}M?hElr@mPEl@b%hr7{s{w_YPdrJ7K@ej-Q)&1$^!WAPL++Dt%vXJk6CfZ;*I$b zgv)9%ye&9f#@a}MIQ+mxHv<}|r+7`e1e}+p8?*DkF z?&qM^&n;XA5HKKxssRBCao2TjR~^ZF{m{1WO?C9QtgzRy@A=RI$RoI{wqnZp3vosZ z7fOGl%d!hC!5MXrw3k8lSZF@uG+OxFYEkz2?lpPe&8$12G=~z=&o(B@T&JX%coj}J zbS4O_PN5*Wy1Mo_@^M_B*Oik~XO>w=(@#2WR~xhOinU2YNxB@wz4eiTwuP2Roy&;% zChA!vInc$`RcC7ggH$>=IH)^0n99j)-nu3&odgo`Ha`AEczByKJ={Y5-jK7#5H}A` z4*(nJ?4mp)R<+MI+ zi2u(g_I>F+OI=BkRd-DD9`FzC2R?{3TU6_*DSqDeMN|*s5Yqw7|p;$TEX1K z3ZA@$`_w_195L^_n2B&3TiY{?jM)x-=?Z(@;^X7v+r%vl$4Yr+RTXTq($O0~9{X#5 z9XB&-Q{!3bI1~oT`*g114;5b3^|6v0ii-7rx{m?;Y{}qtg{{!tLwZUUEBm*N^3QT{ zb&i+Wo;iJbE;j@5SwHh0iYF!|<>Kt@tjlcO5gN13hdjQct^zqb_!|z#vZU65T+Y{?YJn8zDXGM-{ZY)D@SW-usSU%e2gXe%tdu-GHRt}6gFCE9bFic7lQg$%bYNn5Wo2a@YfIo;?!CFt2$ra` zOhw-9g7{85kbxO{f8cv`bad|g`DOq^x|5(yV<1p~IMkM29v(M$AAHV5D55n^z9kCL=d5ha<8z69@~DknvJ$DtZp#x^-)mriO+!VS7Gj8SFR2E!yTr z6ZeZa$Td&pKFI=OA!u2b{PE+Zwb3H_)Vh+bwZ%oOLq?#n6D9*h4N6veh)YwIj@W9~ zsctuIn4R5uxuJz0;sxB?+{to2w*dfQWYP)$PpltZ0J#nV_Y!ApIl%7nMTXzOzo9j+ z5JOTcIyg9x4p5jG6OM|3C*OfeWCH{o1_X8G=%j2P9OP)kT?g9JpQ*-N{HW%xy?xHa zA*yI7G20?>@T<8k!-Z1O@&49&Mrc(4Vcu}34@H^_WnP)7m5z>%rcfXe7Jse`gaS|f zUU8Sy9(%RWqBgOiq2Wp!d}l!wee~nvy(lhNb*=t){j=QM-M}{Xggw{C0%Y6EH85Vf zawP;Pn60z37GNvz)l>y0CBi>_iHBRc;JTcXpC#yD`FYvS(P?REtJ>T{Q5LVK!~{*E z0S3f?`PWUA(f_!!U7DSn8<&`P&)nQRxcCU{zoz%TA%Fqqh6W^q7LfSZ>sv_6@x3m) zF47NqR~QUd=DTHi_wHS7tfGQKclF(|qK9p2e1Ue)QfZla?ZD>d6fG^sS1H1brlzLN zLh~!ob{+u80B5Z0;UUtR_``jjqg?idmI>tC2>)r$&nlF@ zIa%%6RpmUkGE!g?3?qS^0(ztLB5hYRx9p&I)ax3rWihvDnO}!ndj9@$0G*;!<$4+# zmq8YRZ%eMLQ+S3S|IINv?pPeC=g__=kfqkxt7;eIyq}bnob|H}bP~BBgCr@4ii!gB zxs_fby?aShXGk{%<80xocY2CGB?mh=54(X! zAsLG-zh+k*FE@UHGb>j>`47#2(HHV00F5^T);V^o<~erGUbjZm-`eQ!*FW3faz`KKjQ-kH?`&`X_ktA?&;;sl z=b|Gb)Hx6Dn;(=g-2cP}6J7RY^+KSGw4LksERDsBV%8)~My~LWZW@LhZ3b5T_rTFU z!BiSua^nSc;`ECnf2SW{Y?83O{ZiMOUmmK(0O#vFZo_)6x4tZJE;k}U51_GBC`v8~Y0hzVA9chW=o>;<=RycH~C1sb=;N zNa_U#9~_qAf~35bey+%h1p0d`N{$;RZY|fKud?~=99z;yxi2t?xgQwST0;&mi!vD} zZ4*G}aQo~QaPC~Jn661b>pzcf|K&jTUk3ka^!xAU!GFL0|26vkzlO|z-SqF1=f6Mc if6SBrnq0?IlbLf{l3)hd;N;&^b`4csmEyaPL;eFoxF%fy literal 0 HcmV?d00001 diff --git a/doc/img/FreeDVDemod_plugin_2.xcf b/doc/img/FreeDVDemod_plugin_2.xcf new file mode 100644 index 0000000000000000000000000000000000000000..165931aca7a48778facd4b73ebc7661d61e03efc GIT binary patch literal 22880 zcmeHP3wRVowyw$KMG_()pnxj_BA+ybcO{VkVNnqfTs~cpfNl&SKr{p-i4yTT!>+C_ zVHXz-FM-TO2s=1Rh_CjRo$7{>2v4X7N*X!%}UQmV~kmRxGe_gY7bZ}xLpr~kA8tM0OxqLb*>h}nkDEA&p0ra~)90ql zPK%knAblp$z-?i-Wo74Pq}j6OWu(vS6LH(D*{Sm~<}I+@rUWqyX3t2m4eUQqxf+QK z(jN7J=!`~0_2;$=M@V#G*8G&z^vv0|L3&vr<~ByuXfZjN>DjjQ%+xt)StLJi)~u|w zY(qhkKA)sg{6(o9w9B%WvCv$`qE?|x?qRG;F=IEQ@p_$MtWP(_225jYa)QeIz;~L^ z4G5`gsO5ugLv09H5Z!Qv0@Qsn5U$0EuZD&qS<<)Dm~GPv*u+Yaeqo?mY#~q zN`ErVHYi^2c$G(?sx3P|ePLP#bxKNRc6v%idPVRw9J?sCBqph zS!ppd(-)+rW~a}~v}I&3fLucZG-kKVRA5if9R@i69HU$9O~gfh=s=X#wDDQ5xGQz6V<;9O}WgW0*&1qIBM z7Qt*`bvnP5N$Z?pPH8=i&FVzz<@!{cdbY-+y4D>~g8?#93ZQqjBui8WIhC6SrZ7Ac6;>Di}9#`Jgk7Q-%qJK-*&rr$p^DB&wN;fsvH4TN{Y9EiHmtFl zrWTQk<<6LTjHQOAp2%N4p0)r3K6&6!vf?W=Ls3!6)z}Obtv|#B)=!NaDr5MCO~8zd z#VyJKEcJgL_CI*O1K1B72i%wtF(ZcG2%N)2iy6I58Bohu1nL@rnL463fY~yVBR@1k z)NtTVfXewb@E|Y~$e^<9SbAF>QJ=68PKzI`&IF9>rf@s6lbj4W6Ra|1nuIDRjdCP7 zEKG9936RzTvd3aaJm{)r4r^f;&+O5~%pUnMi+1)kAr@6@4t-TQ8FB_7BR2TTty(P&h1RzWSf8aCJMgy=e><{AjUxFb?ZMw(%(gT_R^=~`F*I&W zs=4`_p<7)709{W5Hj3a%1YldrrMo(`JOtZ@*ob(g)`gQO3v+d&o-28} zm*lw)xq3WTW7jjVf4u(|>T-Lo(X-K9bm`rRr89bwO44c3d6cgA_=1^OSSMK}$*nkj z!A$bW!LlfMnH8fZnAQ5Ey4oMTy@NcepIb&gw!%l#nW-2?sMvsc%Q|Hk}1Jl#&jgX*!(SUW#&DrE2E7=5S$Mw3%qq zjfHy|H2%#)wFlSqgl6iD1ebX@HB)6@CFn=Dk+ibf=W}BsqhsHc zKQa*|Cuw|kkco7z)54Oo?gRNYqx4JlXorw>U#Xdk%A%_=kCyiC+SOiCBW91b!_7Eb zSPQ%k{MG+?hb1_`S%Z_HH-J4j0m7`@F$REV+vzxfuyZ&@IfnTScL4K%mobUXKwj`* zyTE(x0`Ij8yw@(-z*E38z*68PD(gINHj1+dd8i*Q#$&L`q3}eJhYNX_V0FQ>2pGtN zJZY-o=g(&{ack+?jA-;cMCR5U2n(EKIgrNgXBbQsRnwSUDYb z=8$o(f8J`mBbY_L80h*!#&t1Jn_jQm2!~iKv*Ap1wDgY7Bb6?$66aS~$>X9bbbjUa zDvFL#R5hFww9Z}G@W5>~LNXf@hGOmW_qdx&`;Sd(3*-^-xKhWUd0a-g6f=QNtv#63 z!Ke;f|KY5RaYYRpMKs!Iq^WU3JxUv+t^WCC=RM!xnlf0sB}mcWd=qPG%t6Yi*NDq7 zvM@Fs!|8xWn~YjK%Ir}iFLKgo*Z`CRS$gY@EgHM_s1fyJOB-kZl6}%(8!e_+%G*dr zSr^(T(OZK{+9wUZdiF{BTc6fH;qSJL`VE(9pVaLYm88?Uy-R8T6Mk$kR^aU3S>Rkp zdjlt&`}NLXr&y2=UzUnvD{7d}ei1JWeOSk zBkomlgZ^a_-UL8@c!PM206O%Sp}$*_JfuI0@cAU^9OqzLRlWLz^G3v&)6OPJ{7)0z=9*~!sllRCojU=jO{oA)~ zj5MleeYIksQ3|TqX7i~bsIFQB!cIGm^+T1_G_<+p;Ne?QZCwQ)KXLG8R9#O??@)zb zH%h=>t^wszH$j8Rbg$I3L}k&{*d_>@6#eRsm7Ff~CaC)@c(Gav>;r!Ef8HHqv^(B@ z^;iesja-i+;B(+xpd7%lR?oJO>kA|R_W;v@M}b8^9`GXYGJvt&YZHJm-D?~0H!92Q zk{R*HL#(4y@PpMEh2bOstrdHg&$u`rEcWGLJ!rD`I2y zHf*-k;WNpIksV znl3qrTs<}Afzt>-P)X5OCgd7kYKUWMdQqUck^U*O8(IIF0IKaQ!4W(aPwxm;(_z1! z@;FLMN=nrfR4RpPu1gI=SSV!-|Jh}VUeuX?F!T0V4*h36 zC5(wzG=(<&l)AuWxU%O{F4dJCL}qoRrVJ{JuEt#15cLt=`|MKVW>>b)X;?p|n*pPN zd;Oma(-`YJj z{Pc}vSEAF^j5d7E8}WfFn_XN z0Q3b*+es-|^zr)y{i9|~oH)2?^^dR_9lQ`4TR?(9*RFx|@ii_(Y3Md^06r{-Gc_4! zB9jp}KOgu2V{ze(jRZ#5FgE6H#wO-7b|20)FafhIJdzD*0#;sA;q$nU=5wAWi&oKR z=S8B&;;Ach#`7rd{f{5c?tX{|@gU|&-gWZij)`bRl6j2B&ZwEs^Y-x&ey-?M&SR$> z{xgr{?kQC%vCkcg=N4{ZH6w8f7L??@l`&)?Lde3sU;j~+5+McR>suiuu6+-W#Ikfn zOnkJ2zJ9$GT>}m(D{j`A`^;rMczg*-{qKt;^;w=G<-O%>;l%67rGiLpmAh_L9M6+H zL7wVb&Wk)%Ri5L2iY2K}my*;+FX4__*`6=;?s~|m6(3*pSG6QJ)yjTs1X@AdM$F<4 zDhM9{M?F;eYjceHRo@C0UYFvT zOpSMc^~|_shvO8fn!K<2TY~N=AFoOIJf1V##%sN_nX9e$UMY1_?)Q~nOhwif=C(ey zucESKD(cfzuiM!tT(OPRAzVtu3w0@#4{kw>dMOYqs!DY@-ab}Sb7Z5#k*c*tvvvfb zg4)%N#czts6`=s_$d~GP@2Dfl;amPYqparb$err=vwP3RKR^&|LiGyJl&ESie0o$o z_Evq>nl&c0z189Q{ac{-rzlffRVD77r>SkuKa8K8TKp}s#_jo|uJ@KA9&FYc z%8h*rWt~itM}m`2uUtfJfg0XjGgrxU`}EG!l}-ra)njXxC-N~{c2lMn?tbkDl^3hn z^1}&=#s)VA^FyDUt}cIjoW?w|%wQgNc(p$>b+#dSUc%m~b0@^(d9AScQ$u|eqsEIB@)ymz6Dt9jxQuprL7N-*18Fg1;9VvM|-2zBblTB|oUD`ff zpj&{kPVG8L_D%xbnv6{vsVyhhx(MB&m}dZe(x3xLET>(L^nH#%w*X_w?UJRP$pYP) zj9u%Z%QTAe+vQ7gzCgDCV@-EV{ZqY*jLEJq4eowI)x^(t?|(!P)ag!{*g!1K6z)GJ zXw#cQ93a$A`%=&*GlkM$sJVCleS$W7DWn*oI`fNhf}qV;T5ffZ?HG!=)1%E%8dW@X zXt!mzXPBT&Ou?kh2)gyAn_|6<#T1tILSLF^qNWE27NIF7suP$m97#0IF+cB?q2V2s zgUSXHp^+Vx4CV_*{(V}8MpaZkDjP`T!VO}ymDV!ah`NzUlXx$FgCNQjh6&YKdw1^| zFARBi-+^4Lu_`%Ks2uWLg7EA+!b=;4xZ`(V#a17*5o-ExTrTXK7B}eaCk$%1P`$YF zv!TMCd-p%T;TeMr<{0~S;j`qaquBbP8hR)HP-?w_k2-!`+X;#;Gx_? z!}NMZdGI;La9;2M*McDKPL#)UzUo74Vmp4TYZ`k|Av_V%x%CNC^@N3p~wOSkg zXR~>0Gch%1Z3b&V)@E>%wY4yD&Dthm5MSMMo6*I=>ZI1_+-73B>a9&#O_$*?dIT47 z5iCl7m6f0Kn|SqOqQb@}eMhIaYH`Gc1!BX; zyzsyH&Ag@zES{a+-Y!9EpLB#_pW$i`~|PxRPtM;wW@c`G<2&* zYk7~XAI^!VUjU6hoJ&2h5}g6A_13lCQqAt#*t*PPOPLMJxbzCXr|?Qo(z_u{IAx1? z8}7O9*d2ap7d%zvxew0|p0Jz{9Ij$-9Ihjd{VhGQM~dF6|NNFK~5v_VhwJxYpFr?g1U7xz2iEv z&`g{npD44GNh>f{90jh`=c?|XpqKIMbgUGJrf->W(J!OY_fvGyw^UXoR{wuv^nq98 z=#Qk3j7_=zMa>(A5+!< zg#h@blmOoVCjc*GB7m-d01N}h1Ji&El%ua;vAK zi_QO`-TLL#*Ny5Z8^64u>LF|2AYOE+{fZWuDE{4$jRNR;8n96WS0aGY>C*d?U3Y(4 zhW2Zw6H~GtrDyc@Azk{Hh<3#BHJ?H!i@wm$!P2N8S>mB$G&AdHA|p!I)Q3$PfPJci zv83U^SOA~0(WPrR^%S000M&pSr~?n4C!we$sFj4@5=cUENhl}jM&KsE2J`}M1Ns5| ofq?)9Y0^+27KjI6orU16ED(_ z?eGOnUsmb`e1$(};x*pz1;h5Gh6553);+}ETS)PVMDRm&M`?Kp^abSGclfziLd=el zkRBmPzj&_VGO;=Bni#rt)3!G{ZoK?iqdPE;O7{38Q3ef-ELCyFk8h8k{IXGw{2ui{ z^l$#-0L77zO+yS~tq}}^*cOfie$JKdac^%jhwi6>CglqU9zwl-4znlkcO14)Jf}xJ zXE7VY@Wt*>y})2Fx_^htA79MZ5Am3W@Bi@Nr7hxV{wU~(-}(Q3a0dey@w6{Y_sGzI5-Ro z2;nE4vD&}>Zt;QcWA$&jssod}J_q5B|8ibSGs~T| zE{p`Up$5TnFBqG%{^Tlg}vZoILP9YAbG`e2Gy{LybZ&!p6_@4dE%1)erK5V0q1voxG+q7Pacd&#xgXkn z5|V1CtEdel^L}Tc{pdPy)^#v;orGwjr}ef|E!_5 zZvj{j1)7_w!-bShP1S2%?6Sj!?~+-gH01hPv+?z=rw8&?C&>o*6o@Z)DONoEd~@5f z{NKe=hT=0r92WzIT2V}$bHZF6mn`Hg)xv*lqw@{AXyNaJo;CQc95lJ>9m|ZG^;{uW zm%b;r-ViapAmmy@uMoODxcqjl;)JM_1e^E7Cz-9OMMz9^aZvD_h$>(sn350 zIP#sQP;&_lwh<88uN@Yek_(MC?An!@-@Y}hC!X9zAu7?lfTw+yoaQG|@Z0~Ltp`T# z#oeFRC>GaFhu&@+LdQsn+PJ!Pw`6&vygYl~@-hRNF}~`|2#Qn)Q2aNxoA`Y}fvQ z0&BiQOWpIM({+6Hn<#?`^ZSlg8(D7|+P}%~ULv)*-EPZBOS%!0k-R=)^~b+YNbzrB zAzQ10HB%v_K1~eSWi^6&+IZ>azQ>nyE58M1{x{oASJx{AjwR~k=vCj(@6*oTCX&ae zSU=Utq;qT__0ql-A<1V>nY{7ll0#?!dFBl5F9-P+dGhww#EnOMIuA|3muGxVB+6|3 z_2?^R1Y{2u?+|9#EtA!r(f&!k6*}>>C&A*O(B_%S=ov<~myt-*`#LPD7pO#Bre8R@ zhH~D7OHsJ`OMH4DZHwR1eomxz+V zC>QEe>FQ>ssg-l&I=x)-l#0t1ZK{5YisycGsV&#=_sUdzK*95#Sg`#a99K34q5XDh z#NO1nXGi@-_Wt(V4%YOxuL7H{bH(I*L>0Tc#K0A%Jyp-Z4Lop2v}iz|-f=r=;I5U_a36|GfL@yPei2DO_fInSZ~k$(NM3uk{K7^ zCclM7hQt1_fX(Gx`iZTDxRhzIg3}bsxA**tu4d0t7P8*nS{)^>e|sA(ec|G!$1g08 zitpJmClnz}oT_(J6+O+3KFArZX}=~T;#JP)#q;g-9q5`?!^xd-k)~!)>WOtdL~W<4 z<_3HByhBO0>v2Xr=J{luLtJH~w1Pr(o3GDkQ!3CWd}NkPRb0xRiK>g>w7v=&HRi+J zrmRIeDYH9Fxx&Tvp543rsAUsK?f7mHi^l&h7EUWMEnH+e z@OaZnaJt61;o3m@erjs$iL=W6`T6;rK?}!t*>g zJDwuxW!hhS36Q}xw|{h*YBs)QM);}i+_P%uo!c3FN$@|9=B*vcoH*DEhgp&x%$u$e_sGn~Y*aUh-5%~xgERR2awA{5ug z8&lRfID(dk(SJg50Aqr z^FEXc9}>4^eOE)7NS9nMnngG;-xv_$Kk0xyYy8`TkV zk3Kjgq{*7?ocN7XO%i+r`+t8hOe&mbJ!9Z4(6EV~jpn~47I0*EzcFGoRcY7qikE>Q z*d)bsrv8l14;}BPQo1BVlnlVQm-6zc zb9-%+^o)!j=~FzuiFlrWiH&Xl6LRlqLXTWL7knOUG+eUwH@coJ^UXnL+ci62($Z>=Mzm@VdIhnG*gu?}x@eY}2TWVHb#;Bh zxJSqCw5fi6veS3aC)!tcGNWb4cNcaA4PRvcQ1~RT5#udy|3Q;G*50hjpV=WBDJY)LdFwn3?`u7JvlL1?v6u3K|x_RA7Y4R z)9u|~>N-1~v>Dhq^7oJ2j!SnXwVANwL4r?leSNK6?bu=D)te%^L$+PDk}RGxyx;Or zx6tFv{`_cz-n|448%Jin(gVli&2gc##R%5vTs5W=lfGVF%axt*?wWe1{ zUYxXPFuJ}xGcD7Em+PCVa?oh-@~q#lvRSXC7#|-$y|}1x#KOUOe=SNy<@4nAPo%IF zRk;+AB)H$zT)POg{-bqXz~gw_q?hw@b92+f3U{AG)JsS~XwU!PxGOdF5rzBaQ(Q`s z&rN!IuodA3B2iLOB5hZ1u(pPgWARO}o}Qla*;H{Q^uY2X&2{i7gkILU9hnYg5zJL` zHuv@6eL%w@+1;v`y-P&2ip~9I^e2J?DKFS2eNRs(7V+R4{-sLI$r-V_>Z|J?6(8T( z{*@Z>WAQ-jrmilm^nrG`?kyGtb!d&Xt_O%~JyYw7WN2u}ZZ*M$bbYZS`t92{^*T51 zg8FktQeNxDs5d(E(OTB?5egzt25RZ(=r}yj9Zkl@EA6P;!YSLVD;!`yIBiX|z;;^9 z<&Wbu2^-=;6g~&c#%&C&Z|<&-)`k}!&#DfTTWN`09lnNzcICgVVLak^5+!3&gZ=?} z`BR5wxpM1iM)!PtF_l|T^~C>}ge|P{#OT0g&oQbdG}Hsf_+rL3%MxCEaI zXyyK7_4^#{XhgYeO3UrgsQT}Be*~PjnYGm5WoUN+smT-wl;)La9;;Ge65QM9o4L7d zC~-%LBHnf8F%)ScFZXYoxnhKL0tjOHFaF7Ysqpt7Lg)D(>x!=b{5kJZd%#9|E_?-`?(R5eYLy7#+bY2oAqK< zJJ+s)+$_f0b*A5FPX0UnLR(zN2IB9w=epCRg_v=mf zZ%ZD7ipi%$*u}~p(}vBJ7zq2VBT>+uC+;FAOcUt~N<43~x9&<;&dz_rm!&dd!OCW2 z^SSun`3o=G?C#d2Q)4}!=}30}IW_a5mwOU)`58X?Dtu-O z%AuAD(<{4wa3Yk6Z9x`N&R!(Rv}6inb-DdVZJwHGXrMic*(&?3^LOvuxs$3ln7pXy6_o9tL@M1l{F*+f<2&+PAddJC}uvi}=Dzw&$jVr(Lyx}5s$Fu(@KTTqgfH2c+Z<-=Qes(8oMR!?b zOfj#d1{EW9&0CV4k1|ALDl&ZMTEpt^?vnk;MG5*PHE-2i5kPE(#ktN-ipfSg!bN-T zUDrM{A3DuEnOfNYs3}cIJo>h^JCXpl-?>#|1KJPz-$nBH!l=ssX!x`>#%&h~nWs@? zd7BF;eZ$`@;oy$iXFuNYp{?-XrsVEBF@1Sq{0`;R{N*d&!owXDuSu`+vEuu})4O^s zB|5c!1{iUluk0GySZ!RR?;Ft<)_gU$l4lj|@3a;4nU^eOk<-3xrKAyhX`8h=*PlgR zTiscvl_wddFex=(S3|WHjDn7oKyK~2*!s&(kwLHXHA@Kg!2Wc61Wo2j|9727Y{!=V z;V~aw6BfD_SCc>PvI232ua$o2Prw6lhiQ!ZNjM0S&HJ64wj ztyB8#f^%bE3Z6ODt}q*>d*{(7=+kuI;Ui23blLP>dB^@|D(+Hk$jmL38~#SHMJ5)bUpe=7(F>*nf&63Q>P zK+=ZaGL$pn-@kvmEJeK$I(VRzgX+ZQyX8RTMQNBJrjERNz%zBk;7E+)kW#QaUYn+4 ze{^}!SK@wRJyB}sxht-&PK2PuN9)5wzf^NxG|g*@xOP?4^T$RX2l%15P;>sjSY8sZrmzpgp_o0v5kQ^q(lHDUuV8DnrrmovKZ+$ss!A0H=$>lBP=t; ziv)TYG&R?&qfxW*V%j7@=T_^A!72w6z(FklFnZx10VxPw9S*WCQTjMlP z?|I>Ipr#=*x-`UkYK~8Kip=q?QQvN3}8>1WEt{oY$>TN}wEg{GVa-B0Lv%m5X4 zGDG$uqBp|l%y+NGdcd6Od2x(@uYj-q{*bx8tg7RJG(@F zhrrf9_RN;}5o^VA$prky#xuRWmH5fc;Vsu%gw&Nc2=3_$w z>9SLI7`dQ6J5lUY1GG;M+2{a!A09e>|Nh-&t8DZRHn!p3zn0Gw!qx4&bNQ1`oBcdk z8#Z4yReH(0u>X1x;Vt|-WYH4ov9Bk;qvRXC@sIJ=lI1NK7fb7bw^usuMOV~z=@h`l z8LanoUpZMH&M9%()BmZE!iteL*ZkOv+@3v?@hMq#YLwdlVCk|KfDzqn|4eSKjAFYoNh z%_~5jz++|ag@=o~{4>G&UpqnDduLr;UHFY&fXpEutM|VoflQ}gGG1vr?^~j!tbF(V z`jFj7Csby>i?_8d1W@>0T=-0S6PqR{6M&Z_#OQj(0WE?XghR@+2uRLFP= z!_HdtC~Us*jiUi6%7GFS1t3Qq2{!d1uQDULh$Q?2*O8A*&BtFR6rjqE51qqhRLj#0 z&?%5gQ|vE%CobT$iGYf#bG!7f5*sa9ulbRvsHkesmJ{R>`M&xfqZb49dh91@Xh=g2 z$MvWwKZ|n%5N>NYW#8`rEa{go8?7riEyvh-EXSUCc+?(jPFhziY;A=Om_l8Mi14eZ za8A1ll}s=325@%@jBH@daSYf%fv!Rf>)X!KWj(;<+O;lEX9W;c0_Yi23Q3T*Pg$xp zlcH)^4VrZ@Nzg=@#UOw{1i(W=Fa2~N%hW})@o0<1m z+K=WX$}=63vE(5gd~@0MaDbj&)A9uhKZQ;@ucmepYt|Z5H9&`Jt%#NqTVw`v2YRpW-3s^R<5tFx16f{ zx;0s$``Wfr(+>@Yh}W7-Hk$bzXr4%=W`lvS(1WEI-D>~9skWypfgiL*L_`)B7x~2f zV`5@T8pP5XCnre(I!s$I#7zR4F=2#!T>Ih9*oGAh;s z!m9eIe><*W;jL|U+27kN`rntP&(=P@OV%kqTkknuZbfQl7Pheus=#vDs7|_k0uQtw z(NS_Toii`dk3#QxsZ)jQBa_zUshIsRJEX9losw> zS5vM(X|`{wOG`89ORl}#??NIIa14H<2!)ETwQc6#}VSBSo_EHF?B-vN;r15RI z>c_dcy%wu3&o;$!3hi$i1bJ|5 zLca-;qSs&#&PTIz6!(xRbTgM)Zf6?5w+Wol4fi=2dQx5#CYZT#Ip=~V6#K~fgaxUlNC0ZS{2qy#XBBH z!*6<@sXQeN>mn5K0@PWMH!FTISs?II*X#aCGPP}6#3;`U2tEc}BnL^JEV}iHa1P>9 zQ&Ttc?JA===dUvVj*%yHT6iYxhaRIyXQOSK5_bD3&C6*yVMmN>nrfK*wxQ_kzt||5 zD>xNf=|~#P)9LwC*vNEJePJ3oX31!suiB$AXfHzDX7Jy3bqg7-zt+_Ob`{-|`Sp9j zFR_{w)~KYKT6TWDt5M-uk&{d(NFP|e+}_&if%3F>bcE0tfamWvBIDU^jy+u=c2Q9w zgbKkp?1)Ro_Y97F6(~IXj;r`@s~xHM_$u4)Wx>1Xu@k+Nk*Qvmym(bhVr_*qdiS^Y`}l z09WAFUL8-K`8FpDyAuh!al!3$h~xRjycM!^bVcBe5-3|JO2V3aI|K7~f>^j6zA}24 z^)JG%HQ2-OiV{$t$BPW4uGb%Ad;^;!(ygsBn)1BXj?vCR$8~$~lF)Dp;v)pR(rJS5$f9iAA z1!3=NA*k0+DLoGfcoIUP4wu->io^t#hYKGQf#~HpJTrHnd_&~e=`6@|s)zRzvY~{8^XTHv{Ha|aqz;RA-6IJ1ZLpNkJFO_Fy z1GMV9q8PZY(-)TGpPf4+pUA~=WQ@&2k5tU(#zh)OBTc#VidFCu)m|@_3Gf9lU*e{4 z_aO)N62~;E)<5+^+U-CML|cjk+z2}OcaQ1x^z@LhFtk)C`^sgC7|@J~nAv6iR~7&S zHA%uT8#s54+f(Cbo`-|0Y=!~dz z4ZSS>Lo2EBzxiD^^(%}Yt+KXRAEB$|XId@@_?(_+ow+u5JZ@XrUhCYCme+7aQ5ly> zS&^#FMN=9#%4qzR9i~7_*Oc}VEog)ny=1MC|5eJUYMfsKv(3Db>V76I;~H`45MQK0 zsQ7)Q{HC87RjlvY7}_!!OEmM;^F}Nfo%5D5a#v!aNYHu7H%Zh#knx7^wEj?G zVsETkxhz?+FQYc;2&_=-~A8`yOhtNfx!F91wX_ zTl^ab{rp_5c0ur*#yWV;6rOdAh z(76IiYQ=61dkhU> z`b?=gzJEc*HEF_jOYW&h=865U7yRoly=uD(Z)v^=4%x+*$_foR zGT8CH1?y%oczS*9!FkC?kis9P(9zMMyr4K8xgh%OF3uI6DbtHgj^rr!ypBk0 zQ1WlF{T>#xX{Q>}vW<<~@xJcmuNC4+HvZv+MJ}81da+0!hNQ3&fg~xm^c7Te1N!ed zZ}La)>`V)P`ngtwGW0blOGS0IB_Wp3X8%jZ*DgY_$S73#`2O=s6Wzb{cgdC`-;s87 z;nljhSS@fQ{K?P!_3AfgYc_lG{^xGv5)GvsZvTLQw2>^O%6S|%knFo3u!Mi~ju8$?OW$=HkCod(;JLgTPO9T;@?hS%)Lybi4s16Xe$>P>1*aNg#Uv-@kwR z&C3vW2Q

DvY2L;{pw36A~ihf6R1Vtee2~Wcg3lX5=X6lLAcKgm>dKC!tsK(r13n zw~*VsUcJ}lq52U#hcCV;TEb|!BJD48wa6VM6QGtOkv@>B!U$f*!S_tUT6SEU7Yp4FQXUkaO4LM>3qcP{)ZI}VKb#?n{k-^7$ zUFY^*v()tY;7@rBJUpok<*(7vtzgNFSJ+U(uK$slDJU)>O;`~YB}24Aja38)vzbf%V{l*jTBkaOtqX=*vy8YOfq zeJL^bos)n~<377M-g;ZjYcrDw@cCfGAQT`il@7GQ2d+X*9zyNHd4NtW4f_espvH%a$$LkxUI*x zT2xF*%gQpT#=++bznaIz#WfzvQpy@Ok9ki>K=2%BuQ>7k!2vZB(JV!h5LdIj^uB0>qSlg=Yo^0=n7V?_vXd8cA=)s)MACCQlscrF0qg-tKvjcZVh-nD0n5WU4CcXGTB{O7W3^StA zy1V6keSODZbHE{^UFq~ha&}^<=wk?A5W%}siOb9UG#y14^0k@QPz>k)7Eqt zUGwmRD_cwlc9QzH)?(`-))b38D+Vml>r)5??Z1qgz!)~?MlcyLqdv8WX=;*mMYA}R zlNXL#_J)J6sK>5YVk}SLx<~+&t57pfqF#ZjqBSj50l`vXRe&UcU!i3vcch1lbXrwR z2TG>T*I#TefLQV3#S45AlK6t!T{25dbj_ zv!yvYJvMe+QxsDly2i=IEJk87kDTV$y3M{f&t(!V=Qp^(VTyUs>&l{88VtPqfo3`I z`pucTnin|e$jHdV+?_>T0G)zCd@3;?X4LUKQuXlg(8e9Antxs}ItYRY$VEYt=}+uz zK`eVADX9$BAVT)~7edbm)j5Jr{vV(!SP`Pl!TBpHD)J8s5}X}Sgp=j5z{JAF#&&(u za8qP4%1Xk^dVV~MPT}%l*6Sh^WGhbhW6O9RD@H*hV$B*S7VuFKvV8pCCQ;eW5|ZY^*!V2Dt&$Ah6eNBO`-rOwG*v890715ca!K zpmfg?13icLEbH>A0G0fU}Oc0F8~$fD!|!LljR?zrH3X zC+}G5!e!6X;(e9K*8~~1LUm?VD{9QYrDm^TU49*g-+_VrX=~$jTvaU9%qwxC29*q< z)5FzVSXq&>wPlCmkPh31jL&widgj5|Lbz!0yXHWBwt}Go-4wfp)~CkZMBv5Bf!=X; zx)8oLSy6ClA4LGBzUiZvFJJ176}~I}*13ve1a36y@dZ>uVs^b}_1kbZ;exk!GMSv{ zT+`foyN?oJIF~NNl|ox!SGje|bo`!|N4cCymL?0Ehwo5UT@=dD!O!v6X{9%%xScXC zQpw|%KcR=nCGdnoNT3Mr@=BbiG&ueD;rM|I%vx2aiNn?f!M+7RB1h3y$9~U z-D*D-2$>)SKsQHdZQ+;CznMI4=OKvF-NtFxRsB8@U{PSVyBCx;+tkPQJAYfL-d&a!1 zSic1mNL4cs*IR9M!@z#$p(R`%O>kZ_SXeX3M{wayPH%rCwgJFf<42qRUt^S2=o)FAgmkpUb>5N|)AIh5dNEVmITYfW}_U*)do0GJ*wiaL!^yF@1hpL$YSlxo9 z)>o#A_YGjf`%l%-N#45W6VFE?ResCs_rSAabCjS)&3-eKDgORFkxx^7Ke|TuY8p0A zXO1#B;-0^)#VDEi==?rPYMTMTh6$WMp~HUh4+|VEP#JHv9nkz8d~2ZrkrPTk{#CFu#E>L)r+P5?js5fW!2we)fBUOeyrA7Cb;+xG4 z=c^63v^`b3waArvjeQV7Oh6PvNF!iO6&d%CAVEH0KfoUZZ1_e-XdC!ETn<2G&Svac zDvU{6*q8#R5LSUgC6JUqhW7Q%=nK$rY&Y^Ml+z_cZ{z&|Q0C(5nl`w02b=^DdcYxs zAc9&>nYMNF=TD!~A@s}2{TNuNZE1K`viGTA^AUrlcBF3k$8v+aY^99TPN(*|V>mU{ z`}N{ttn?~g7%?|Vn7QqPp1n(&K@UHSGzQA(E_@-`aj97vPLZ}EyBd{q_R!^Bs5bsF z-j7d$hf9}Q|B#UqRp*w>@5u|hQFj8Q#L2j=BEc@eyP;xfbD$qDLx{>zz^$hjvc9-VS9*pIJ(ZT==Lx9=S)gM zG-VfnZ-1INx^>mkgO$q_+>MQmPZbrsAa#j~igIvr0$YDXP2J4zZKSl&4;qn)x_XH* z4m8+QqpoPMzY#eYICNtT-VK*-7*vceq?03+h?A{fo6V@slmGZW<2P(3!o{WAP-=ko ziY12(hx%ZK;}`&X<>9B0ka-_;3Wg&-D;`pSDh7+~Uxgso*1LUiva6Bf~sse9l+24EO4 zTL$av8L!JdsTC~aea&je?2?}5;dgUzZZlzJq~zV+^!|~B`Imk-bCEqo}*9rge-zyfsT)lC_`Bqa7%D#Xa?-t9~l|% zxJa_q@<^b$_c)*Z-~!1!W=BHARTvSdnjJ0B?E|-TX;kKp(i835I=8%P$sXq)Ge#}& z^jP5GW*U&iFiibPG+Ss0Si7v~uj9r_K9BDD3wWP~o*rRRLSjt;5LA16d!r!-|D-UW z#M-*Lq@BW89xIaU?CjoanT+ColA!ObOn+Kh_PW>_*rD%(dk%Q?5`;}dW8=RSHvhr< z@G_9NTY~Tw>PX-nsz0InJYO(taZ78bwvgCyPV2sPr)%;=th`33H;jOq)k{qYc&8oj z0Sf^HgAFJR@Hk0mAb@Rs0|SjBebgsUp0I{Kk=-}l_$}4~qG_z4Gi$iWIRh9eAWx^M zFd`5dc&vxewE@_EiHcG+8-1rtw<-O_GyD6NG{cpXDXG%}kNNZ<*#z0wtDnC#d@L5) zJ0*Raaa1;t!er6m9bj2$%Gm%dK^K5f-3PECn3%dUp3?Ab`!cYS5vmG;cZ(2jrRC+{ z^L!{Bw}ifsuE?NXWlsz2;jER48>VKI#{I+xU+wM!cdj$b^hgrZGB=Y6o}?p~DBeXs zh9uF;+|>IypFaO`B-H}itjNF)#g-S3kq{G5E2hUQKjm!Z@}CGBb92-3_U+prJ+|_J z?1OBI8u+o+iq#$!4eeV}(pyVY0(i5urnK~h*MVYMr*|j5drXk8KGsVAWXYn%zk~KB zM+q$DOy1?0c-QxOuq@i@Ph#0Bh(bcbW%^7#_F_9`bmIsPL-C6PnDbGUrq}zH(DvqO z(>v4gS?R)DEz7u2K_MaS<5r71_*EuXRWj~UA^(>Mx9skJaI|}T%&HVB<@)q=h)Oss zZjU+@>QWSos zASQc!lkKk%*G)>cuC+Wmz%`KXZ=XaFs z(eWq_PmK<1=M>2UPr5G-17D0sNK}0aIWj@0WRDS(PrqK+Rtig(=Vqx75Fi3&6w)M8 z5FEz;{zs@fw0TC|TVMami0P9(!LYj2Myq|-PsFU2*4Rnpbme_3%xwzDwdIOF27rWQ z?OjZzI7j`p%iZl?=QJmzJMUKt-=&3-E*ied!Dyl}vW5D+_aA-3T54rGjZ5Y?He?~d zIAC6u;q}AbhpHXH6Y)t&KQ-yu5}UXxC}EEoiR=F)^DsF{LZQW09;M#0{ht~R zNvLVr1ZIMcG@M;v$t0mbJ441TB{K*4XFslW#E3F397CQCMnl%>R_60eFn7FJ@BgmR zo#ooEzf~**uZ}rYY0eEiBoinJm(>IUCqj(W%*-rN#4{d_^Vh^gIXAazP8FA5|H^}= zt?BvrVu7DPO#n;rkA6`*8wB=LT1bMpy>)M%Q}6gJKroe_hvz|^j`#EeOUEJOHC%idP_6gAJ*Vx!#nGCV?0T)A4hV|ij;6b3S?Smf# zu9$C!p*|R60N4FM3y7IN2+QQ~7l%NlP1HX^hkv7Cg4FrfpRdOTZZ3?rlXQTEgVgn; zFhwTg*ZycOa9K0p(QFNu9N-Z5A}4|~Y+UlLOcP)gQK%#WJR>L~TFZP$7Z-xhajk?HwJzV;6wPm}}v+GA{PAcQ3IAUHl zz`tZ!KtGAO%?SZ}lxg-rsRCXE{(5pj!}SC)(WX<)!3|Vu zShRA1jxZOAe72uB!gs87;mw9zQTRk1CBQcX_1(->%|BK1Y!XZwD$0? zY%kA4o4TV1Z1t!5aaP^|V)MD79aoEJ{~1oS8^$m^U@Mm(tXuzppVQ5&&w}WQa4bAQh2)Eft zE-@%Zi$GPNoje6u86ei`aLz+7FE5X?r5Hq12&ANFSNRv9@_1hzJp|0k`feT?mqdB! zf6h(Ri)5vehx`QClgMXx8)8QvZXqi&5Q1gn zVbTk9`pXx56Lo0iw3xUE84|#=2e@bwxXzGAhxq3i#GR4&>=*B7m0PBP58RzBoB($! z1>uSEBuFbLATrFb2zp&xM4%Z=cnGf}Mugsu9^*j{6T$^#!fufu;0RLUM`>Z%ZBOw- zo(4L`x#3bbZBXIlogvWK`5S@}N^OXYcs9wXd%)Z=r155)KUoj9LiIA=LiZSRxS3 zgTuoS32#VAAn2#S`*r_eb6Of2|I*UZy9y8#TC}*#8e9V#5|u8Z<19ZgM_hb60}Cc1 zKc*4`kGO*x9a`73)Lb}!&T5NfA27Z99dqQYi}Lk=Wt#8?c-gMick4SQei zp@UFJucM=b)a&Oa-Dk`401KewP_D+kPc8q1c1?_osv;{Uw|cLu(x`YH6NTMJ&R$46 z|J^t`v-UYUI+7?DO&{GzVhin@WUFvOnVS!ygd@ui*~JPg@1$2j9fo3Qind^9fCiiR zyu*?wA}&t)*)t@8B-~Fe-wNm?&7lGFewT3;;4T&&v)o;3Vt+NXBZ=+nh;5&Xn0*ya~@naUR`xVuL+wVVk5Ga=Rh=%4*9yhL_)8-={p7M5c zZ`kPC+S*?SS*(-63#%RF`2tg+MiybNjI!U1YA_UWwN{|R- zwYAAOjl1Wd{F#90-Wwljk!{yr=6Yb9?6&>{YES1+`2?t~upGd%l_xXq5WB$w8pC2s z`qBlF%FohkrbH|2yi)kci~;B$uGyVuuzf)fV%qb`=7Xoc=Rb|i_yzI!h~zR(uVRyYeadS}0`)F-WS z3t-iMvZfF>0iBgC2#?Yj2p7WOqQrfFR2@MA|0e(nI-b*!j{yv@K##A+hEV@lt!v4F z`?etYKI7Wf*ZXJRm_j8HJoR9uFVp+x8o|pEeUdb2s>B48Z4XaRPyi9S>d=si$;}k{ zOV{;dwyg4wzP@mH9$+{Gm6R-YWt&F)?*qZ45N2nASs5rG;o3ZaB!P6nU=K77yFq#0 z0T7>oVeanl|2E|UNd%F_=i!OI&!h&kZ2$J{bEawptO@fp%f3s6Q}k*^Uu@TeBf1jE z2wvxFnWWn-y1vV!3|TEjY9hXVzFCF`k!AR28&BJ8+uePyK&UKBigXqu~k( zH~rA&;f+1$gop&Bt{_^SPI!kQqrD&&T&Ez8p{JdDPZZ#k!j#`VeEde}>Qg*3fKwqT zagdZ0b8`3*6Az5WlJQLs%QCSvTal^k)ObvVTr-A_V_@0sqscAPlj8pw4Ey$7zJ+BG z{MgF1p9y!cupB1&j@*PH&6-U($mF>2YZ&xF<_Dh+q`$lgLcnd!yyI1R8nx&x;2C$x z7^`5yMe?{_TeZimLWDpmL-{$UBI7=#Ef9%}cl3Re6nlwU*zkh&^*Lr*L70;iN}=!f zKRt#y9K|SvrC)X#JNt~o5dI^H7sL^~r_cis?4_WU3b{3Zr_U~>#%SXsW`yMt-5IWp z27#E$ZRb&eSDEGsdn2?llUxS+SK}ADA7H5AF4=>`wO4$nSSu4$pdgN zzzI2YtGiUipz;qoI64YoEm+3FMSq1>M4v4P7p;2M4Lng8Wg+9YNAYWF%nJHCH|Nw= z-dNPLO{zQis=w4A1g%Un4^o{jhkjx3G5O$Iy^1B#cQ{yB;z?O_U}v)og0hwh(~+Cw zC7GwGMVXt?6+)E7>b?JSJY-K;DEm*-^BE@K)V$HYtg<=7<`wn0b0EO_`}+gpkm0%n zGPl|46raR6r;2MnUIuS8rRei-KV%V%w*m_xAQX)6<9eR5uL+*8bp0UUwYS~H^P^ap zJJ!MXxJShL{5KUug2;5}|1-zBfrnGMv(-|yP&Hs)$|PkAK0t-@bM-5x=~~Y;H@>Nf z`}LG)lg3>Xz?*&>NccA`uFN$#;Xgp>c(OZ*7JJBINX*#hp%xbHt8_({HowT~Qo}8@ zfRk?V+vXnGRZYXckq#F1!Vl21avHq=|IH^k&rm_X12DI;F$+_j$2+rqvTSvK0KzNH z@rj(TQrBOwdcPflRwu8o{}`s&>EP)A0iQZ=Ya^fo*-tREAr5H=$ykpR`2Id$U2_m# z#FsB$Y)(y*U0aR#K*L0|Bq(Rgn>+vjAm@vfr~@rE8|LEM!pI%sq&|Q?7!k$v=DS+X z0m~>1plKB9-Ga99P4_nR%N%>#+SBcuaYzSb{VKDW9gO%QBgP>8=n*#|Z5qZMDo2TD z@%T-@2~b`%P|Ks@KJLtU^jQAacSof)3A3~c#UC-x#2)>4?iMHa)64raHg@(GX{y|d z>SY<@9qv9x_nk7Y3ymH$9ba@O%Xc}Nw0vcEXLfLOl2cWlh5ll9V&Bxb^O1wAFxB!7 zdO*_I&dizZ3MIQK)fI*14Vv=8b2FR4RkeKWj{kyiwYCIqi$H*}qL;hK5ZeK{gtyJc z&(BW-hFL8}a?`-0;xg@ToN(1QX+w-@LIA`*^a6%Mph_!)^#WK%!qk+3Ni8=OdZpSt zfSGXkGi(AmJlEwl7((qLxP}0AIVLCM=Cz%JwTlg6fnXAu2PY}^@x^D z5r71UrKp#g-v@FRJ?siCM6<#gNU8;xXLG^o*)ZXd9peo2?KtNvl@B+9YLku2( z35m#}F6YI63W2%{sNqz_&j-PL5DFo`104h&x)XTAAxP{1P*uA*Gb5wOsOtgvOSI-s ze4agGK(W$6sk?LQbozk0VBq4GlQ}p#E*_2QR=8k7eDDKc$Lnjnhj_$Xrud*7ErLmel&e!0 z597wrWpah?!swFMW7KN5BXc1kA$u1#%Yc#+&RLHG92n9GX%XN3@jt^k#bC1ovuQ)* zW^FtWsx=%tf-=NMwqOn7ShM@`@{uT~{Q3tODt|w2)eSAYFqA^)TWq2SbJCD1nV`!HT=b`J=rF}NK3mI(hFo@BQ*!JV&DCj;b4z1%V`>j%p1=fs0JMkP6q z4~t#Nn%4_bb#M-df&@egmn{lk`)C_)cQRl_hR#1=JO1#lA^uHt;v|-SeyoJ}1{M39 zmaSt@T#_Y;k(?YhIxd+WOe%SR=M5R=6{46zm>&nLt{oh9Q%IX4<|g=SQ2}xx{3eLQ zATkfi%6}uwe*RL06d?$?TGdRjgI*dN8>d+zCc8JsBOE5o!9YV49MIXi4k{2%2Q2w) z!Uhb;9jI|IAhiUSavt(9pwDYyB!OXj3nX0#I2po|54Wci0Kx@N$UMTovp+wno2DzZ zN^W{B^oR=eIMKhCrK#za3mL_Jr~7h)EL!tcN$TJ;O`%KSE}{CS0_sZFNuddtKi^wU zutDf1=A-#Z3-af1>ZfX5BPfL3*!3EbU~oIZz;+&}$KJ_Frg2aF;l^lRC$*9~I3+OE z&K!+a4uj+>fMy|PL_k8)3BttMcnLiz524poa8eREOo{1(S;PFcIua5s`#|%TiFGmu zi{PL_)?{@kJ0gn!Zj**Rf24G{_zSL57j&;l)RPD*rpa1$m5XFuYIN{y)`de32=@o<6OX-?hy19 zOY#H9NX+ zAtx`NTRU}z{Sfj6z)B5Pu)>}aU=Y*_ixz-)xVjpI4Y#!w@kp;v(!~i~v%a*NzAn6< z5};8qR95z*??}}z6P0z+&h@o+1gl8BWTSD@-s5qRJNR1f+O2t*jYkbg?^YWdj?@_WGV`~n z=;7yId`lLcDAUnA%Cvp{berbMbfI@u2gfFD$Mg2>+P=+C(16;kdx9hK-ObzWsZHxX zMWde>4zW5!vFyo_rWYx}>+cFx7l~=lqX^jh)^k^C6C;w`Eesh#pL9Ker+DU#z4ck;GtLldVpK@pUhXO&#!+| zgedXIL$H^eESSPW)W#VAolf0JwX!)M$G?A9vbKJqJPv!_p_3<!91MLbb?JR9K zV>o6FNza{isEZGW*|A=e8$Wrf@8gqb#rMCx#G1+=a9DAdiskD!|7TvJrwkpYhSgY) zCMBhBcHQkqz3+T~3a}0fCg=G(9rNj~+-QjA!V7HMn9DHkJSbz^Iar@!z>_5}wOcqL z-1qJ5j=Q!8jW%~ZkY}El;_J2gE_Oxo5X#=9j5!CnFxh$8U5Y{Gzl5!{^PPHZ;!g1& zG`lJkBySW%7XDlEa0;#86UyO#=y?fHaRunH;o3{AEwx9Z#edcXn zm8`nqVRrOo-+%lFt2lGF*%b6x7*vU1+k)iMj>MLN%G*UjFcMgX097hCn zb#?pt`=N^rZ8j}z<~@CyK|(?T$cWu=+gVM#JJ>*Qlsz8z2KiTa9=2xK{ZftJOw&C@U!Re-xJ>W*N~kaU zNudPgj~&6@+jxVYW}2=4oT>ogrQL*XwGLv~ml5lK{y6-cyu_#d@;#)4tpEoJTpcL* zA)vaj1wv+ZjD+|FvdtEB7LN73c+V*A%u?=7X%$qUi8v)B4y1S8i;kv~aG9_F@PV3~ zoIEKhNz`?b!=a27e*>Tt(q@2Oz+>=0eEqe<;J!oG`vBJy z*@s1*2lngxC`#n{2g-ZDCd6G>l?_CXSbYE@Li|vZuBYdNUTdlip{^03Lofkqzq~37 zrfw>!%aUkz+fvV_5|B5Ht}oDaWE9@9vwH&Vg46fDNCYupM?a1h%W|*cYoWP)40G%| zlLl@s?vIV=UD0}>bg}5tRg{Wg&gw5UvAg0oPo6rkO(gv%_1ur$TOJ2LvFu-D?yICc zw&GvO+ddq;dx2h15Z7#M>QU~StGChODQ512+_?1>Vf;m}85t9^{T(nl%fZ)zfbcQ_ z5J532j7hR}&`VK~0WXmo5 z`A$$Ph9NE z2HeZu#pTVt_zjlh_Z8~kdU9yj$EXH12qzyO1*DOPoUwCosI9A$cV(q0DEV&|l+U}i z4Uj7kJCrY@=nb75?fVdZVaE7b;*5C#-BJtG+7~c0glhFX;EcS8tA7|0ZlGntCiS~m zL~OzpGu@v_QtzX)oXE^r(Am3nV~5jjjl@9WN*ytNyfqm6YV5=@cMTR+$vvlE$Z@~P zdgJr&k24rS(6mA4IS5V;AGiy`%F6)@3kw}Es{PEn$h8kW$kc5W&Pc*&2Iscb?vJ28 zfF_@_wyx2v1>te!h1M(A1MK&<uP7=B@y z!IAy`mjQAkFjkPg8y-$U0Z0V0PRGQ=oAOXtt|LWi_ER>ULs=3o{MGsXq33F8+lVA9 zR9eFNfatyatKIbU^!3nJo&$yY>dhOUyNa5%|J+nEew4@`Jp9J^zlUgF!`z6Vs^i>; z(xruXfd$T6Vb;P41P7H_OFSz?&=QRG6>H;RD40O#L-lf}@vN5(*xA_`!6N`+UY&3v z=_t4!tn#aGC876@yz%{>H_IUH}6pBqYS$07oNSP9U@&XBSsiieJvC z#k{G&Teb&j1!g!w*i12R-fqnPNX2da=`H*mt!#}QK)3MF}C4(ct_-@Tlw_Q``15?f5#)o;^1Z zwqQ_d=Z6PMmnz(I!*OHH9yc$r#)!Ch8vq9yI9VapYC^A%nOKwhK|C$>Hre&ewzc6; zENXl*Laj&H*>~L~-!^Uq-iQkW5K%7c>(8N}T8IrJl@?$~+>L=DJf<~xkv+wg*z2c1 zBwxnRgo>h&#Xt1B9@?j&Dz8KULwf%d_+s@!qKEj*g5*%0-6OM%0iaRhkpx8DXny#A~leM2(`Z3YnuhvH#Id^)J%I|`s=(0Z;`({fT< z3mYujpd<+w#8BL^o9hu&51`myJE7)&E^*M#`tPKqPS)G?g)biG>3OYBW2H|JLnVx( zaTAcV6x)0m5N24mDs@}t0pA6(>3QcDdL#Bu*WcfD=_!I@o5pH<%P&Y#Kkz{_2NJ(P z&wS15H@PK7Zp~*(X}J1CESVZ?J9*cu4LMVMpH?g}o%XbMV+-Zq(#WPqhY7*<^Q*5+ z@_w5NGlSxo7_Rg{i^P=u@}L>EcgPch@swhUTxwHkphxdtKVkQcg7Q0sSAfx)rOF()Om(H3Wa}k56>=)h<_-E;U+M z5}x2r#Vz3K7^B96Lf*{&7^6Rg36%&mfQREJ<{~`&!LUFMzx|$~`k6>eYb0 zg$NJBP1Nmq=xQJ;xpvdm_5u1BNHa9%){Oj?m3GOJuiUSD+5$yrrh$HF&;o<|Z0eQ_+)C81(tL80|g7}Dp z6QkpM)JF`J%na1QKK`$dNolYDE3c@T+-4YA@d3iEw zJ42k+#_6?w1~3-cHg^s0JK0HGL3SqU2x`+R5)t*#9DdrE^PcY#qDD^f-#&;raQhh< zrz`)x9>y8SQ#I66d!YOd+i}P7596|r7hcP9K#g;8{&hr zZlE(TH8aB#?g#${k!r#zg=1e@T(6T0iYOiUKLPr~N~uu?fy+AEa47vLX}rzz^a z;hHxC7`quJk@c_X4}KP(fRz>NFHVXj7FAd6~Fk#MIe2|`g7%Y62 z!&D0)kS3ZQlIy31&-^bf0OkM%XrJ(tEOUQOIuN`WScG?Rg8FN?V0I3|w(Ank-?Vs? zADjL`biuNhe6Ou(tK>d7dV7E7* zizLug3I;xo$cq%uffN%2!5Q0OgoQZnm$)N9K&m7lAa=v2+P-u^RaKSP#-NzN zNb?l$9gd0<(1#P>fj%bTxbZghUI=Q51xc^#<^YM3fCm@!PlR)5DFcr@_KhIIFa!)+X-W8_yLg!NHRK+-2xVuUuMzVh5&zcqxxFZ)``N zL7fn16N>Q~#kcyZvpxyo*du%sxGEoWbdDjcbA4oMll&cqUrUI#j#aMgBmPDHmMQdW zaU)efTlk6ilwLy*Dg>U9AM_+4c7Ke&;6sS?Du}U6*OkF=L@H)NM&0e8l&wWfn7AZs zsMDCX8cl_XRZf_KF^ld$Zsg0oK_sx1yBq^GV-8FJ8y8ij^cIyb5G$w!uyXN*3Z9;l z$Oh&Bb%ULL8AKVeZNQgCh!BxyRUC60H<9Ri@uU##kYtI-c>+M~$hLD`RQTtMsA0~- zhe?UNBaHVupWxPFBZN5k=5C@-fec6B=>*m=2^varKuf>=)qL|PN6(OP_ctrgE_S6nUY>}fP z!%~Ev!g#4r@^KtKvc?;mYnR<1wobrf149GpvQYAM?{#j}6i^WK?@Si(I1t&lM5Ze+ zYC3xQz{JD@*nZ7H;gMiGaDd@*TYhgq;c&^#M^jr^1jGxMo*}CP*MUj@zQzNrpA&8_K}HkL`A4zdDv1 z6eh)<9W5=}3Qj$z%djra(E z4w1U0ruMMm09hwEbxfD&B#T(X9z3uB=M=X9+`$CRG$!r{^l6tpX)Y=$74vm$T)cGY z)yCF%*fC)gPEezrbH50I7NkpLc9=zo;SMAt(T3w*S8Q$my){ymj2Vnb1^C~OYdX;> zVe9KB-*TP!$1i{AV82pMqcCsmHh|{_UebSw{P|SrA9^hqctNE*`O7TbM)EzCjw3m zKN-~jJ9NDQGb*Xl?dY}Fp*6!lKBX{8+Su5jb_QYg)eAYDtYWd(615Ez+qTj9`OSJV zPBwsZsKrELGhqWJ0Z3PpbQZG|p%=zC6Z1U~A$2T0Ldt1r`TYFkZj`AfUt|8}g>Jmv z!ES+$%;{@qjqt@+NZHbQc{LKmj-+}LmK*^!pj{ zA|WqEJW6|_$PC(F%TqL~RKzDi-~qAiAYM@~^BAZlv|j`XU$yz?CMz4;5;C7Ko)JSl z3Dg0;D6RnD<&YVL>NkzSlbjH919F^xyb9@c_tW!X1|4OkrFfDB0`!Tj@qd4Q+1uHX zw0%(qYnu*53$D~oR66W&M5+4vjM#q1>|KQkN*+k$9){moLjur(ApVdeRuRn~VQD3( z&&pR!^$-mvh}g0dA_kz4V9D`BL9ylebVc$5W>`Q!CLs2G`(w{SIf#ys7+COwVIU_h zK>EWogfgPW`YQ2Ez?UvySg{`)B(kZ{S%tVw};2?*3v>;?qn?XqB~#dn1|5z|2}EH<5;ovbs2 z#|S!eJTbgtVhNa~h>J`N#Dp*c*RRz3pEyPgaKvPGXh5)MuYWQ_H@Mw@D3WB#gwqQ| z0cpIHX6v7p>Fm2!f3-}e-U~NEH?{;Y} zyTJ)vxN@1svOZb=Zt1jV{1M$Cjec$2#SP;;vj5peb5B{b)QkTz3qRiW@kFvjXd!V& z4zafES}oFl`0$II!L`>Es?g(wFZknVeU$xKX@#W`L{q43x1 z#FtN_E{x!SSr2VCJ&u^BX`n$9si^qnEE;O37k*#9r>WY%x6 znlW+S8@;Z#I3<__jzwl?|jumOikQ zv14b4%%E-sd+<&2u>rsCW~YBL&qu0!@6v8;Y+!eYGkXw5R84|TzI-}y(10wNMU*p^ z|KjE1FP_)PEub&9Gi_(4irN>U%2BW*Elh>Mk@74PpH^68yQ7r%nYNIV#wz1-QaebU zKQ8%%3LVV)S|oZt_2?rrjU%eJb!{cWbVONw+chuqE>~tT#=9jO1}7&cx1S@67rE`= z;=;?%zb8|}N-QPL3?HiI*?m!8alyS7t1mLlvhCgWUkj<{rR@tHw@yN81oSz@FIoPa zx{uC`H}1#cKBn31d)|s;c02PmTU)F`)UYOA4}KVDpzheE`u0_~rlyRGUvfIX5c_94 zyWj6DJ0s)v%j?Ne-t}+1K55p=_=S$!N@sCM!{EN7oZL29+07lLO{sbIbi1~53$niG z3HFtyUEKNU*3L^V#^I_T?0yS%I&c*4Co%MX;^h1H4TqD|_XP6(g!7D4WxL5kq9ss% zA!&x}6B2C*xNEleCs-YE(mlh#`T3vT-cILTv7X;1zRlh@%;Fxi*&oUDz(HtIqO+*cW|t-j(h9{+Mh|+O07#Kx^lSfuKR(=7?P9y(YbWruNjhfYBW7 zCnd>)8{BWyBpKd>*fBTWqfvm^4(4hIG?C@~zIpjk$R(w*o|3R5_s?H1)aHy;ufF0k zK(#;Y9Ot2*-RdeoD#F8pnWVi1cYpmuT|y>a=(x0f+~(TrgeDm?9nugbYK6o7y3APS zT>Fmld0cp?c!GJw^rmo)={}klPqebt#lonMantpkVyA|YRbFXTf#hIFZ<>Nm^ z)FzkIa-xk#jSAO|j)`?;-ayiESwu#ZSdy~JY4PS;8%kxCP+Nx(B zr`rc+52WWfT@&3Tc$t~Q(-xRUX#!atku?HjGu{&>zH=fe{j=;a9^4^2g zFSG{4I)DD6am}8PF+8x&HpmolSo)`8WY|bUC5xa3byHWauzT)Z`MGM|DMNap*b2+l z>8q2962egvVr9-pvv+rm8#BZhN*z71)b#0c5XE4I_D;vMZ;hQ_nqQGRony#rR2Z|> zwm6}kRCz?Jrl>W#IQc<$G1DnG25)wX^etEIpn$zRrv(i9&hk!6-ti`fDkHr|K2z^} z1$9rY?6&=sJW4zdn@ydpKh};r<8}s)gqUx2MY~@4HSKPFz45Q@%)5j+1J&D$TD55n zH|6cKC+j|Nr|O05B*|5156KPdGJV#)+5YO(f^>{jwFTEsOGqLJ|*M*Q;?>}iy>F4JM;z8(oRU2SR$1fqT3ha?b_z>q$ zbixz`NSIs(&4Md*PWH~u+~^6wGTDJ9NgUp@o~ghcPl5!XHzB~hu` zyc6=&Pi>t^dDVN%Mx1}}UNe`h&?CpuN!`s2i9o9I-$hm(vLCKd)irnd^&C5$@i>|~ z`&?-Kiqkbl1_S=F!BRovtULD0w=U)xJN4@(4U{G2G`VP$Jv*rA(Zo5U${EQaYr;Nx zByxlQ(PpeNLK8WT{(Q^0O*S%!Y~N1r&+!d|6=ip_Q60A%fy{tWjQ|87Y$VzRv}`S( zwY`mRn_0j;r3J_A#ee$eJ z>3bb`Bau;q_X(XtkYe$XZL*~AGZd_~y1H`K&qDsNplzB+OugXXAaS4rONW7xAd-PF z!e`Zu!2~=@ph^}3k`izsIx9U~NC*f2#e3^J4iPJt%noce0WQL@Af6ln1LxRW;>S6S zhsor&-_YZY!5&xqQ;vwX#u#c3BoYJyWO4PT!d)Ol60f!TclY8|;ni10^NN>1@D45J zJ`KpIYy2?Eegmv&)W`b@mdE`kOtuq-vB+UChCoKnA+{559mC|<`JCB=ZNfAdQYYP~rm#9Xz`{!Bi**n$KdGpjxrHd#i-=#hMOaJbM?xB@(&jQSh z!+kUfN)bGRmamqdxBJ`W8YJ_bJ^;covYB4%rFK|G{Jn}wj@jn5GdA)8k32^8GIute zX}gfFLYEsLv$+3@9@p_7mBB*&9#$$!`eUtCMGRrL277xS5#q@kXvtynqWK?d`-u}L zv}de83%g_?8z&a|1luML^l0B9f%DKt3^OBUATQhkqXi^h%1-`Dv)YGsWr*eNx#sM@~nQg0|a za6_wth3Vf2tDg7HAn~A<$6CdYot*lajfB&7Yuc~Ghw{0T8P5)6IW(VkEh8trHquzr zOtqCK|B(MjU-j=n$+|bL&R?sCcXE3lt5z^?@lvtT=hNHm_k^P(CHb-Y>F?`*WA;|2 zSw?8#jRDC%=+V__WNVu`BL+Niv;-(MxU`sjHi&-```uyy0}#eP{8>Gw8H(h5@;eYX z%!2GgjC*sxbbtSpF3;38W7BKMTN0CSVo%rfk`$&dM)tu z@S78S4UO+~X}!0pY-&I4(C^hqq?gZ0C_VNihP`NvNC-<8lwh?PnC?6uo`ckruo|C$SP z+pG4lU0W8_mV3AR8>~ru3aFNsQfs*{$~PYW(@)BF=kWH?zrRk0n(F6j&sfG_oP}l( zBr*n-2uBE^$_ASGU*>+7BrrK4At53!7D;L0IMd+jX_NJSx6bn?Fi>@OJGhG#6&5 zn+y6DLO;}dw;rFpxw*$%;Qtm=^TZhXITx-hW)!)h5pL^JKOStk8(s< zePI9Zf6wPKhO40t^W!*J?0(c(T`?}WcxK*<;aVbtm(@B3UEQ5>(xX94hQPpv#%q87 z{{1>B2T^1Q%xg2|{jcmSe#^;+re$~hqq^&eh=8y?4QM44DiDhzXr~6+OTtG7$QgC4 z5NYmk9&})|efjnjE=dVeLrzz!UY1=C2gj%=k3p35UTA2GF@JS|stNB|46F(ey|k^S zX}4nA4S@@Dq&o1-j+jToqNRn0SUnSKwl;rcB@Q#re<{vhAKH1^JV$fS`lUnq$x(F* z#ti|6IfuqKWDRGxwk-cO2CtWYOB#CqeULW5P}Sj9h9$#x|Jt)t@23>L$DVhgOO%tF;m=tf9@Ph|k9h->7^Cn|6!j$R<7 zrI0B-RLmqW1E|oDos(@)CJa>1+V2iT7qfk@4rAvl^n|Vjg?I|^AK`_E5q1ga5ofF- zAzMf42H=x^mFIIW$>?2l8tUuR-sJvzMLrlZEKYTO zvt0AbT=cvCj~fYIyjjU~)ivX?XOtfc@n_e+IHhdP*JW&B)%T3IOXRNI7#^q+F>=oy z_g7LSk1J}||5ZmvI^=&f*&Xc`RnfdXUI6hEH+Yn|-vkHC7?DYTXFKm67k-$@AVfv& zP_$e$Qz3H~cOajQxXPdrogjb?;QH%8jrL1>ib91$bW3p}-9&;jP~$>u^SDyrH{QHl z8iiI7_e5a%-}0WD{1Q0dzyiPrR6e^wH^Y<+jHk}>6cJC3(xUTRba?`e0s*&9Pa zhlUh*Zh@PRxA*KIZXntMf)j-QkHEWNBqCkj9}Y!%1bmfrjEr%%C{skN+W%pT&2=i4 z8V|g@R?Y~N9QkqnAQvG018g3Ey;NrTU6hr?<&YVZ=$t00?ZVkHf0yjFoYd*RttRO1 zeTs?fn#0IIxJbQ@!Na0ays?X{Qcy~QvBpf$6nKnp_%U~r_X;Z1 zjoN`06*)P7O50e`+4CST9&Fcp3UY?o2UAbuiAFZUS;haif-TzCH8 z^T?K!7SKT_fbVu(tzgWzTdUTgV6xdfz|F^6t6^<&T!AELa7&KUk3M%!6SBy)_x!i6 zi^l1kjh8L5Sr{LDetK+%CMsqBWwVjHpMKNSnhM)5zR~4sP@os<$PPKdYOtF8*^q%I zux>sz>*~UlL$s2I+rEs-nH65IWojKemT*gJzkRm%JSZG7ij7&WJcIZ**pA!ZD9Im$fgR}aXkP4Ab^ksaG^|I9zOJg3mX0AaC&S%O5JDGO>3} z!*7jZ-2_q5@^qC}550KVEN(HOsL9gzat$-1-y_cO(^+`TYBsE9NU~hN>#9INtF8n2 z!b5i$i~iGFt3ya-Hf)or7<9rVWuaLRK~ z*Ryu)OJQ}<)3@$>p887g(76W;p9z}gyU_hoKCe~n!U5-`)6C61eIGvOYg~L-!L=j% zY5fHMCo&FN`fUW;de-c)=JBnVv0|y~2Bm7HuXtt+HvwFoO$}O^FRJ9Xj|`Mw_~P7p zj^~WaZaR@H8MV7sCko4s1kR9vuTkICL3)1v#l_MmJY$~P*<8aD=RG7JPgbZWOU|o} zSXGt2J=2)I$()pQ>M6(eDgOZKh`{7kh8@Q8CTuaHJEpE(_~i75F26XvL)k5ZdyV$1 zci_+0SB$%Y>edDFauo$)>wBA|bjC*0C^MrpGh9P&Umu-*Afrb8e!I=UtuP)^66sN; zJJO__TmK|^kLmyYuKE-gWw^{BX}uuMg!oI@12A2MpJ^mF3W5z-VdN}MyS4_p}f zHW2N6y(tfg{z~U|?3Oz7x%u;5o=Y7gWU1cV4gV>KC&v{Z9Y>-EJnx37tG-%g1`+2t zn@>m(L{myXQ?H&oh;2DhNd}FtD~|0N9Y+62eI_p^fb9*+Om=LWN0Y9KC@x>c$G(^ z6xGfEKQy_J9b8mu^VkRjDhG?-I4U<(-eYbA3OjR6G?21fP;pI+o*Hp9v5K+zmL#A> zYQB771BZoaqgIx9&o{>w>9ubExaUG{F-fiQ>6Q3XnrDs^t6br4pY~sQDr?imdFI+F zrH1=8Mlw9n#=;55S?=$cYxP;(i*&|TXW9P{DMl#z`ue2~ei=7Y6xDkE)6P1kD4)XO zIzz0!*691SBjE#_rrpoS-t8jm)7c)aJ~Y;FQSNQZ8OKjpb|lYX{H6$!qkjT) z%baK`f_`rK>zxS<*gem#G||&TuTfVN$;ah-%KpjNaT>le1zFn1L~J%AH$F|@_{cq~ zv8Ow|KKa_vS4v;X^scK%9@GUKHwh2Gi%sX8O{PAw<*^a7d+do$qNtSA2gOVEtRFc3 zMZ`t4dS?H02;$rw{6a{hGrJ}?qO>UNKmuc_AT-)Q*<1}Po|(B*8~nK~C|*Jud$a3L z)vtXX109#Rg-)vv^*6Mo-(XG2$Qj3;_CG(&9F{3~Eo5}&m-U{Z@1^3VAZO0r+kd_= z{d6;_n2^x;w2%#`T=0LY-|g-0++n;ah8yMJOH0iy7vS>cgr#ylTL;HU?O>&&HI*S` z_wRa;(7JGH4uy3PQkPi8^I#Z3@(|bsb5BD<5Tf4@9{E3n#39xvKW^Ddvgq2%{W9X8 zpDYldjyOZ3tyR{%_+vhFq?}#s7T2{_P7}w%QjLy>c9`@400e-MpO~LdnQ4i{o5E&9 zS7snYe0l;icNN-7k}(gDu+A49|C)P_Gxnjq&gGRfr&O+rfpCiuLX2&3H`6cuFD(FpcCvn)75=H$tF()JkM|#e#|_Uy z$~E!+wr4h4RXV23P^b8jSt#;e^CRt)9-Z~MZaOx)^i=AX{ju0Kj|$7wxg{PI>tQQb z)u9qR?;J-?7r*&wV?vYUmwov%?={WfN3ZQH$9*r*k=qCVSNa!O)wsqA$}V3`=osxk z&if%yEQBAVMrdG{B_{K&p?UB5m2XZ^L&7TlfJ37Z@Dlo;QcB;}dvbbf<)K3gUdX zJY~4~k-fudU846g@Xye`Yg}U-(Y2q??m1ZH&LCQ_-CDZ4?Q;&P=3PHMvXk0Sq-X); zScHlKR(oHKY=m_t5=4!~2i8y4C8ZrZw#ind>!qh>?!+T*2ksKfb9@P{j z@WQa27U(`!<|*Arn)ti!8QJ3CmS3{)!eUOfaM<0CI-}JiiCfxEelFL8KZTDU?VXVm zC87|306=ze0p10uJBh9kr1fzqJC>ZVbUcFs6w-0z*%beO0ho3!E;yNm14Y;F$ayrA z!C=2Zj*ETpLV6H!`~{Ao)l8Gy0V`({;H+7j+X=?YB;qp3KRp=!YC=d3Y;Jq+mlECh;h*#3Pb7KRu!21s$ z8c{o$n3!;YDxpOo?i2}#TCllH(Cy+vjCifGAr0vXG-$;6xbX4N?caaz(IY0jSK>Sk zwF8n2JGfaU8wE^0p2w1>=Y250rWZf+r{+TeNOzN_UdjI|X+J|w!2r&q)5$Y1##CCC zf*6zi2)V%E134cKYH8Q);EN>`v4l0`GIp?G=9;Tb5OJPL{3$EKayt!u5J53VMVVZI1hdnRlx*iiB(7sYtfL7h!Z5%{ zo31U6;Y_4d2$2XS(+R6?u3^t*<^K_+fKepwgGcXE2*1>++gN?a9Diop-D=Vg5q4s% z)A_-%5`lKE*0>rCh|fp#*EnRh3W&#n=o*M!J#;msAdw5qc$?tgISv&HWYB~}Ldt!G z<8Yn84A7-oK?$S^GD@WAHc5iP8`9ShM#WlzE zL}Qw-$>-S3-ydQUN=4I2n;MTEN7mB>NhO3I$Rtq4plpeX0 z-3u%E^DLha`j<;d4!6;0d+x6KY&0MYsT*Mmz;I0*97jR~wj5RnH^TEqh&_E*R)W)w zPM&NZbd?Yji^S2@ggXaXn-eVe%WO#hacl%ArgQOy{jVMEx!-pG@pI31*z6foqr88| zY8*ZVKp1TPp1AXd1A(~$f6c=fp`?h59ehQ+Drlu0H<4IpuCMNaW zSPy*NzuaN)PVJi*{r+8`$Kgmik&lD;h8aEf#(H9)^o}9EKqP=C^d4 z#-ODSKX`U{-#mUpz?%p@Ks2{m!m~2mhN?f`_`SFOxga4R9%ouWdS-?Sj{}IbB>lF@ zie2D~#mNRxBGf~+F3iZ6FVerVvSLtvY6o{K)X{DClMGa#ZCQshjR04ORDM7o2sB)R z@JhFK1mzTtai|RIuw(S0SdkD)G(vL#Ees-tU)zq@h^-z0&_uXDDxeyZF%6ltZ$@6frgh^gO;MOt|8MIZ zd91puVVKVRe+H;z$)~9i(M3?gFB^#AqDMovfs-HW!$W6cjPuXymz=F*z482aWtms# z{rjg!__M}ZGu><*oY#0Q|7y(7s9g7(wmrMCIXqXe5jIp8@sHFzzuC?6o86j8X`i+} znu}0WDL?I;DUd~Fc|vO>IL^aBi0P@Un#o2X)jrL!k1nGPZ>Mj})V28=%U@io*PRcN zhem*c<)uc46&p*?UA&91ay1N6eoE@wJH$wx!=!`8VpmfIHhScH0uF~IIviu&*i)j} zZ#znP>^H4QCDC$iUq7U<@Lv}~h)_Ew?C4&wH&3^DU$A$E>|92Tul~;q(R4?Xc%S(h zGP1S+`pW_DNDi^S+#SsRX!EScD%RolgRC2~}zM)&4k zZ)bfO{d(){J+T@O^FzZZs9!u4+;+tvGW7XH+M}F-hmQE)!fVy>a=%b*^KA#s$-l`C>JN^kN5fa_=R#k1Xv=qmOe7izNFZ@H6Lu(hl{IWdG1wH#IsZ)se4P651LX zhPp03=E1s zx|zWZNt|)n=m>{^5j?F>T#+Ld&d`vZ2$Xt5F#XKxQITnRj52XC+}@20k47Q>jsZXoU#W^s*;n_Da2zE zXTQR4N~BH_LC(Z6e3I-mP&E>SAHD;}j$TDD7?C_#>GA`DJYJxjh__mptAYyw2b^kC zP*9M>OM8i%nVG?4xQ~%h0UzISy3Lr-EaE8E$NDy9I}+O!)YbR;fSRn7<%dSGdSmJs zzS;rd0_Z*wWHyBpJL=4fmOw-soW9f(^%sQ+la3q@IrAr|_CVL~#e0ss;`;A<3`>l*| z*L!5n6*kkaX6F7_eHaVHy@tPuHS449+%gU)ooam)9UZ-Vx$^I?!%)bB66|sH($gDw z<&mdDpr!B+iNNaEIORY@T#}%XX|l>nZiMEu?AwbRSSW|Q|Na;jCda{#7`$Krqd;u( zS(h))Oo%&u)Ed-aatJRA=F0&oU$AP{qpVkwd17r=|NXK+Ft--T&WzO(HTKNt$B=0w zMVvl>kh4Y)`2uKwUC9mK3=&8Afs$k-DlztPLP3mv;+-E^g19puq+kaj+!ch+lLEyZ z*L5$>mm~uC&>oi|Pn6h4Ri%E>qv0Gy9v^W84v}p-oVXnU%MSf!kL)2db)Wn0Brb-0 z_5?yyJnYV&nVC5R)gsZvBWM|mDHATAu5(&R1-{};$!omcft$I4uPi`NX zaReTns-*glSJ0b>hJ_tix&50^JqHB^;rYeRp#oDm36byuX+Yzw)A*foLW&OcbK&X& zbl5G>pOFx9VaBka=g*H&rjI}T*fMb>Nre}YCxkHtvjxO`jRbYjG?7+Z90RlQ16Vmf z>tDbXg6j(D;HstAcy&=B@INHX?8c+AFbCt&T&0;Ek-U-Yv=5XduI^oA)<&UmBx88P zaZFIq3=U81qA>`fhA@3JcNM6MefgKq-_-i^uq51l@*f!Q_rxL4qO8#4nT6yE_Wj|kW!@uuerA{dCOd&V4^7}(b7l+*Ea<^~a z&;o^*msA zBA&VGb?Mrp#4)XTX`D~uENy!x5dut7qK&K;kjaTfH$(TjIwKO|rsV*$oJ#L^;` zBiv}{5XqnD>GQYJ?A}cr;)I-xC7ep+no2`SiR8U~|VpkcqA9{k@!=<7728WJz6ZtMS{vZ>zfH_lF?+6K&pRH;OC`_}2k2IVvD9`S@nu z7?kENB{LtSRt=yRxH7Rc(GKJuu0pp5%0%d!=uDF1f#*YjfcHb$l)ctkr=uuoSsv)~NDiWx?Y$}!% z^3eXcZwcW}f%Q+qUg%+QT1D!YmQeME156x~USBYBRD9e*@gSVYrlzI^IO<2JVo?rr z66w9LFyd%ZUTAI+{qz{rKk+vtc*NXhGantNB*SgDw6skAB@RD7Zu4-$eY^py`}BKf zU!0_Fcjg`4K5SXDiUwDVkk8{~{Qb9qEijz9si~pyzN2FX$4i@FFDx|>BGSbIXr#aX zn>I|v0Cf@r67c~DHvti?g3Hnli-N(NGb4*NWE#X?fJckQ7q*jS4B5SZMW9>HT;-@k zPky6Buld6VbNE?_Y=+@pFNka;p;^UPJ!&VqHaN1m^Bq+6>rH1jYl=Fg!#-O#OgVtg zv2~U2Bf`1xKHihjNXkG#;q!0qx_y}ecJ34mz(w#>;9WJc{Bpg{ zJbs7WyH|XcuDz5bgS735aj*TFU+4{16`OZA5BmkYqZl1kUld-zfJ+^2FPHrKRism~;Q^ z_3PDC?a&qRe0|s?sw8=4V)0engM0Tb z26vB{vnr5Q`sr#VB^q>2RbT93efh$;RJ{Ee3hKiV|C~%w(mq8o?v2!TF7vMo zNmvm>!!)bm6dr&8?IWW2<(~il{kQ+uzdN>vf?^sWq|;%?&Mbe{84-5=c51;9^Wqr( z0Q5D>Z6$>m{+_rpZnO*TJ2*;~UKWbgsu znJFqsG7z+`U56CS;@9*d?74FHS6#7c5Jj?Z{I;UXu&SIKdw#XIc}|57&ecjENKC3Y zh&~1ioZo_PZb=xIZ*I&`-TK0!Z{c?TM{48iH&yOZt3zV9t19$7*6-Z0&bNJo9KEV= zRxyo$WPkZqx6Speit0oqhShED&1m0Gk|Q4EjPS_^`}TI-$0YPHs_?~!Rl>{}tf%`- zMcHEfoX8%=;*Fi0Cr(6S!aDOp8X&!3_XQop{?)(*;0ZLQMV{-w#ZyNr%g0(@zWQ&G90nVIU-v8xS+re#@X9Y94W}kN~fh6)6-8nHgn%_U25oMKF;A+ zP_QVzB`qkJTUX32C6!oQ>^1D8FMneoF(pOWU0hJ(QLUVam6g8gRm1aatEXqzd-STD zKUW_8Wp$fvcKPM+7nSW@5b{&mDL=Wv>ibbu6-i!FxB3f%GcRjeORmnB^Sg}&S-35? z2a!xpP2pgVhPzaA`_FD#o0zXN>ao4f%#f0=ha z84f?-61%!IT=}=qZp=9 zS<5~j;E15v6`S_7%I?q+gAgpMPxEQPn;@P~CW8CkJ(l zzh3Lf`yvM)H2hH7S=Rd2)+wq~-5;}fi>(u%sj7B{hu_;J-k4_i=F6G96u;GzLZwD_ zNV*MY{`-Q;H&<%$%moJr(Uazu=CbF`ouuacA?oWAqt+QIpGd5CC-L0V&%a%zM%zw4 z`%ZkI*at7a+86ZU?Pa-gjdSN}WIf}m#zZ73Po1KDu6iE-e?_@BIM>iCPF(gJJ?pu# zw4zTgjp1Hwg*Hv8Q~y1KEPdyR?-S2^@wd9%`rh-Ky)s@!G`Z_Ps(<>n#ZlZ9zpsh! z%=ql|$?6XsRYb|>^1}wog@TU~%^wior+53w?Qc)|8OgLXX{aTuY<}3EsljI%_&%x- zv}3Qj-NQ3oF{CBgWLh3nHaR+}pGVa`bvxhs!5kjpNs>i;B&`ERb1d&!Bo=#q=T;m& z$>Ho(zF=8?a6nknc{=P0amAfv1l<;|-3*K#*!k~S8--Iw_Qvah+lB7GbRXZRB`$=X zp5r^)c@LKr+n?eAa_7XiebF8y=jIK5^Or+YY?sSx+xKki+tfKZ+5F5|J=GlL z>FPiA?4U(kUzRTi)(NLFo1K@jbyd~GL8lQ4ylq;mv`)1_-@%?ruyxB5$TV; zjVhlksHmvKJ7iKuGnWCi4LPyw-3p#rV>|fDckn_{RBqa zuWx4VR^;W8mXv&WLQAYIt2&vkc-s*wvBW27V^1>8#l$CGQUCYJ((2@h`;A#6PPS^*>9PDz3$<0eJ& z!LZlrS;_TDB@%Fpb#*iywZ|!`52_&DdJx!`R#pke4{=Mq5v}ic)DMPSoa+pim*P=c zqm#IE3umfxMMZZ^$LaGpe9O*)FRyK5eGfC9e}(XiCUtk6CI5W=F7)x(FE5Ey(G!Mb zKI9o5R`>3ivktt&@G<@G-zQDFBgc;MiiiZC>|kw+U>khjCaHMtoCvHea*8c4#7E#? z%f2!vW^W?7hcSbU_TWKP4Gq(h85|7u;B&h1gM(WJQw!C_yoHT(BoI!7goc{c_&eoQ z6dt>Di81cj7Ppet=C#`kI@g(+J9RcgzL`u5e3NJ?}Zw+cSJu+&iIHdx5SbGYw{%@=s@Ee*F6J zn!UP`c1JK{k<~|`w=NINT4Hvi`qHtm5T*E$f$&|#{Uwunsua8vO zba(TalXibBx^y{bQ~9iv)ZeR8EWg*5I<9PPUiSF?*_G{G#-+dT(n^9Fpl8(1*Zf?a z^W)#2-_AM`?4#$LU))*^J~*AcSjqo4!+J!kC*NA?fzF6ytl5tt=1cj8&TsMyhxqe+ z(XCydSo$+CsMd6BdElGZ)7PgIZu+E1u$1(=K;Ctk%z(~PXNTRN*$|?f3+n$aaI#Xo zKNCzTmR!^^-z2pv`A%#m>9V1rP-LV!-1!kp6Zt$m&m_vq#C~XRMc5T(OwY$zw#WvG zm!mA!q+J6X94#B*e$rCSw()*>%ipy<#{?!LB%YBxOh`cAEq&s|t6N_lE6B;IZX*l0 z`o@OpM$_=SP==%5E*|`tkpJ!ZNq*vG*pMHPjQCvtlACV|y#G-{kkt&=tBh54Ky$RM zMb}doBrWWLR||R2y0FmHRXE$H5wJ zzqm26!OUcEytJMC?3ckOOZdvUq1-Y4f4a=OSoYmhe zSEj7qxeT(8;Q^;Ee;(8kiF?*L_$W{85I(AOm3@9vqpEWIa>)Ivs^m95Uo~Bc_kD1v zR7c#K#playrm-I;rF9{-4gi0;F$KkuPPDG77ZaVJHL;Oz0e~ytbSi(zK@BZv zEKuZ6+xttG>}j-W)fJUq87&T%Iqr!bRc%iu$Y#xt4jx}*BL$ou2TXQwAPCyRJfGT< z56I^URvg5})_!WCe)@uf;F_=RffI&d!O!5%v-Gij4%j2g9jN7)c7MyIT1zD#8(6O&6RmnBHfXl&n&Bv+kH3>67ZVb{GM2V*+jk z>zCYcZ>7XlHjt5RfRJanvi9W*4WRunvM4vv z!(a08+=pa=2357Dqva^9^3DERAeI5;bJ?BKAI1LS0-Pc5VUU&%0G4xWJXbPIc_YV4 zBvXJOFYj1eXQ$PG(ELP8m-w{^IDuXHU`qc1B$k4V(%YjC$G?M&>Swqdt5Xr(XUL4% z&c9`YN&&R;Lachs3n8g|-jNEK=@3pBF0)l`{0@D17^WvToRyu+4O)aNoO-{wZ3gUN ze)R~T5mXySd!X-7zKF=~R;t{YuaR;@dilnNCC3>b{F_fv6Kl1EsXoR#^OXGS?|Mie z(VYHQr>4AoT7$s!mj?O(!h4VyZb_yxU%M>-1bMT%gap6Sz0>h8UuFmc{*E$9%j44 zB%9CEzfXPx9fOvy^EpTuk>x*m6s9uDYCrm{WzNuuD8>4zu!=H7BTTl1F|zuyXv#K zEsez7)WmKF_F1UQ)5=1={EsKN@QtuISr*y+VI;3!Y`x>S9Uc}W$ClQ^mtHf!n2x*w z{ORT~=z`u$nyvqKORRrB9Q*UWA3&1HC->#S2ZhT1Y+aM76kMqS-FDO&vnnC~@o7)A0at z6pXcVZ=PG*++sZT>Rs3L@yp5q6KdLd3VOZ%N;jLqT0f4Cgu0u)2e;7ob}xa$@ag|n zs8w#C0!{8dPtHGeTHjGX6D5z;9lZ=p+3@gEhi^qqOMps=Z=azNGFyGxRr!qpW&J`1 z8%zWZy}tF|PVq&BwOe9Evho7cj;~;1 zgwIk9LmMN#+3O29zCIF5WaS!ZgqD4ObO*#s`nW&;M@3d_#jjg%;X1=*sf=}zR|`Gl zu1e9cWM8$8R;cm?9NCO|POl_(n*ZqXdj?k#`>(A8lPSQI=7Wv3$b+n+`F0Ze!EQ}$ z1C1q@tgKY0hEtFFZUGpPK2HNpkiW0lfBMpjVoXf|3G8VNu5#!9%R^vkKK+o)Ynp2B ze(Cqw>nOH#^Y=l};MyPaXIml11p-&>5Hmbr{hdIwLs+`|Pv;?g`(Gc5{KtPsHvW!! z6qh_9pY9_-Ui1Rnhkew4`vF&5a@K<nP{+Z*_|EWvF@#)32DFt1aM$uy8~joF?aQ%s24s6U`(X=Fiw2E zX~A#S9<28}RUSTu%=p&raP*o^lMRcwTk0iNR`;xK1#KG#4Gj!!ss)!(Nm)^8iE|YP zAqm%yY?Ob8@ORtEl7aYdH9qvmN86|u@nRy|qeRfW`1iwO|DMxz_YxM6GJw=|M0-VW zVPObMkP#CJnsb%Cb7>qU!WGeJV!m$-8w4W==YAK~|%>5AUo?(&JChQ*5rL{^M?7p0V%@iniqaU0e`ZYzyJAHdhb(gnEdtTQ3_cHu_1pHI*g{`Ho+jlA_Zz{0$q+4)Un5*|oC(FjwH}Ajvd6CM< zv@~OQU$E2_8q?A#v&fOH^FLno_ckQB5j~o%602edMc0^${-3-H`BSeAz?!rVKeAZ< z{uD1~5R?XDI;Okf(J5j&cSfxLhVfO>Ghmn@wbT5Za>)L-kUrB^1~nEgSQ;?N$TWOT zt&4?X#2ji*AzPi6_c2{sp-;LM6%`@dT$pmzU%`cz+rc!`F;pM+P|9{mxbQ%&%EG_F z4rv`|?Gs$SjIur)foX&_;O3ynn=CF~VvwS`FjakAw|?8mel52yXTH9PjY|1awR4C4zN&U~DESQqY zGpPz#_zBGM#=0{I(f?}-qka?m&4!kM zK$3u%7`k$Tz@U3h$#JLm>F*`i2W^oA2&!5>=;Gc6%+?uI#PRnr*4pz0DCY{GbB? z9D4JjA&U_@z!t#mqm$am_6MjZnJxBO(Ny!h&dib`pBncL6NQxeAqckeki7(*7U z0+GqLG^a5%X6BVk7ksD?2*7P8@j@LzWMf}xADUG#rc891%MI8uRoRjr<6bP~dy7j* z+OazM2%HVC4j2fJTa5Vp4Vxz7ruqDsnq-zVU0 z7hXiuZ3uSIIAH>bo`s?nKLD*gR~vi+!>gFJxdWi`p@z(4W^Qf+Zjkd*j)$6BtPzGm z!0&pUzs2gWo~r<;d+gZKH8!&B?0-+4`B}vVJz78iq~@k3m-fp9XuVBdZ3DM6prUn2 zRf2cGVgw8mzvr8GUJ-IQdZ?W2uCAj~KU{*U`s|K+!<-6*edH;kqcO8meK-NCcYpYR z3|ACvuhYhaBr70y{4(HZVfFsc z+en@WH*aUPvDY)96SFT7c_Avp$`Ib<@3fRZS=AdlYUjDthmo z|5<5coiJ=)v))bwyodJ&Q(bi#sVS{hnB1Ai)G4!_!qnEQcN{IHL2&B~+}RW$lByXR zHs=NSNddQHeIaV))05}BoenqF;BxQ$Dl{mb7YsSQPf2Yg5gBon5y6494ZY4cHC>k) z8V7#Z7UuZL%uEC}4e!*2RFaT_0w)Se$|>gx9^yyPD!#z-zVeg%5>%0naP9+jv3q%V zlcDZ#MI^O$!vQnyr(3=%t@P5B1>Vk6++5sm%DH-Nuovo!x@$kdE^KgXcXRez>Hpna@$2h`pI5C*s-VQ_5jbO%rscyHbyZiMZeu(8(C z;a6HiD*4L;K7-e6T+{dfI8uDd4GQ+%`1 zsgh*@Ax~Q_t21Y;G}l5&WV|c8%kKVbQ3K~{G3$FKRa7jYnH;wn$mw_H< z{*djWF=V><9A^5NHcc4fm4(l41BG7-Ejud3U#l#;ivz!4Tk8A0xWiQ-u}nIOP%uKk zE_nMkUAw(hZ6N&nx#6CqrChVOW?%c=goz&=9q#{Wui6)^g9th@>1EicTI5#6KaRhy-#<3#NJd8X<=tCsW@+hEpi9t` z;6dR-yPKw4+1v1xBoqhy5&^BtK>5hXU_)PfxYx$Q>K{K}W4&WFuNoCqITYDvEjhUa z)x($8B)pQFZrVP@oMP5Bsu~`^)&^5fP-A$mDwUM@+qM%dU_M!wJbU_72H4W}Wg`{| zc~Agn#uf70;fpK!h`EfFaQ3D|Q`m!894L7`0BJ?wo8Uw=od|51ZkTnRT=N8#Jd8j~ zHY6uOkAz}r(Hb5l8F7n1A@2g(WH&&Sa!yXXP+D;T4FdQ}q3_;ZxPALJI|s-6%uM`* z4$T!mfoW#Qc`4}OH4;IY3H-8LN(Q(od>*a#vhhWOetj8B3b6a)=Hb_%FHp!c=V9dN{ts3!p3_H`vg zz)m9*;u8$IYG8g71EZVHn3`=qu!;hiyB&DZ+aS0NhpGs$HQ(pw=gakdw=dg3W}m@I z);eZ;{_7(U`E>zFjk{V}%`7nzA7L^Uyop^xvjboZW#FF6X$bC`p-UsVrH1g~5wSB+ zzDC{vDB?o~Zfy!{afNqUZP&-3O`4N4vg&6gQACrhu`x3^av1~k3}R(`z>|U*{%gRW z0PYW>yAL#$$KWoT;=6upeSLiq-2!IS^Du6j)9nZE#dmASLixyj9cV<3Kz)N@&@Z$r z{KStyF~A8f3Lj`}u5WD6jfLjuZfC*C9;Gr+Bw+h=0#$x1YlHc z=PX_|08p0vE6FlvOM{U&;J^m>L#@Eu0!k3}1PCMoh9uLc(qV(CqzjR`b&CLa^X4FH zhDd7n8P0(yI^2j`a3Qr&aftJd@2{^nI}N2rylX6P!8-tcY?tU9{iwY#li#m1Kqlkj zt2z$_Lhyv+J4zAQ7(f>)G-<>IHE@K@>=u|n0Pk%tu;hevi;Ky36&siv z#y~s*7Ib!(HzKA9a(AHBXye;~5)&MK5v2rUuTeeuSB|5iqklw;|D1TjmXawv*qb4^ zWT@Hapiu{>6T;UEF(G4QRB?BQw8+K91wd2F^^k&+VK6g>p2>Y+%>{>q6#DJE0}=3^ z%^^_LdeipGeiZT~cOoAS;IB{^Ay|h~&fCbRiBdZ@A)$r8TjCK|h$6&CD4zgs$kbi3 z(9fgh$`V}i|8|Sbsrj4*@LOSCAq%!~~)4!)XLu$&Vjp5h4n4BNDUf>+8u=0%{(#odp6}&GA)k zZu7Qhm!iZh_T}?(_IyAUAVy*x-=TU97q}00y%ke-2HWjSK!rmzXh3Nb@{!!Hx$Vb3 z5-B7DDKJWf&>tBC4xAXh=g+H1?LOeHS}ycXxO-EN3JdNEBJBzBLBq!mWGDtp?dU>G zAOq+kl|HzZ2l5kr(OWuK_4YC@EgG7#*a`L21q8rthaD1kA`l|nNs`b;#hQ5^~qiV@^*4}YQ3gIR)zwcfJgtF?rMO4mk*WjQIA~Fi_NZ*AF z>k`*7V52!3dQP4~eyfNrT!i`)sCcCC3IR~;jMsLzwSnVO`@RRzmAIVKYvS#@VJF{G zR&D|!ovWB`oxfzpYj;{8Z}lxQF))lR!~LDJ1oJ?k9XWozPTePNSuzvYAHQ^6(Z+r( zh7??)lZ*}*wP$8#VpCGa(Z(#_&Q>0rdZXeRi8z2Ga^JAUUcHJmp&3UN_H7v|iym45 z(TuAHe0Q8aQ;3NV{9>fns_Z0*cfxC(*+JZi;-PeXJuKtMrZ_khre4dpx z1SlBKQd9G=;EY%SYcO(pse@HHgwDzhOjl5&?1u1wP&XlYw$BL|cu7!qeqZkWyLSLA z-UPQXHkgAzIQ$??i&#i|8Nnd7FXH$Md1@DoagRu#`!u(w4cz)Pfgji<0pr@Hq*6P~ zpsynes_BzM7O73sYpdTBuc~Wk^x97r5D2Y-v@&KYi4FR+ss8R?gPc;g- zV>l4Rm(<*({cE64dmjXP0eOoW-<(nn*dvFje8iOoE>_)8i*Rv^H^Sd{OT5Yp^bRfiID$_hDI0uo|?tGIpln~tE~W- zjR-dINdc!Cg2@OVK)<#x{bVZy=1v3u{%C#V(U$U_Sorw(YCe$7-0XO#=v$G+mG&EZ zWd9XbEY_(Hf%*^fSS+!U9ZS;rSAwZI4#)PN1T)9b{pMvN3xbfz5A~B)PYY#N%#~P) z22YHXaHg)v0qFlUBor62Iv^O<(5=O5h_)iikRtTa);RKt31jN*A9ZCTYa%1aAFUPP z$++zI^00eQYz%c>;G@@I+8NIO>sgxZs)2nR1F4<3c$H>5{^`@{0mnz6`jB;F2=pRg zK>>eF4~+(y8UO@`3pjFuuhuK@DMlYD13c2Czbf3rJL)?AqvO?b?Qnl~%S`A+cA<2hB>NI$k8E&mFhAOiX5bgYwD+BohLrW36N12^U6)d0Sq zTkr;T3RDsLL@1uLPpn71kE2zST_4Vgi9*!*dJ>fO$FGg2IhKx_H`CIXlfSfz5Yk@? z))XDV>=gcZA;!*ey53Q@!R!$q#{T(_ z4#~Vuy2zaTFmZx=-Y3zrDpcR@=z*?@o7Xvg7cO5Pf#7wt2yrqwpXr-kQVG^vp-`bp zQVvNt0YASKMBO;-7RN|n0r_cQLTcG^sT7(debM=P$P^g}ltEtC?ZYwh>eX*24PZ(j z>ZlOb$0;?;N|be5jIL};AMdIb#cx7p{5?}Qrc$Vu%7#qxSqtBfGOKEdx!a$8jGFJd z=F8Y+Vgw5c3b2SzHRGtfq0u1%7B(m)U*_iiP+eVZWu}>=m&a+ds#gB<_+b$}eN(=P zU1NLpisX{_Xh6PTktcj6^S# zzqQucbhrVYI4J@tIbvrZeY6&%lhbca_ZG55M7_*w?sW@ry?1`~sEWGIlTk&aZ$0`T z=BNvP^{@jWS72s-quIfi+zIAjkgh`}t;~%QwAXSy*zems+KjiO8T_bf=J3nwv#M%y zZw9`Nxda8nDv3);+}GH`X!@)~{V=?vQ}S4cfn_l@rBI!RHmc8Y)<0>_&MrhJdg`p> z2H(7fk>8nE35h!;NjHjlJd2xqUwSm&nZ4*?3swwW!pI}__XEVT4{3ZjC)o_zbLaUV z4xK(mpNtR8A$~p-tWJgX^UfA!l_OqYi`LUK?Zm<%ZN!(}s{J74#>o$C>dBO{wRE&> zGj$zy76*7)t%Fd+apGET)lV^)N#agG4HFtx@UDAGAe?k0cZ5lZ*8p^230PmZ?Jk5n z0k9gUUU3+V6uNW@4otX;!4~5(q|z8ow1D$*++iogq*y`ME^G1H%$jF3-APU|e*A>G zQ;Mvf?aC*ES*JvcYlj*pJ$(WdlgT1q^35L><8fD`J1~9AmTsHUN4rSnBZl8E_`hNTQaV8a# z;IVTJH0Hu5DIX36zLzl;G9A>D5^-&|i-)z&b=MDI$a`=My@-$R*ID4uu5V`wZIprO zymK%N0W-_zB_$c2w`p*#dGr<@93FbjzYWDg1ixbqSi&2$eTR}Vivm(N6(k(0{-E4aT{6wFxLWga5aZ<-@`O(ZvX>; zG7N*8%AiaNpIhX07j91QimsM|0zRT44hcU!y^@+58Jy285BN$vb+NIr=|vm^VSWMF zUjUIKNBGXF2?+jP=Hjx`&}DGaeoVmZ&HlqP?6ml`<{t`E_XDQpQcd%l3<3kbV&Cpi zd?`6iMnL~=iU#j4`S3uZKT%9@V`%+CT3_^{ut{@p#f7)!oD;b15=u22RIKCfrcJnQ zc3`ZQ=M@l#a}y*TW>cq}=-<7mrsyKD+liDbWjIhGoBueA39TA!6!uDgHQcEbKh((3 zkl%H>iw1AkOh$+E67CJo7g$(l&fb!j(Re`Z)_6{bFofWJ^#VUhjwqe_$exy=;rNvi zWN3=^yFVu+dRQ>l1ykHPI9_%Hwa(Y+L4q7{4P;>fzPu(Td~n>1l8TBChAEN6A8H8L z6_91MR+(6}72Z}<1Q`l8Ao#=V0Y*3<_Rd`x^qDCfmg{@idVhDezVMB`ANxnWbTysMRbx6iTK-477RYo#brpgB{ojEl0-$3khqdiYO=)h_ z^!Ud~|C!wK)~;@kD`!d2)YEGRSAZQDg-}KY9*q1&0V3@CD5n@VyXKh)lF|-!heFWZ z{eHAnHtwJh#f6$)&gNm*Z?p-%UISj_RE+k z80RtN_wKdgsY>GlSxXuU29D1?w;#uGUOq{f?V8ar_kjVex5XVX?n~at1A|bul9(^6 zIJ{1Y4W=Q?L@#hnh&r3js)aSps1^{N;EFZ5mW9`@WTum2oJhQS^`xRD>hl!=kJ7i! zeyGtt9`GW73?=Nz6B8(R%g5|T?L8uFk?ydyNzqVPRMe*7hkhQUd9k{&@g9vPhJbI! zgFb-u0mq`P5FJ&-spoC3A0K9v7G62-x?kX_z17c&TBmDedu z%v0nN30X#6M!jFo&Jw1#%ODEWvgVB#4x7!GNW`ClVj(E&dlyt~?H>Zk{mVa8!>6RG}ajVE3Ki1C=PDo1= zy`7};AGfgHI(1g@b-EyIx-C zA@1^@KPy4x5P@kEAlgsEP+i8GH*c<&vLGP}JxZn6R52a5PguA6gAQ>_MCvA75_%mw z>l)`%=z|+8u40fTLgXG3XYb!ues8TCH&9ommjm4f^0Q~}Ow)NO#%oqQ1v=<!?<|cxErjJVJ2E{-DEUjo#pT+4K8t1o%@a&zL3 z7nBBZh-HJ6c1BKj(S3~~xEo$RTj@-!x{;e}D}*<|cgXk=w9XI^2@6^314j#26Q_ch zTc5OPMT=$zhE3de6u9qo&R^|8BizjNZS%SXws&&j1Ux=rd@_c-%e=`>FA{fnIZnnj zs#qnRCMNY9g#p-{n$B!m9*%*8>=q8U{!L{_h9zK}$K72BCTd!`yJL{S8Yl*VQTqw; z3Sl~0#lt10xdzn=a`a>0jN@1Z@%L(N3mZ#a?gSzr@xt2n{8=%_&c!u5P3}3) zYg~p}c2)9Mj#r4yO15*u+vkE#$l?=YuDgGp2;Vpy!(~mtPFia1lnyi+G*s$mCk~Ka z6@~%Ga;4e+>?D81+jbLrOhppC2CP3Bjp%Ydh2>%t6UM`S)b~?fDy!25y zIHuaqEo4}LRA z!jFjKziq6_LlY9=5RI$0eBw4vgBGDQ+qDX&8!TNBR`a@)FyNcXJE6T(p+3S1nV|o6 z)%OCJy@z>m2<>w)PMV&d|Amh6WtDvdyGZZ5%*-&r;K`xx>@+upLJ_1O@0X460`3L4 z155*#4-5Ft#&nt^U}?iXJ}Q3JRSbHu4B_a&p1n(em2^ub|ndA z5b>t;@C`!=x}SvPw4VCjsEU=E1YSO^ ztI98{5pJakSiY=jF6+n!T@IpOr-ZPcn)6Fzjp;2X+r|~XicP?&Q4KB5d!wJ0R3m*& zUY>}e7ek^VRZTeEDn^3c)S_1bomQA#@hQ+b6mcxaS0T(R{}42E+8ifhD5~>YYeUyU z(7Y0-nHJ}yE^*}LOF~~dD;PO;wFXUs42I18xp9kFC}LRf+p8XSoK#V5;^pj2JZHIL zr-e-G?sga_ip`aqAV2-?ulTtF;9Gcz;$ z7o-97gX0t?0ByfCzq8iW{N7?KWGa*luzBAH3jIpX=WR2G@#-T56bu#UXy-_|t-D(X9+q zt*wwp;Wnbgl0wtQxKh}-*y=5eMJEcbiFHjc0;$o=S5q zv59cZbW(u@gLy&T^BkRM_=$v}bE46vO}sjx<{TccuJZE8Hn};9Y3C+Rd^q-~>BpJk zhb=dBlWJr-`bK`No>eNnQy*>OeuhHr##^GGoLHL>K4Fo2R1)?aAw8rg=qK=V2dQU@ z1+qzFq)}6a9o(o*ba;FBHmGW5OOAgjx{{siu)Dhp`Q6tX{W9ySlls zPYiuu{9J9)WW+5N1n-H!fB@Z*Ytstfu1yQUs^ zCT07=m85ih(`qi%*L%uzI zRdNpo?91R(`Un{J-Ie|twwFh!9CU0f83Gi;lqsMx%p4pY;x*sDna$43^zOKi>8)5V z6pFP+HmZ1V_7pa+4jtpl6(n1>c+AZGY+7+LEzohS>}YG-i?&ynj*Lh38e{@E8K*eygZbiClaqUt&x%9s0vSwI9t+; zdR_IcJV^M+7hV52;o~`rk2oGL9pjUC4ou58u2P!rohHA+P36`tFt~fCabGfEgnwt` z1U~UkZ0xUKYur+A^txNn;uF-hc7PAReKu+y^1 z8M*M%DHG`m{4p&_L@YwTdz*sXO*rr=?x zL3GZl4}H2H8cW1!jt>N5#jtN$?&!E< zDC12!_)Oa^XFjw$$|jv#m*c6jn-Q0MA<89^LwQ#OCus7;7iZbSvBaEN%BmZj>16nW z$=l~_It{SwD(oXHo8LyCbSTh4w8s(So{U${gus@%ASO2KBZ&v%3DBJdTPM~_mmZ_h zXluL^d%L@WRcKh3LL4YA-(&n~rjy^vZSpTM|X3$!rgB6t6^E9-KSE%rRe4 zNweLFY#h+O*D%oQZ;E;zbEwgdZBD;`cyFR8To`pc;vCn~Si91eLE7;7d;fZ+0&_w8 zafeAy0YAr2x>LTI`#$}e{hybnti2vi52|ioiJ@fN&tiYRj@3#eVxp_LzZ$-7DMD|s z_UYIGL*h+)xe+T`nxBE)&OMX{jk_sd$Z9z7=m|A>(aqdFnS-+_JGh25yQfFr({JT7 zN^lOzPsrZE5oA@&hzkkB<3tnLvVK#U+}y5`k!9Q~Z9EX?XYdy{Drk^i+W1wHTCwom z=+*T@!Go<%8w&s3pNrcU?!#aUU7l6unf%KR4)~!yZoNPg{*Gxe<_SAIJOrH@b&Bi1 zylX^6M8W_uYMfj(qNY+tjiYzFDu0I6gX2@qqX|1B)quS0?01|U5fR6IZ7W8xkPm43#$v*g7hiN8NZoI5t+}oLJtbtJ@!*A>Qb@-u8%n zpntl*?2&|cL|b_{lMyjX#|Tk!+#>DxV>`OwMr9&(3iu4KQc?^@HCH-M^K@^phfnp- z;dN#U+c1hhsuT}}S_BT^f}$dFHa0f?q(1wyyhEJv8MMw~^Q6es{#-yaYR!_hs9nXs zwvnR}o_m>g{3$%QTvXKBK~(*a4Tg`eh&Dwq=C#bqYq@(VM25P$N&8E=ZMp@$QJfdF zl09*TC(sWDR!QteVtqw?yhHg$=|P=gF5i>?%r3EYWg&f z>(`t!u9W)gJz-;FXwr@$sjHnnYrC-E*RAU+^0~6cLH`F<$12(Y literal 0 HcmV?d00001 diff --git a/doc/img/FreeDVMod_plugin.xcf b/doc/img/FreeDVMod_plugin.xcf new file mode 100644 index 0000000000000000000000000000000000000000..9b7b74b781016ffaf5f3fa3ac11faaf95080f7e0 GIT binary patch literal 265294 zcmeF42Yi%8`uJzFNeBrFDm{t;Nk9b&Wg*lA5ClOJs$c~vf=5$80ZUd-JydKbDgu(6 zhaO_X0zzz20fDS{-v87?v2ZGg6h$F@ci;c_dE36biF6|P%jWaVyz@+-cXsBTXJ_WU zZsO!=Nwda`PZ~dQ(m175)T!_vF646>xLo`@1LAr;tdzi~E<{5*)HkoiUp@SviCO15 zqHR5K^62ZvwZ87giDMBd++C{W^ck}!jZ2z7b<)JK=f<}jf8Cg=lcwI7)KWKE-+0}% zqmxoENYy`;T(mWv&L!xzl?1iilYaX`g3g*gZSdzErEb4Xsk>s7dStFrPyAh}rC%tu{1>IxP^s@+rBnfTn_{4(Td=28vYw=jqyv9({2*i(k;=#I3a9m4bmi!dQ zb#1OCUQE{@%o+|iXTxi3$|YaY@akY14R6M{Su~ATuq-IiS1XGJ48%%hr1O#5v=V+NN1e% zx2j&5vS_J4)kix^xpiVxS!p1s1(jO`gIbIhlq&sq5s@LI z^xd_aDhi)1ZxA7@q5O4AUZ!50!4cl14TuoNs&dzt-@7YF{TFF>QFG4lvC98)u$e97 zuCJ9U^+}Z``roGZ4Buf6UTvi95Lt7EU1~O%uQjFbutyr{I}$Q)8h0b#*lXH$l2%w% zU5un9pUS$J?uL`@e7etg6MM}>AZ*R9FaWNAKfx_< zKP-ah;Y}!jEwBs9=`|A|5zdFMFaWNAKfx_*41g=( zPjCy|4~yV=coPa>i&Cdv3iO+&J`Q>CH9aw1Z0!`D2cCrI82(qnI`|Z}K_NXbV^lr5 z;f772tx}29U*aXe7?n5~Zi5HlDW#eaN0T*5H6@Ow#L<*Eni5Ad;%G)3&61T$CXVEu zO0^`8mc-GLI9d`%OX6rr94%i|>U`ojf1^^Z8E#rh3+ft^8m6?l8<8=O@22{*QmxLIcDZ)sIkB`UrKReV41pp~!Ko zdK@~@+UW0Yq(Xz5muLy_OD>T&2qYootceyYcz$nVCnwpWiszgOEn(bBEzaVUHpL3T}k<~4Qj zC-<*0#&Uu1Wd!=#0=yHU3 z%KAAx@@I1WxAW|p*H!qnHanW8^Lyfor|E`Z6?6@lDC^w#{25-?uashqox0q-PQq+$ zUYpB;tu^2s#jUCqX1Z8(=;};*WSZ5k#>ZOw-3{xJt^MwJLazNz3*YV_I~0!P+V8eI z%=)u#DD~OaA1-UBFZ{1$?Ki8Ye+jyS!Jk;M>|FaDtpX)M&8-Ckrh~QkJ6aX9X1x5n zqJZHdtG-#-T&@N8vZ&u!_8qPKvH-iL(^?*k3KE&MGFXHw+@D;o%)#77u^pn0QU$B6%fei&dw_F+yrQU)~n+n9C zn1CCXe^{_dccGvK)EBZ3q`rKH-Cy9_B=u!l39_*OFPkJ!Auk2KXp*!9r4sL(Zxy%- zf^SJ#9sZ{G7JM=~MRNra$Z7Kfz&QqxiW06B>RXtUB_BYbxW;qxGo)G{(=M#&c8%rMyMkL`onLlmo^smglHxkHTWF;{^?$82)#-L# zLT*v2G;@7n;rgL=3669jen;sdPK1T{UCh1;qoX-!S&Qr`r0Tet%~MIx3ew<0!@uPx zZN5@zOM$RyYvB|49`-BMIU4FhbLapU!cZ6sGvF?m4@=>7SPP%P_po27F40gInnMS; z5Qf57m;raed{_#v!&>-6sjj_YDzE^*>xaN5f^KKS0LX()N_A&(dv_Mb_c#r@1A}*u z$KXBo-K~Ip_!zdrUZpZ9b_NgS8A*@|2r{x@6ifpzJPf(80`lQw*a~}%=YXDdFq0q^ zG9U{^!8Gu~!;lLrARj)4t+1D^fePwC5~M-~WWgwy23~j=a$yDJ!^f}{_Hy48)Zw4~ zyl71^s@!yY!yo9#Rh8$#nPzo<4qX3{^>gY<6|Z#&!&vl=ib~vkxH)k9P}KNL^%d?+ z0OPzJWL0?_v6zN*?D^gp$jnnqC!~u zFSHfZLqi{KHd5sRZe~3-kcG&}%o&5;kWu$H79uBye?w^j9e6ae50$NE zSeg25)7fs~eG*o(AK56Z#&L9}(cHqDq24z2WTVVhCs{DA?d*u%ZgnAd%@OVoOIW$>btC$Mqr2VG>aFG;`8CkL8`-smcJ9bo37y(??$EX!7i~MFb?VUmSS!x@G?mKZ(+uP^4DMeaj|ljf zvOayp(kBajhYp&c%&g3;EN14x0a-CwoMn*3>0Qh~W*?`x6mkE|OwtWx8kw}}1N~1{pFC_j)Xu6kd3kFH8+<)4 zIxo0JN|YyRvb-p-KVNFm0hb_V}S{1EXz4Dcp-moH|Qr6_fVO)_>yv^_hZ<>~oSc#(C2x`vlR|q*k@k|J866R(&!bY@o;DkTy?tCA(4r$wsEELQ@4PhkVLL9no~{pisuNi+T#3 zvQfd!QU}sr>SzyFQ14YLEUu$%{jvDd+vDssEEQo;;ty<~%FV5b|yN^@|8ur5^d#-F;h|TJ?s0qup%#H&uJ(KBX2*b~eUGI_<})?rh($ zh5wopD#MX#{q+8=ss=0RJLOZ^VAaWRlK&SkH?A#>9ydx|{7l1Gz{PMmTn}^LK3E9LArCge7qAn`l)5w? z8pCJMd5V8h(Nx_v2J(0vA9JxD>92DR4VH2ut84 zcnAIoU&Bvw=YH2&L-kDN;Pro^&m7`CDn1L%o&$FlQ~N{287@96+m)fRCB{5rbl}b- z#zVvzEL|j)|F()suRp%kE|rxX#hgyekSx!X znYE((OEG0;x%gGXIyHju_H`(Z>UiT%zWQyLg#yjy(7&B-JB$@R+r_dQYM@~r5}QN+ zcDn5_R(Lx`p2r*79QwD@ZHKYKTQMG%YCHTJ=2b^(KbSVrHNIMVcQ8%mi9Y5XEdR&b zy}Eu5{M-~-KYuvwW``tscCVrdqrNPVCSB=-QP!(81}29p3hJ?hQ2ZYJNZ1Z1l6KQR z{J3MgG*73t_93yyTDv(?G|}=gHJMUxm&-ZN@-bzXpCcAozttxf<&92^axL-a z%Ad%|T|zi_u4wRbqjH0~G$+@V`n@?za$GqzRN0c8r%Wl@tDIO>x;S@<721>#r&GdW zu$ zmMf=(O7RDa!bDWcPpn#ukhy{^J9CDlN{X}^vc8cj(Ij>xCE*gP#0o-{m}lrxB?lBz zCH9iYL(}R}OZ9f4o~x+S!{xbcVJ?1jWV>rk2e=~b$tJX)3X z-_y7f2e&Fd^}CV$l3yVzw+bxetZe14=){`GMpA>*s8i>{KjcZ3$vM;48HuUd<4|4d z_>dfqRIArPzQsa zN~uxPzzYupenzc;eE1l)!d|6DE2slWkO~=)1*2dZc;R8lg%ywwAH!DItJJj$>Oc~t zLIz~PD3}Ibco=eF1?0oWuod} zBVYo|g1N8&mceS+0GnY4lqfYJ4jMvBNP~Vb0w%yLmUA+&@v=m#TU0?eWk4*YafrwZKN-tbvYRit^K zG^+D+;5PAl)Q@|yIwv7ZsmKMAU8&^Bh@w>-*83_!*i||M=zUv-)J|Da+4h#yZh%3i&xUfj@fRc zsA01q#Y0?ycyNEtc;(tkv7s!IY|i?%pf_aH`;A4C$M>Ebx@M}mvT_)ibGL40WavUz=~RN^MSO~CBuT;;lnlZEu9z9u_aFso4H%r?H%u5m`~PoGch9+xb(ul@C+p zQXW)FR4-P}O>r6G{ee(Poobg#cA}!sSiaH67SMuqQZbILs8q~GUyLufPI7|W+KEcN zY%18aarvfAKA-MHMHEmk>)078KE+D;mg5`}PzeRH!`0aJDPDAm%g6rGd`GarYa4tn zdvhwaVqcTOaz})$F)JwFkpHITU3Qn27x0=h$?}JKj3U~PXq{}dWsj>~KB~jqj(a#8 zPN}7+i(lAUv}Iv4Vlf_osh`javu<)Dem$RbEk{csMRlbuAD9?--ZE{0?QAHeP@^@| zo{12m1C2?3S{oiA=I&l84eP6G?F?9&E#1Li_$V)`GE+BPh^c1lr?p2T#4I!WYIlT; zoJ4(B5+Nk#b7!|sIJM&o+Pk)ssf&_jX1P-4U)n}G$uhHgvo`%w^7&&)c3zKEAtha8 zRrS@9l>Vu~|7i2tQb_TZA?tI4^yhq>3aJ}d4|n?|fNJpead1PppBsNsYPt&uJDv5y z)7wH%7zCr?2Dk$rfxp2k@E-gd{s+G(HNyp`K~rc8Jz)@xh8y4xcm)0iufTioZ}=bl zqSQgF?*nl)RgIcy@GLs{lr1(V@6cmU{Y=e!7S!$3Y-P4p*vgxSHWbs4IY4};6->FK7y}c4+OYhr@&dz8oJB9tDaay)Is%w z^MTvMv92HYyA1ED`|tiQJLX+x-DJimnfaan>6y{hIAkb8GUWX||7CEllhlrvb!%>| zn~FL{=-Y&K{huDQkC=}U#O!nG!eqVNo$@Bju3neuin^-b-H^Ow8?S*ftDvrSo(gd+ z)o0FlFMSf&Tymlv!63_8s@#DOl%zLTaI6e*~p7&ZqWXZhx>)3x|IT@;$$5|1U zo0Iz#lXT~jG88gPSe+Hfc?^|=IGC%-P+jHbJoV&Xb8=k>jb&cEY+ROci9eK4IVhKw zusY1L%5h&gxlh`5ITI`=cd@cUv;2tlWlymj#|X|Vv037lqj<--9v!dPUYwJ=koIEO zQ5h}H%~`BCs4W*oj;tXo*O#ZoSed9?in7XFPgm(GGFBYz4RGdMAh27q=2%HaRT!$l zol=G{rKT%b;xF8zAFL-%l%6-Q^8)pd!V#-q3#l}zjQn75yB?AFrZTXX=_!hx%Gk~e z-K8@0*r<&C;%>cgoysT)21}(fbayI)x4#!lWmqp;H&mJStK&^gW`xwK$UL<5V>FdI z6W-bJ>%ZsJBDa=e&Y`tiAR4UyBv3TV(V?~b%i|dhPZ_vPyWV!TwRV?j^C}7lXk#OU z)Rg;!uOhdFQeW<+dsFQk8L?7pHDB4?o?0}rVk!5^>uva<6?N&9QkHYJe49*-I;9lC zvvW%m>eY}sG<7TF4fMQO@NA&}@iOTB7&Hl|?c3V0)R%^N<<8h`D&-x%Q>IPD;^c1>dspv4`3QxyM{de3K zdgyC|4sVUpZ|^si8kec98(hk`1D0vXPy*pc4(ikIj$?l*c6)!RBc6oZ_9_H5eT>!v zhR5SfL$0%9Ue`+ze&qhKZ!^XkG*Z-@SgFU0j;9?B7?qW6)HuOaR=?Gj+9@mNc2TT? z8LF(;4&1j$yEyHjMj$`S+IzI=#ht>6Sa@WrvP8HTRNuGnNX!7Nf-IL!!4TxML z!EfhBs0wR)BB{cv>fE?TSu3J{9ICKKCx^=(y-nvW*yJY$3c9?C63VBac=sEpy-_~n*f;X)IPXo4 zeIwVL0vD|#*OWx(cwLisIm0cOEO*Yl;?9x2Web;6GV}DhLp{We!k&AJPy3#RX=t#* zR@j+q?LuxLRh@NZxf!}MTd&5(6)*i&;LGpL|G&3CQ~$W+c`&%4tnw3@CtmT)Kgh7 z3Z{V<9#(3}8?Z~MoU>twQn{2N_dZw%lqEM0Ho+IL6UvnOTRb#|^Pme{441?8FbD2~ zg|Hm*U=w@+JE2Uer{ke9oCjUtVz?ZxhdFQ`EQIBd2bAy{XHHU!+FpJE{4nD zdYA+E!9rLLd9Vq-fSpjreTj$0a2|Ali{Wy(9_GM(uu$&YVb6x@9OE$6PwNN12a)x2 z>VB1w#XR4EJWyndJP)}8cb+LvLGD0?Ch?C}siwERVlQ4zGzX?39lP-^J)?_{#cRGO zTe8xvt33NE#kjImF1Gx*`0bkIRv}QMV3)jg%|mX3oNHa4g4_l<*COvCnt~|RMHC{o z(J4Y95_RCaQrWj4;!d?EgObUE)DcPtBpDQ9rrMu4(awuI}Ei;xz4V2mm=&T z-9l&Em1{HXA>Bg!+wEJfjj+e|7Dg%St6_gvtZ$C4ajW&`qyDB;k3lND4kLP!>iVg! zpTlYck?rRXr%_8yl4sXg5Dk}{e-&q5yD)2-*BI(d$925pI>B*W%W=&v6I*Lmn`?=e z!Cw6jYCxn$?L1_SO?edNp`TTjdg5r*s&0qqv(HmU@^NU?o_*I4S+ZyUCH6|rZQz+y&=aDrV#X-sl*x-)-vHAX?13NWbF+r=i7DtU8kyigFCpzOX%!*ga%psMnptzJfM3s9)T3Mu(W}qlMuawq2w+kJFyg)U;n@(jxSjYjzm7_wO z%daZgM@q0wyh1dvDPOA3v5l1K+axameN$o&-$uHYU75Vl)Ul0}a?2Y`sl9yOc`5-= zYw?~_|0dr?YQyCVhP{lmz_(m#FZ>myx_@4LDz#??uhipjMp{V*iq@NXHQv5zzRT35 zVtfH!z$zIvMNuk%Pu`{azFrU1+7WCw<+QRf|1SA)Ndw|Ap0`4(FzWMGxm}+--+gLn zz}T{RR%y}jxO3jp?jl!4SVQ&2s}82~CR3{RjKcp_bX%sKD^J$eqjY!MB?wcPWlPO$sZ)s~?>im;pOT$94ecsD zQf*qQ^dD6Q-wWb4Pz(5!g?&rn3lzMSK5cb8_ zVJ&T9zg)kJx!VI_z=EG8W9oE7p@ICBT>g8yt3(cW}Qm;&a6~J1q zSIN`sGvF?m4@=>7SPP%P_po27mC;ZannMS;5Qf57m;raed{_#v!&>+RzK8uvt%`=a z&>T9zg)kJx!VI_z=EG8W9oE7p@ICBT>Wyfq3(cVeTnIy9EX;trU_LB`*I_Mu0^h@a zrB+8nU1$y+;6fM*V_^o|1@mDkybf#O6ZjtXbI+ooE;N^WcUVtpJXRcY|B+9A$0Loy ziStCyPwdJnTiF$PQdGoBFCJX8B{z<@nacISx2vIFItE+c!qY_|W3)|j^aosfcCa;V zzr8k1ze`?Y?OCj8hGf2ML*@(BpF?qlo2|qOh0)9~njXdqZ<+ReaD+XM@tmbKRUv3u z$Ltie1I6V`Q?9dJ&G;^O)mc7lHpix&AN;%GOjEA)+(@5Cj?NU7SCTqYRL8c_C_;67 zWJ=P5waKb?Pf}LN?4nu)*EN5xriVX%o#^Ai;f!s^!*6x{9JozY*U!maKhgOo`&o4j zc+9U4jLa%JsdExWRn0YBUy>H{k4op7Es#elB1M7uTQ5!6*K)?VSu>KFQG{ma#V#gXR`_4XWj`Pog!QHeI|97UI(Uz1W`3`lAgeoLd=~hB%C8i{Rx-v?W z7Y!IHN75}t?OD!obj7-tcvZeP7|b`XQ860IO;%^+2g`RC1xdHu%o8szVDXZ1)E)8o zMSbd5vJuoO)#;S5jSrg+el`}k3xcbpjOYRb8w-4HG-#_NKI}!P&Nhig(9r$q+Q79^ z#^nXZQFFGW9Es@uBvc{(Kg>s&=v!w>0z{!711BDM5yhqwaV+qy*WD@L2L%Q4>%7~? zK44$aO0CFSuyO5&n+ggxnE44lDVoayJ-6uO$ZbKvCMlm?BFe`jT$yShN2r`;KB}E& zYQij&mkA^;-d6U%2Tma-OP?1=+%C$h4Xo_5Wkru^ubmgya)mb4A@qOjuO)R5SMpD% z^xx$oEsrbl$59e1*1|>Fik3A}UevT^4r$<=pSkDdyWiAW*-~mddD*Vw{PWjqO>7~1 zee0C$b35$*yR;V_$ria+cB|Fc8w_-G2-#6fGni@@j>V^a-~Q#NH+oT3M#ij~2sfq1 zg85o~eqpf{SJLq%WZpFH#z~`RUz6Hdz9T1st}R(IVtn4^$xJ?WmicnO+kuk@N5LeR z1$XIQY(M#Xm3j}+dxU*22~r^gvS1WU11~%bxv&EA;bYhedzE@$K^;hfRLFoV7zNXS z<1yb~2CD(x-}{?k2b3uFK^!!sdoNaM{l~Bs_A2$Ef;x}{sgMC#FbbxD7aoROSONL) zF>HmsN|B7(PzRDA6*3?TM!_`j!o!dYDW7;<3+ zg+WEEomTzzYvUF06oj_!zdrUhb2EI* zi;UCl)ej=l&z6$wNcXC?S9N}Bm#G*nTmJj>vU7#5$nDLpolkGuLg}UtQz`;keVH|; zgx6UW)LUnA8RB?pG@NNrD{=XXG<_isu6H;x{f09Q?gQycb$6E4d~S+u8m0Q8KCenM zd;60|MG?w*c!`XbMscO38Bl@8MO|gZZ<*O~J5&SOwZW0ywu3hZD}KwAROQyz7u{2e zWt?%6>Yh?KNv%9zmi^mBu?i-=M0VT{Ro+kN-PD2l?5gfx50n>itIp4X$K2}rIl1fS zkESb1;}N#%=1QUq`T%MG^B?`g@6`HrbIc>s6{Vdxx}vH(5bdLDjM}sGLQ;hvO%IoS z^k=b)o}o=Hx)dGW@VJOG$8vKVZ`R~Ev8R}q%h_i|oM*Pk`xxh>nF9TQGnP>0pn4Ja z#W^_(|N4aG9-{(J$@yshoF&GwX9zfDO$3~KW=8px9HaK+lH6P?N;xBqD072i=qIij z5&|tWC57bhsRs#^bH6DGEHK}pDY23aC#Sh`T{&n~?6RR%$qmzt?HA3MUzwV*pY)Y$ z0m+3Z#yGpIY-^!)f*C3zS^q}1^cO1N&ce5{E&DBZQshkvE;L~Jt~*h@1p=nv7b{A? z7A)Uh7_c>KXu(7|W*U-eNMfkb3{_kCcM@oaloUUrYSZ;&l2J>)XK2fu`muxQj_S|b zT=c`6eJQ=A|b1{JXtWu^A`AQQwQOeEDg%kOgL!Xz#VJ*>GmyZHLhRl6IEV&vMc7cA z7xmvK;Xg`kW(o7>p8@fIUZm6)#Q#NoApS2p0`Y$_9LB>pbIE;sz;2wA!o`qGg9zKKZP^8qCu}~jUpd<8!;V>R)|ul4n^FfSf~#v&=LB=a2PN5?x0Wo2YU)X zTKALTDYbSXCvRoTfAl?tqUDM6>J^7pCmjd=K9&4<)Ei=1jwEnG>Z)VryqKA`s zok)t-Rzy*Xg?1{Q98KJrgT|d?J<7^Ly zdmY(+{%BgUPD%0@&NF(I%>6{`^$gT7)5B1fR_rXU#a-s0Q6O76YhxaC1y!dLM=Mr! zJ2|(`q-x~jSV6V*UPENbwlXDkuApKkSWpf+x7I5;ZTb=SrL7K+sc_;t}nlLJM8|8*yCs& z%E>XAB0d#kFxMADK^WqnB_!%6;sx5T)1>XE*C zU#Y#5U>4j34~P5NcDqvB&@XKx?6#NS9r!1F4L?CpsqLpi6Sx3+z@>0COo7|sL0F>H zj>qBuDfQ#2O6@{#v1d__hCc4BMaTd0u?&B0J z2M1q*K}<)uv(A}Lg0VV^9H2Kl_<36=%iJ|QpTB*?Q=F-iiODy1%^7y%4TtikLuq%& zY$Xl;?CYZpTVHF*IvES2T^ye_XQdG`rA+mG*lmuyH66SaI@2+(t$M8|%V}DudZHk) zjnhxkRYO*rYoV+X*hR7mXcYITd*jI7>sa@WhZ|pYehxgsR@YB;{T%Lh%IW_^Z$E!D ztxv}!d1$VpnVO4V&DA|n14O$cA4}`Qo{J;X`gA-YwLZpXJvPvpyY|>-cm2%iGbWCo zoiu6O_!(HQojR-aY!K^{6$s={Upj0SlW8|&O}>=`lg4ry$-ctsd4;sIT}jddMRtBd2Qm$TDL#{SnRvT*41#v(_7lJ5_PsC zn!?w#U74qzKDwmzbjoLi_CKM`!0LZYyU;1U#*+4SNDJ%yvODvX(?*vR*RiGO@vfCx znr^4W-n-28g@x;f+W9$B6%H#js;py>r1Vc^9YcM~QK`bw#xkkb`xB+ep z_fyZ)|ASwYDsjPS&=lH2PZ$KF;Rd(^9#N|7Y{&$@ zb!9Iru#^M3@ct!jteEtbvX2 zIs6EvN(E~|BRCg2Lw^_v6Ja*o3s1sxum(25=kOzxvcjt-G=g)XGxUd%FcD_Mz3?PF z2Wwy>d=5WCDVr)$2dhSKE_8_O;a9arWO(OHm<0_we|%5ocO* zogHWHOQcOV5S>A?Y!LT`jJhYeio|Nc$;v88WC`_RXUA3jhRlQZvwyID@Sx>A%zpKR zSM?umd5*RJ>h^QsXPFbde*S364o{LiURIH^LnV?H+XgCCcCe)7$dnzP6Gz#RdO;Pv z{?FweL3PO|r0j6HCmAAB=5pUE_BL542XvSk56Ft)ZF%}T|3a7k0a=-%$;d);5xNX; z>B@9v1&1+jwnGF5GOrd}SypCN)+JfEXX+Bxpkxw_I3}oodF2qYm5LCT4!Sfe>ryic z{iS_@%s!^o-#;^x_yUy3sU6R!%51S5Ucs(yVkC_{pl9%>{+5E5Pt&x)8;J6GR zb*~97cLoWpc}|bI**VdAj_-FOUhAENPsn~SHAMn8&&}FAaCdkK5fPq zShYIOmFKe3vocOG^4g?e(;-lklo(!rr@xplbm?!Bk}L(_b$t<+DN!lGGo=JL>0z1V zl3#2^Ny*KVnx-VDr04<)(1J+BEkU`GC4@u7IZr7i$t{|-u)GsnGC2u0Fk=fgPj)4{ zQUb|FVpfL9e#!y2nz6p8j_6%>kVi|GQ&HltqdiRdEDsUZ;yT*aAB#V|JuWhizy|8X z4pmfpd3x>o!@glPkR@d0P%CY%ZH-rfU%#+z5svIayZg2_wdxK1M!VVeL-rSw{lLa} zhp4#jY~Qbi|C$rZ&yi}5Jn~Jfs$N#ol1~kYR4JdPyU0IR4K{Dd$DuiL)p%FA>ZQW~ zxD3XG`Ek{}MY(F;4~yV=coPa>3+#e&<%&;$L^vP1!T`7e{sgzc{jdn0SFTggbew{Q zs`fYVGib_Hr#74oZGgPg;dP&Nu7RnL4G%#MybSNczu+7A88qcOtu~wuZ6F;o;To6< z+3*nLz{~J1{0qK;pFvZu(`&=o&<4^W6Rv@&kPQz(4!jKS!oT1f_!%_iI-@q64Q(JD zGT|DS3fb@wJ!RI=UuAQqLoG2Z#2qF0>Sf^iHpk zKW7@rd3Ne4r0&3dNN@Wh*2DDYOd~nPN*$HZIo?L10y{^u4MP)_g#2XGgynlB=iw#N zi)obEE848A>=@G&?UvH7^Gum}XLMPLDKqQK8`6pqK1@SK9C2A49dL&Z;dOt9@5h;j zTxZ91(n}DRhs`F`>JGP+9@xJ#4Y{^cPr+t$_^tEMTC+0^xwcZTAzC|+sv%lC+lW3% zwRRq5<=ifcRWK=Lc3j7!A7f6oF(PuzINtW^`Z;hLtFE8w`Z=2KU}XFGqiOj%B*|2u zs^*_jr1e``KJLzC)Vb0Fp_b$6W2Mp=5-BKwj}2%(-Nyn7w2+Jli>{;r#)FVmIvkJK4Du~2lQl4 zj&j%oc;;AX%RlOqr*doL2A8l7$DNC9QOJh+#qw79B|0Ya@FwTMP2_vO93PGXOXEF$ ztbH=BDTCj(kn3N90aj({-eU1qt}Gj6@CS=*D`ohJ6OD8Dl-uW4)~1)WB*joB5Fq;Ls4@0>vnY&}v9 zSqEvwRn6u%T+L#j9wdVY`oJ(42Q%SrcnqF_m9P#zg>6u%T<636vCeTjj3kPIH^1H)h(%!Iq)F}ZWq8nTEwhP8fn;UNa>htqIAY7*H&r`Mg7p61yg8QY@^-@s%2@eten|dwK8sK zQMMibeyFnV_0i0ljy7y{ehz%ktLvw_evallcA~eRKbn@MeUdy*R?!?r-#9j&^lCul z9EM|7k4($b{{NzurR7qpOFj-QOUu=U$XZL)a)a2zwJc~9hH>t7jvQN!mLiv>G] zj#IQb@_NyO3q|?CYMfkF;XIj}t6#>cGVelB%7~m5|2er)5=H+y^-IIe;2PqA{(UbF@{D z2fy3xI1a_yPytPx@>kc| zQJW%v;iDXrWX4UnnysJK9<>FmQTl4PZ6lSa?@DYdYn0~BZk=#y#}~AB9l~I)J;p znQ%8e2G77sSO=fNHYilC4lz&J;pnQ%8e2G77sSO=fNHYijsPYl$9Wbi;A z7zX2DCfp5=!85QD*1@N+4GNX3V+_=TWbi;A7zX2DCfp5=!85QD*1@N+4GNX3Qw-FD zWbi;A7zX2DCfp5=!85QD*1@N+4GOs@F;EYZ!2^9@7>t9Na5p>#&&ZwoKdFI<>#e%w zMul-~X6Kd9@Wa!}mee&;cW`WG>y^*?o#}LA2H#sWE?vCnn!Q>V@?aX$4d;71AvZc? zYp2l3Wf~<2FPUCky`6LTPQzUGdD&Eczm82?aHeBi%i%wvy=}7Jtnxc{Y+8fFAC9E| zhuqb6klk#_C;l;LM>E$v7GY2H`RcJKQYX!@XbN%C-8MKdaw zOy7P>9~6&UV-P)&tj=Mt-Ju;H-R?xv2UXSHx-PF%Me=dzgSzPYA6w??vROPt?5%UN zdF@gvS@y@pa-G3EU9Mf3C6^BB&we>$=Nk%-OS7z9b8Mh9r0j~y$jmgh*Rl46Ya=R_ zhz!i)n!RFKoCPr`D>IWe<<3IMa4Fm6IE;uC%Gq0Yu^ddqHQVZ9F~TmsgUZiU%4(}W7{Qvfk%Ora`LehQW-0hDT{QJUGydtz!umA<;v9$?PHb zun3-qH=zKwz%D3PuKo#-2QIJFB${ zW+{4`lkg(EFxgVPJc{SQok#KVqZ_%7tVsTV;9v& zq8&{2))KW~s%9H90_A&Kd4u_s(-@9g;icMQ*MVI-;T5OXrYx+B%Ia3-=;`K>ZWW`a zn?kx(jHK)GvNVlRMV6+Ww1!cJ3PWMFmgVHuvK);D@>qneZa>xS=V;o|iQazxXj;s+ zNivtMs%f}rDaSz#h+NesGI2j_EoR#jM~hiyFTrzV&Y`O0?_>nT-6nN z?HyU$xT(NbAerIacflupQS$mb!&d4o*tDs@u#vR_vc$XYj?9cvvDSO_9G7IueBWIg z-$4&o=XA7lZ}Dm0lRHam7L1mvbV^FypWWTz%aEjo3RJ0$I$SHaz^5$rVnxZ3YVO)f zv#P2tL(-B@WnD&$;lzK};QNegr{-?(OUgBB0W5_T@K%@~*U;;gYv>%f4;I35$b(Jr z1?+?}<+^Mnu%ziSHU(Z@2a+HaG9U{^0ZYynOZDWm2aGe&Z;W&+9xZljB3nR zp);XucUIro*9Y|5U2~}r$8(j~p~mgf%h=sVzHE+Xb3D|z$(P=2^Hn#mKZEx`bk+P` z+wi8HpnDRly182EsiW_uBYN5Jt-@^Ut*un}8#Q^;B{I29?e%A}d~d9`z37)~vd7pm zq|ed4$@>MwySxO_3}%0m4EX`wL*E+t24&Zda)c5d6DL+5Kcbo9*X?CE&T^f5P1opHgmDc6b5s+tMQf*GL(L@Li@*`M)o zjh=5_SY>f5*JxIF@tQ1ma2(tK<}1U@^1815Qn_xvRk`kRDc8JDmFod!!w>aWuKBZ- zYjL@9y~6By!$#%We2;Q%*{57z6)V^Gdz5SU)hfz;k%~H_i;8NxMn#?bHtbVT=bfvf zI?Pv519{us<L?g1Yu_mxAG zdlYN6#uO{}gtwG?(u2x9ZIp7~lB?Wv)0O*yVaol;56b;SH|2hcWmCC>lzZ7J%KZlQ zv;I2e{$zl1Z|kGng+D8I*)ple^mDV-2aWvgmTu6}G*_eYb|1|>r*Xr^O&j@_-ql3$ z2Cqh?8OdO@BdY`LQsyNU`)+1XtXS=CRVKqtd4Xg zUk|@8`TF1?J>^ma>aRxDAJVfPK+=UA2eg)ryEJw+;#sFrQ1f*4NFh9)>lW5r7`)EY z%Cv6f`6{?ox0c~Laz7_a?cX=jdrobIXNDVpo?TywQ&fY#M>8li~GnZBH+v9ohFP={QJg3`UFDenQB^ODspQrKv(?0z?ouB@8 zdw#DjI|5sCO7<)x?%N9f_Tje=c|6(Q{%`4qJ3V)P^X>l{HPSp$p1=!byqGXh_5#;s z%Xd9=c_*USJpa=S&ol3OChYNeKKhH~ZI*wmXQaPJ|MJnEyG!rr>L22{Si-wKv0Aj} zC~^?f)C)>9OV4 zarYGGOI?{kJrDfw<-(7>o+q|#`_>FPsbrET#S@e|4t#SXInZx-8&65spKtJtFYa$i zQaxoie)GfEGbyXxyn3;Zpkt|x^ii)&p^K=o*r&}@H?#2(F> zwH<%UtrI#ni)!W5>i!9sHLhUeY1nFrquyPFbB2I{C8_vpU5aMsz3JX>jL+&i=uP zgFD9?Ms#P}X-JoZF8(2jL%PHpMsye3X=vAkuKuBkL%YTsMs!!(X;}A!?)!%&4(r~% z-z$5{zkQ^8RCm8vqr2N)hWAM5v2S?d@E++O@A}J>#epY#xO(`-9^FIt6YcRY(I#^L z$}j$U_a)q)fVwO_A-&|X#LLoe&}OE)(u-f%-#0y7?9u6Z5Vxm1ZP(jx$~~X?Ennk+ z8j+EZQ8FTNM8?vRUKy^8;u+eMj0~|yXP6=O-~8>RrF}gUc9w2661lurLa#lSCtlv` z?eBZ7(!5t{b9?m?YjiI&MA61aJ)8dO@zDAti7R?X^$uRj8%%-&xY~0?;uXEuecgMN zcE@n-;oiN)8r@qD8RaP)RCbZ)mhTw3ji4iYM)eGq$v12*S9?Y#j_mo?cRl-F-1{o+ zo}N9$8r{?E^^-?UpO-d|t}l7!$XgagFN$3p^Hi-Ru{klhv44ws8u_TJ?+@tXLh1BB zYueu3(pT-?tF@-rEStAb+iP^Td$m?FbRgJGuV1!X)6R%;N4bNKXs2oWA9dA;OB(RD zruDsvo~roV`HdRkQHF;oEpWzbT77NbqwV=^;V<#aw3q5jzKhny?~U-})^`5A&yaT7 z4?~li%nDcr;i9zC2OIdy8^0joMvu`dhFhNSnxOEP5U)r zlQUTG41Q7g@r~yjYuXR$OHKP*XVcIK=GK0y@wWDD%>T3}+iA{FQWCdT`uqi&_L(}{ zb#{5;dAeDac%Fod()N6(KGU>;*_!9cN&=QV--e8+AMxlHgDzbU705n^g8lmX*`J)U zuaaN^g1NLG`_9yY_bt&(gMiwP_royrUdb=P?8v!I&z+j(kj=cXVT_1V8dIb>5MWsd~`L#?*P9 z$T4-ECwxpbnpbe1F{aKl##BpajHw5Lg=or^HS&ri!7DsfJTm8B@C^c9k(z41G*BoO;NZ%D^pS zsu=p1YB=?jF|}u6PZ?9i(8pB6ske-&y%T%Om@0-orW#Hc%9wg#;)OD%ilL9GhEpFI zQ~MrW#%@k}>t7#EW{QFMZ>NQQi-3 zmoZiB`j{%Mr^1-pPsY@KiT%>AT{lj~)cfDQFg;!D`j{%MJ#tL#FJo%|#QqugjP*k1d3r?)HSwmv*(! z?JI72Q=>-slM%H{!{0-``o4WPo$D)DH7V{M-~Fd=@VR_NOXBh)eYt%*@9fm8rEg8| zCJjezvJ3)7`ATm*ebdHz_esD@Gkui=oLloDpRe=KO>5iCunaxmo)28l_{Mhe`QESj zbSPZ8WJ^ZXzusHV=Ubyz_ZKcxqUyyKH*y#{km^XOJ69MSsJ&m^xkBj?|Ico z>b03}mep@20i%3-R;lNGzV73F=gp}sWXZiLC2lE_g7rXH#O`$1T%Dt%H$S3Bnjw2cdqW0nAq!0pJ~V~ zi|@1;Xa7X?tR7Hq_E*NhYTWEn1ir7F?ID1q3u*8;$Y~P{tTGEw#=xq=2Ue`kffeha z46N$V2UgwdQ4Fl=C_xT70Rta|G1FJf^fmMPZ`M|1RfoTNx_Dz?|z$#XKU^TpSl!3KlV#gjmrp>-A^XlxYWMCD$KCnvbsW7m1 zl7W?njPxw;AQ@Q4-r6%gUF`b6Dy=;OH7zkMgoqeeyUM_NQLwKJtUTrPo;oH<%;?Yv;tyJ+HsHXYUJpUF;pvv!_^%fi=HTy4I*+QiJIF z*=`mEG)~vDT^XL>Up(DCfwkQ{x0;v5BR$6pLAF0J)U%(Jooh*_5KT((-P`G-Vp6{SF5 zRjkEpfm^Q8Vzt2EJE4aTKCYdP^I2Y*=YM}zggd@|Y>0>TL&l#S(6oC-y*@658Ggkruo$;C|~rj+Tg#|{gJRMM0fJv>n8u8e$ccluhFiat!dBbCGK*Q zUz=-$P5ry*dG?@4siAEc@`Uz!gG`OEdryB&`}T}?v=(!L+{ZXGh1_lYZ`iwR>|a+9xe0D9O=<2anyUXi0N*j*fTQcjnzc=>2nEMmv z(bRqZUQw^fWGqds_r?1H*ADc>`T}>h^40JKXZcRYxxsjuUae{n;f}AoGw`s!*WgB* ze7-+jiu4$@=UbYR*mdwgU;k@;zK72C5!d=l6YFI1$vPJh&wJjM6gY0kitTYb2(%P`-Qr~7>4+pP6n+uA2{ z-B{ngUbAoP>s!+NUA6xHv&iCqhYrtEZ}@zdI0J8FGI>KMJ#g}$nJlWenDEw+uy4h` zGw4Rb&b~mxj_Lh8`S)Gz4EsvomaQ6h)We=W-{;$;mNVeC3lKXc3-=*S#4y+3nIALz&&HoZS{%pmB<95%f_b4()4xrAxx{h4DP zK}Y7W>HV2wDnUo)u<8AoV>UrY=CJAgnPWmhN9M5U{aGb*3enl!GFkewN*wk6EMk^X zDE9QeSKe~#6zR_*T=hAK-k*hL8hh=T#whRf!8cBk{w(AgnuqB9S!lYk*Pd?l?VFKt z;}q%7Law37h~A%7GUcE@n?6d!l}t3~&u*L|{aGb$ zc7LWduAfxTUDuw3L@RrS(T2k(Jg0bEkrRoCc}0XZ&_fSY`79&QOLwe%k`d^uJ61l& z2wbc?RzAfDT%tQxKErTjo$7H_KD`JG*27gky9f-?9V?$)1cvI4k<*8WUveX?fnj=} z3bTjEA9o||f#G^M=k#HpJ-IM`;f=JH817l`JGL6X=NiB7?z3kZmD@`U z_fUA>9b1iGcO!o6J%BYVu<-+L#P7Wau!aRTe&UVz#rFVKBd~u@)Slq}t@69DOhtmv zEst3q^L*?JwO)*QDfZ=<74fgo>Wv50N?U#S!)C;@XM{D-M!!X2Kd0DFqJj3hW7rQW z_Je4klkOPylZyQu8tAG!hCO)NkD=x_E$n9%`zbWgQx6&T!;1Y78tAP%hW)f+KZ6D? z)E&cqT(KWP1ATPI$cM~`XU+(#`NgjAj2ZdN8EFq(q$lQl$lPZ?f*QZVMA}OX_fYH6 z+kNP1bD#aRY5XP=X)iI{L*eyyU&(`K#P2gsYgl08cbJHuWe#8s3vB!n6Y;~$0jx&g z@OH0i%!_#-_QBI1ihVfdk@&yTk0a>OhHZ-IW3ey8v!vY&R(g>C50jx&g@FuS% z)@^h~&C@G9)5N$u!IyTrb_U9xLF?}yw#~LMEuf)C@^IGid2`gh) z#k^5#wR=s4LE;diQE`8bc{FzZ>5s)cUh4_>f(l={Lx@7FcY4xkadj#bBKjYm(yaBr z?0m7S=+ei0pU=-9rJHj)_1!XGH087Z`&z!|0#8Y&>~xRknUx|M*=2sd{O*$d{Z>y4 zPkG0Y-`^~c1qg|tU)gAcWf%GVi#yuV!0c~5JO`QsXVjXQyx2Euy%qCz>^m{<*2<51 zuR@hnd8Sm{g4ic(Ev&UDW^t{jqLx&s;406MR=-YC?HZ?6xLr|?djhF#Qc_atddgEf zkC`+%d2(Hkzs0`>DMzk=@%UWC}_UnhY7%t|-VJ(IkX4J5kRgwLHsrJULxxN!A2DRes;6drgOAeZ{!P+L z<(Jpod^2>+kbUEdrwqAj#|=y08ZxyEUuCoR4f$mj7eAKWFy!)|uNm_7%|nLV5YR(< zvcA4m4>*2s^x$8|ew{mb@Vn0p{`*^lZ`wE5HMs1CvcV-caPi~5S%c@lKX~xMHwO>) zY$s&tzC!Koo_fe}gWQ9Dnf~Jwg9hEbW$2*4zd2}f*&x@TUuXY1Xz$H~1`RHoI%rFA zp{p=Zbon5wWxL;tc|Z1pT5Dt0#jcO}u+|3G#tJRF`u?4`_fOSiU1zP_TCD4=^>^3O z3Y|dpy*mN#-MQ!9*619~zv1txtbJ*4YQia{iT8e#%6gn;s?^os-lw^0paLspgF|t_ zt4f`{0ZD!3U#aDdi5XLzr@g8gvq}|Fc|jgB_n4wQZFPYqEYrs1X=}!~#uOqQqgmoT z+L$qGkc%)RW#PJOOxYT3D^k~(QrkE3s4@Pn+BX0*}D?>D2lA#Gf6mC@y4v5IG_eM5D13i3`9W@g+M|`NJNehZUWwl=imjp zWpDozBS*o z05-`zT%k_AG}Zahnl&G#My0xk5zym}mZG^>=eh13d=11p^2?~NYJL5WEs@_iW@O!e zEnZg>ufy?P|3P?a=yjLSne(#aC0_5OdjYx3>nc%%yVyG`kAs}YeZqZQ02_LpI@wmB zmYh>Q(N=&~pIl=vm1o+}8=(lb4+J_zqJ2Q*=o4rqM=IPW&jNaxm+Gm=vs_Q!9$B8{ z_Mp+lqS3`(!Fi3S)U0b}IY*+!c``jD$~~jR~JT1LKotN|?wqz-ICcu)jR#De^42>YaZX4 z$FHj2FgkimlP!)f@*1Cyr-obHzti!)vO~Xb*>X=kKrY+j`dyLcBU>g&9OOLYljcJT z(4eXnuwGRwP@}5Wz%{B`1J$W&1+G`s3e=#g6);s=5pA{7Xq+tCa^uhgM??=C*&;Zv z+2W*TPq=1+vp;?%y66N|jYksgKjnu+8wHVQ*I0Qz(MFb9(MD1u+LTg78wsCiBjFQm zBz&T6O!!0_7@ufU!bG$IHWO`t{Y6`XXe)P&-d@94$5W$~J4XGItVy0Rxt3hdKs@o= zQ*W1dNy34&2fd?ox2Is9Iuwk9=C7EyTH&TkTIF;`DAzk4N8ZYopX}1PGo>w^9T{)n zf)HJzbUk{6?%7pjI=jgioBAf!Pb|XCu*AeKPdqc`O;28;C6R85;m0x6`>tSfFY>q} z+)nR(G85f2E2f)f9l9<$?%X%AgH`NcSUNa1R`enstQ@7(cJ) zw5*+i?A@k*8&~fudbg?9rigyp40QKxqaZa`6Y3|>y}5*X;wLhJ z_a~JYfG zlL)^XgB==0G;&`Z-zc(C37lDtu8O^F{KLZlb5Sa?kz9yJO1UP5Khu5LK(`f5aj^W& zV(Z0vnz2~r>Z>RA@_LthVzq$ideLS8+|t|eJZs`(6m$aIfVnrn4cCo(-@oCbDW{9L z)cq(hRv{||_tJSEf4zSc7Qe3h3)+)-<&@nRjDF!CH?ik;5PiF&4}$*W2g}%`U-s|J zpN?7m#W229|6ha4DSbKhB7A3W>{LZYESrRU4Zb`tMTmBoR$criUW}3#bGV$LyU{a77G zL|=Et*uGJHOS7i#{B)F&k-Nj@4NJ48xyEg~Gp#n(38E-MRHVQ7=I66#S!X$3eV}i~ zl5qeLT2tW{(BbtgMFV31{yC!OpZ9pHL;?TuvT zhJSvC?nVSD>m@+5oG6HTzO;X&Xk6o>;2@zn8}l%`PsRf$hub;9)t2lWj+c;~qaSYP1S-fyJi5lm&pE=*#STazJ7>7X z*Np63<5;qD&8}$H=&GiP0|xdcJ4Y#Q=co|H&IP|g$n}_!#9-&>1?%jb0BG!-7ND_n z{$Nu(rzfl2&iT_gQ+6Z(*m8}Ud|=gyRdk?S_-4y@@(=KPFYYcg^;+L)L)_Th}=K@&2` z$Waked%Q6CWaKEtjT{xC7`foL`MBCLk{FB}yv4?Kt4L+uv$b=SpJ%dy zJd-`ME!oHS?#RwgOH4HPT)N=7OtdCO8J(0BGvp(+2=NvPzutInS(yEWyX zIxV7fE=}39DgV@IR>>V)gJYA{rcIjsE9>6J?oEqnVliO36BL(53|`J6@^ad8AH1n; z^5X*BJe1JVj-c^qcVQv;F!F8a(gm3@hKxZNMsSx@Xg-t?Ml7%GTz8 zwqe0-Acvs^d1OXWzbAh_`O@8ES3R?0U4L>wVgL7hcA|ayru82^{>8fdHJ`0sx$ezd z;#!dt6=$g;(~BPZ<+JameK~9GrswwDNiHUA5`&|A{-)`1tvh^444;0oam4j;;5dw< zQ+>1Udf?d~KkT%A>XMDK_C2|061kFMa2?`UyZhID-X-zIHV= zq$}1<8Twt`_V?$m%j(kyJJjc~quo|~`ti#A4QoFE%STHVzrS{Hd>nZQ565t>xL2sC zDTB98{c`D>>lLnwE^}8eU;eS>W6Sd5kCuXK(T1*ZGTX4!RQtDrZF2Uu$=@t~dwo`F zDt5llW3Dz6*DSRx^?ZOo#Y+}1dVk@vk8e@=Qc~qObgyy`ErLi(X^qxdp~^mr{mvV{(tNLbI;EYADi~+`+a-&9!jM- z6FNVezsS1C@_q?e<}drCTU=aA-XCDf8vppS+m|M-n>_RDyn|2en2c|7gXw(cY|(Ab zXA3P0EekB~mCawarswr>aS32@$K>>i>{WEB>)fwx?o9j)o*hCKvU3c=yTw*zaCHZO+$O$(CgIkQmm06~@PP8@eF> z^EDfmzufKmI7=MK^^oMKukJuKBPYRWc_OWkirp5!8kRa81uduREXd5 zEC*Zm_UeV;gUL2?uEJ#duFe`guiRvtxmRJb=hHpi0KQije6PY}8=R~al)eQj^7x{6 zGctN6CK~Xv6V?SMtT5Sne`^ILAN)M(^V2VN=%7c*u2>gbvBG2vAFS+(m4C`qk1RLY z!V@byWaXbS)i29UHaTL|D=uW*kSeDi^3HOTjfCQqm3_1F4>#Gtu5;^Lv$BU){^2HD z@F--P7 zbQL{-C)ov0vM|{OmvIH9yWDEI_4s{v-+Jp!iHQch>{52Yr7TRg-g{g@$*c)c6Hbrl z&_R!q9m_5_mW9a{9%b3FEdP|L{$*~mg7=6ySrPv7yoC!IHoI(?G~xWcFoh}0`I%AeDaC? zeqM0nd0u0hVuvVUIZi#X-{=ZAoa{9uDJqG=T>ixVcJ0IoU%jK;oyu>Ko4d%}y*V;y zBo%YNxEy9BXn+=TueiHAFVoy9E{BH*8ZpMq=?&AZIr*!mg$bZ=%vx>tu`}35~`WPR+IXXhH zOpBQ&ioxe>?(4_WiiftHa)5sdM)Cr8f(>^#ycLc|+0My~J+!ZITc&6gaJ+jAzh7s^ zWE0%{7rXOK(Z_3LPb4e>DF{BKa{E`v80#wO&j{stl6_>J_HU= zI-O(1K~*0W?NFZTo0dKMo;mL?dVkRqlOId#E1xR$5z#)RBdyO}U;TSKYUJ2IWA*Ix zH2L_a8P#{3YWf;271XECNm-dX^pKeYQtysbQ*tCDgZEMIi`1vw&HhK#=jZ)n`Ug^< zgbSoT2}f$u`1@W`OcKG(f5Yk%2DLe9*mFyL`W!|@>e)i!BQmDT1>T@OeXgS-^_-zN zcW6wP3%pN*arJ5N4jB(18q*ZcNYQ{?ed=9AhNFmvBn3)Rn7R5ib0E1}ac!j>Nz}WJ zWc3m>ypx%us9u5``zdJDD4l;u^%l9WlhvojJ)}B|~{T7u6?ob^LxRf^oT*t)!4#&+X|% ziGtJk@}_4!vq!AJcMjUedc3jCW8GcLzPEf|o*H}WS3i809vdM;`+SARim&d9&DyWP z3bTLtV%Mv2am8^R<9@p_)+#6qZ+)`W_3J0G0(|}Rr``e@A6xqH(zvqtoYqHgQ0R*8 z|Daj(PoIg+zb|&k_ez#UEf??F`EHBYZkvDHmLh0 zh-vM!Jzr;c(*KFbrgK^HGAZ%~tknJ|I;p?s^ZuPo{zUX|pX7NjsgwRsMAH9cCtd2X zU)m|eKM|MeyQnd9PB?XHBbM2&-kTSY9p@q7+|Ta7J>=rV?%lg~nbelC2k29>GU}22 z_%>`w;>j1=Caqb+dfI9AFXG1abY%lokiG5n$u+mJyNejx>~Ogqzu?@9YvS*RF?8)1 zx_0YW8XJ5Z@INWBwWhW6`)9XE2AMxc>e?T5HT*+e4Wf6B)b&!-)$k8>HON2_`$5E8 zOPc;`M;sb+#|%rcrnv4q{&9?@f8g zDN5}yrQ{~gHx!?Nbx-tGSSvgq^|tl~Z|~l>e}4MddnvtB79KyfAuF@&e!x#>l-;}V zt0#F_yW*`w`LWZSUQK)9N(_$IHBHw3X7_B`6QT9!d|XTqim`{|a4~~1QNSs9bi z0S%=_B#qa`I$NZ#{VdiJTRif|AJ!(uCVaSa*F&+9u{Lk5*yFR_ef+c7*w!by#7?3cHy5pHII5D;>yfYw1(6|qAHIeV> zfFx;gMq$$8jPj(#8AXcY0!bA|(uhEfH9s0{4XKh8@v8Iz0+r;7l_XWXLQaLnD`XWV zzt8|$ievwK#p_a88X;+wJ?cfOVTxsC?GzEMjUvmX*ffdWw%%?W6^Bs+rk9PM0T+p6 z8$YpalIUsU$Fl{d$5rAuwnz=WN*uu!DGHjz52;h;)s56AHKs2yhzw&J$EwfX#@;{e zSzGS8@SM^lMFb5r?bOCi8VhVr;~Y##&!F#3vkwi(;{xhL57E|qqKAY}^pNn09uhv$ zGbVhZ2aHDakkW`AQa;f`f@)lyA64|gtiE(^r{HS6DX7#n> zSqneg&)Ra&g=ZmpfqGMj98KQ@%swqVK-=cKwF&X<1o)i56&0+@oPwc)H&mLQB+_etjo}Y`DzM5x*Xc zI_Um&LP`{eYxwx`a&9(OkgoX$KIvT>7GCgiMDBzqqMmS17}Pt8!%g@sy(`kU9QdYx z?^+tpi;dJdbYpDpyf-XwR4n-A;4e$wu)g7WjNc*?h2`|R7_$jogNESBn5X|R>oCvA z(U#Gkk@VD`Un3JNLy|AXoWsB(K-6m{=sV=f}Nm1ng@uIrWCV%O9BL6g#uv=i6H z+6fY0N+yBJC*k_$7dE$CkIPl^lN^VP-;MXeV;eYf9(ZD##w5gW3V8ct8#ip-P)>N7 zMz^JqdlAeN6WUWnOmy_xY0(kU&fTw+|0~)W?HuvPvs;mNzxg3j#SeQNCQ@F8ZIXo+%vx z_G_Z+=vc4(eJHWce>ZykPCPi6lSMCkop{IX`zLta;I&3O>_`7k&x@8>qK`~o6CHhR z$*s}X7Wasbp1c~dFHhN`qc=ZAH5ZImdu}#ibR<-?j=q0875L7((N`QANKbonqdPj9 z$*gFgBmG9NBf{ZH*0dJIqJ1m#qPN{oEZvH)x#Q6P;i=+@P0`bqL`N#cUhnPfS1cF@ z9K50E8sy;o_O1_}r<`KTJN%LAW6RrT=ptU8^NQc{-=a#~ySb;=}dB5ZD>2%L6^nr(>FEd%DcNsZyhj{r#O5D6$ z{q4xMHzwY)SzKe5nP+SR+p&EG>5NVOk-pHtlHU8Redfu6BvJ>9kG=(0?@`>sT)Arf zl2#pBKD}Pt!W7)62DoluJx?sc(XUOj#OECfJ9{!SkFR?Qfm2U@%$eyn=E{}%?`Ax| zUcT5YGq*YR0DF4n_)V;1`Cyqj$=f&c#Dm{4_UMLroS80UuK4uR^_w{_U2rDmNcQWp z#ccIcT}wLV7POITy}NDZiS}s6<_9>dldd^`68TB7a>-d{eBf}~!XE60+_@hy`Zz?< ziTq@id4jz=r$<2t&S-XRO7Naes(5%GW3Rut>ml&IgKCt3GwbNf^BLzfz3fb^QLON* zpD0$!ZbuglC;Rb4f5EA_?p&-~cNUCgQ@nRE)}eGOy<@dZ_UvvZIDJ>1iZ{~kP8IqevrB>fW%j{J)(Son)CR)!|nTZzERWi|nrAj7RVF_TO z1zIu7)Z5B4H?D-%8fAS-L*g^aMB+=yBmqry@ThBC&E;v;Vjc{oYN9D2Of-MuCc5&c zYMN-uxSA$fVXcmdmfKTx6Rk2<%S6lERX5Q-#wwX;m8}XUTIQ*uiI%xwqCMEIN!BQm?t*`_z(E_cQWj_;5=t@kqAn_Sx6BAAGDV4ReVHF)bW*pajlGa2^ zTwThmXi5kZ&7Zi5uKcN*CYmy?rioTqt7D?&_Egm`&6%rhqKUiO zCYm!>*F@86s+Ni7jMX&J#9K`hEmhY<6JxbZG>MC;iRN--Vxmdx{7kfi1&ExcMu&R# z3s?~6^v}{MtW8y^9RK_N4VawLw`XD4q<4({< zPZNQxEbPeOF|6%xd2NRm_hHLdcI@%%1PX!m^r0JJgM9u3Z3wm6%;GrUb~zTYch)kN z^l0x@rK}Ltu^7y+980M z-EuF(jhKdZ%MH;Ux6A7CJPHqH`Lze}S)7kN@b5ZVyVL0amAt&=O7i@kTH87L@@UV% z=vvX`bN3U~3^)@{jXrW+bn@wT(QvdzH=VytkVHk7Wqtdcps5vI`rZdu?;9IUB$jA* z)@eZ#8C}|R|BcTmM9ypWZeKz*;2AA_8$^-b;@hL&n-tAyTn?+l^BKIQt~@U}YReyX zoj&c^*-2y;5nVEH+m(WH25NY!%W?amro71LMgt2vM>nLJgKE+52M?Yu-Xtnt(sMvGB}yHJSoU(M?2(dMMa-{=M%K+&}iPif8z5MdJ^7IMje%Lsx`S*OMkjM z+HRQ{ofKoY{FBFjynx4lgqFv*YHP<_F2{j9U;Q4R_;zirv6qX8kQdM=MfjKbC_ZHE ztjlp8Z%pPz@)!E(xlSg*atW48hb!n=z{d2uNd9;qg^8@wkLX5cT*PBI65Z&GIvHj# zPb-AAqhO8~@!*X_Ix4+Rx+jjJ;`R8d2;yBa`EcRRQ8d>=1jmcy&;8MJoxW}aX^dpf zKEJ+JMC0(@qkY;;EQHxIC2c{Zx80h}N&63j*VNmala5HcNd7>eJ7z>$oisNBG9uh1 z2*J?#wV(LaSzz>3E{ed2GqoVYIC{^!H-lGkc%O^p&kDK`o3TzG3&jEyWXP@KBZZ>O zVW}6%9~#7A&D1)n8Zy*=R5n#?&l9|QdeW%Wif6rE#8nhEM60Ln>%~So=qopL?(-t~ z5DYy>rBqA;ZA-MHaE7A-Un{(=-P=csetnYHbSU=0oVoMnrSttT@j8e0uG8C%P>MKU z8N>0+zVM)`S~+nCgeDe=vCHt@I6~z z7<79w=qV_nQc3Ee7EC+G!u&Ko>4vB{qMTldbfK-a?eP9_)IB5>nZoae6I1U zn5Qu;yW$GBTZV`gew4)5q7thke-55P1JPRa65Xh;t*%Gqa6$3y@fFOARH(8_t)nbY z1sVT{!6gcer)p=_RTir}@DMFoFH<05DJzz(GB%g6nuTm#WeKZr_q$AiU{M>{&w2Zp ziIcIA6(6RTMFvaT%M=LzjDd6Bt`birRMrf~;1%UxPM(OhuK2ROlG~{CsPvLU$Bq_y zy~mH9T8@;A$GX^>?)XpX^6d0wXoV;H;~xjAbTTA3IUXU|J{Rg9fzfqp(JNzixc@0N;S6&l@~=!1KdE1VE~*ESP0- zS~e5;ApDA3AheXWq`Dsdc07)zTD){FmDeyR0}io{`}HC4fk9b2D-0=hQk+x0-hud4 zOTe*J$6bfM$-z*?TAAN5QQpE(oJn=e{oU*R`EhRJD<7g#BwR(95+$YzI9|k%VR%&6TMn1GL zVWk#UYGI{jn1qFAYS=Xh&(ugk>gRLenObf8??2!m$LA#=cHIvx86Z?3V zGv7){tcjGJyoO9&8}W6Jyph+CsmmdCACJS-C6Cz0YslP>4duaA#e`Ma%WKG7$G>|T z-*J=II~W;aL$4uoF5X}MAwJpW!$f4b2M@@o&mj$&Bjc{`U9V(d#iCukII}-keQ$a_ z4r|D;E*Y`)@O@Zh_|_`czU5=~c)cqp^zU1ngBvnyU)LiC?lMr75tXOlv-|tjlF1^{ zT#tVD2#=;JS}`Kcn&uw8#~bN&t$w0^S_EI4AiK2Efj@Z;Jj_4GCRQ&BozqjW@DAd_ zx(0-OO8>p4?8{j^%sUYd?_=%b9z_{~a6x}cVUg01MWnhW6hF_M1ft|&Sbexh@{(8C zvr;4YGKAB;<{f9CD+8U^bK(#Jcty#TV>jq}fv^5Bc`E$c(#8_k8 zO=EFRhP=OGHiNTr2;k8$qM^HSEIfVs2@TFadvTh|(-au(j7EXW^AvgPX`xQ|H~LBE zOBU|9)ni6yWDtf=Q9{LxN>3*qK4sDK;A^sk;nR;$F>rno51(P^dEnikatysqcT9HAi+GqF zP9GKRw$bb70p2bU5qCsr7g=O*8UY(_a(_rpm?g$T1jr ze`fFy^MQvN1Ri*K0R|q2`wcu5>NoH-7{kB|31b*|8Xm*I3khQwcp4tVzzYdu7`r1Mkla zonk)lP=mk&PYN*bINWdGsZhUxr@{Q+BWES=5X!A2DJVq;2f z?&h7u#<-qfcOPOog?-t*Cps|DjNgrVo!szDHZpkmha1@3d^v-n{PAqTa>m9SW%HMU z=G4CNH+#FYWN#OiR>2m14A5_fav7+Q1$$yMqa5}lz(=w>kviVO3fmWUVQ3;7d4jEc zgR*HptiV&;)_$fP4A&VYIl6e*dCjhP}LI@Y0$LMWL$>ZH+l*j%D zGz0{Hj!SNYk*z58|yV;X)o;g1*s zO##ia#nU?G!We$0UkI^r?E>l^Q>I-w%{`?DYF*J|is&ruG6wVA)>B@bbm1h|i&I*o z-yBE@Dmt;^yKpgW(#4b9(@6C@Vx~<}DKu9trcH{P&lI9QvH{@GphFtyMhn)rSx4`}v3U&)=P*arRD|WSvy} z?9zgh+g5z>TlpVvATNJ@`mWaLS%186=&gT0H}TG&?#`R_2q20#n6hxrDmXD`l4VlG ze>N5$eSK1dNhWl7<@D^sQ+_{$YJ55)Z`kfx{G(dJ8d4^%Z`~h)wIXk|bH`+){VO8! z*gN_7+{t;*Kljci`_Hp=c~~Ynr=Hq51)bp9e)MJ2Ea-sC>DfQzojm@>;e-44?tXH| zY>lIN8rtA`vuGV&fOFQ;PoGE9zZUdWuhX-?dA{(y7pF|lo4@y&uV?dbdQnSC@p324 zSW&!jCMr?-;de3z4qqYug!g3pTpWBPw8gL;foS?(HfH+ z=y1&G*=x6K-}&>ehY$bu>o2=rRlU)?4wJts-})lu@XCggPp6yZ0I#%X_OEs6D`*-A zHT8h=`A-(lLKnE!m%L-z19TqFGkbU4-R@np`8hbvOPqG>dlc(@VOQZxL3n?t_k+jC zTJfK6o#^t2Nv;o^TTEXbzlr|(+U<3=yT5pq$8l2%hS85Z;PAY!=cgLai%eS05x%Alpyb;ND`$Wp|X5DzrQIpDE-gLO!d1B8z z(@~==j%lGh4ZZ33_bYSfzy1RFj3T97F$dNs+QqX^G2P}e{VCoHdTrDaG{gw2HIDxm(1?MZ}3Y zK_2s)6XY>wioFES~gfp2F5dRs?2`Gazn-fsbqBETn@I207 zPC)!;GAH2tXD}xSE+2Xkf`qGc0^!!YP(IXJ5#N&J*f=fV=^xxq^l zGBtC9+)Qg`@$FN8|Kqb)m+mh4^&#Zt&(G%uxr_dDXukc0G4N9-kYmd2{->H^*x1I%VQo}KshWu?JAgSk^`R`HWAAh)btY&V2It*D}x@tJ(aM!}qua7m$f#wFeAJzTH{oz>6+<(DHitIIx*9SrZTrWFT zn7%wdHyHQtnRRBmUl^yE8|0GMj6ZN{@gS=G69@jd*OZ;l4F=}c&2^6*p_v=d04g58 zuXOjqS5_ST%e_oGa5Y2u0zms zG)2GhiFC7T;yWfbNOX3Lzor?DL!A58r(Kwb@HH4Y*v=!Z2Q~tR_FxRqMtgL zX2E9((q-e6S;CM@CCw}$5p8g#{_!8Y0B5&xkN*ov|5|VxFI{|R;U{z6dh_)U_YS{U z(%AUKCQWX5^w`v!P>E9ZUde0mO`7<*F~1nD}Kcw@Josj0nsbnl95Gx9B)(iqgC2QUN~;=Y?cGf5~Qwm zySlsJ4xM_dhI+sm`$+E1=mOW2pHDn3D--SX##(XiF=l!TxY_{-`fRY zZc?v_$H-a{_gy!uHI(T5*H34`dl0CO}uhrdiYjT9@NT2~%)?xa0 zg^piV-(fm&xNF30 zfvlM8+Qw~Kw{FcR2tdVj1&ZR13`xF7?V};db&?6D?TPH3b$Xh^481_#o2%V};^O!Y zAHd5(soI01H9iweiQqq}jW5yRvwH`}(KX22%@pqiA(ntEu5ef!o(u}s0sz9M2$FeI zWD+!`t>-pYkGXG1Vhz|Y%a<~?>|=It+D`?WyEAqmecKeq+8!MT<}Q1m@8a!*OiKss zKJ@wg6%@n?6N(-cQwn;fY(DhI!nXD;Hy#-_?DsD0;PxBZZ(71q53xx*;Nd^scG+7U zx6WoMo==bb{5)fOrn6qBK9c#nd1tm^o942cPK>{$jE&j*OD3vTxIVJxvDW9z50J&f(%3u|=r;Ju9Ho?@Ht zW^C=0b|-Ha;jyAv(Y)p9UeLZRb8o*HI~Hur=AAoE&tPw}r@q6?KB+ zetRzR6d|&@4MfgG3zy!4jSjW=gOov1#XSgSK7)G}h-duK|K;Q3k!dJ=y4jw^+w5QyH87ZQH|Bb{yH%4I$F4 zY{v)gTRA_)%X;EK5pALj*?V;JBF>MlsEPyc2&Z{==HD@}XE*nMihQb*s0cftGJ zZt0B;#g;KqaL=^DdcfJLb<9}A!g7th_Uf4PVj6p0$KXP#o$1QGwo{k$y72VqjM^El(bp#3 zbly#2B@|Xd=c*ENw~WW2@c3Mi*RhL)mk}z6`w;jqoJ+pnh|J=w?4d)lGP8yZ&CZhj zaL_uL#kniL{(M~KxX-^{NzM{-YH_grn8)!{=2H&OF}oH#;h47q;0o`t3Fjs_K)nOB z(yUL=g-X}K9i!=6rN`k`0hFsDyQNo6Dy2ED={lwKn(IZ})d?%5@XY9(pBa%i1WL*0 z@xTxfP7Np_zELt_PI6s-NF4j%GCsTKWeP;Qq%+0V z=~+klc&lLNtGi1S7*EyiQAhctt4u?SyGs<9ywpSFnz)u&28aMRSm_~j4FJAX-;+2& zR@9c=|08R|$~v$eI|i`%uhH|+8xBl)f$bSe&(rStV9~&YrJCgXTw|I@?C)|G7DQ5nz&1B-g;r-id-yn-$4hdpqe=cN& z19qG`U}x;6wFQ5?(-tbeP<~PYrSel^4!eMzZhz#tHiHT?*oqY$y6u?=ddrGp{-gnv zbHH4pcaJ&@f7Fj3zS_~*o3RPo*xf};e)Na1ah&D3O<-}pye5O9%$H@KX5H^){Mng( z+7DI%JmYLr^NB6*i$R6>b!RzXzuf%UndR_U@g77sr`35y5xR9JfivKCtL7{V-#JMqN{y!}{&1poU_RwQ6MpvCucyBsK&lp*`~%;S71pQioZk!9-NZKE*kSn>+_X5kCBX(r zpZ(4r44({XGwDb>QtzD2vZ8?FC;oCvhvh36c4Y23f;L91qIoh0{T`#xg(41@vciE zUT$KFU{=x(cO~A9bQsc||FVylQ;^{)%L1^hFdJ6)?cMw{3*68Y<(z7}<32{rt2o`x9gLf2IhSmd1gv9dzhpvyl9eq#8x5wA1oWD73;;5e!Ow~Y>>Ot#tb4?+At zZd3}|w&QOUM%wAf;>Nndkwq{VW!)!^k;zcF<|9W(sG9fP$Yc{M{}4n}QumdkU2^+n(<=W^`;Ff?;x+iQXKmf6y>E*P=9pG8(APA&v%+hCCWT8}eunRS01; z2*OH7gG?66XrO9_JQ_eu?GIrzP!0YpdGL(}l&X#fJgcK2FItNJlY??l>(8~&389Pz zs&j~=0i+?12GE8)8blRB7!883($OH3g)$nbnjwz{5QjJ#s0M$QJorWfN>xV#p4HJH zVKgW=WHq;C-5onC*J$}+*{Xys2*zM#<+pxv^wb|ql5hl0F1P&ag`L^0TbKJF?vr=H z03W%zJNszS+HE_BFgE4D??2@r+Qk#=e*UyA&a;-|nr{pRCctMJ7aW^%JFS|JE-Sc+ zJ$0~6cFApQ`Y)`TcPi^}d@P7@4cMYw13u8^ka>ZPs`=hAIkt}cLWmx-n_x5;w zYiE|Nr7lN@@(NqnuiZ@roajRx6(=U2_c+b#zxGlJIgZy_nt&@ zzqhW}v!5p`fXyoQ*7mxK$Zt~ez;|UOJ050i?|len&R-&WV4cSs<#l`3fuPu4@=Uj+ zM~a@&Q`}Mxit_SX80%Cp5#9CU$l+}73p)z7-Nrh8@Y~VPyR*l>e(Q?^Q`Fboef5DO zZ?+Y0+5LWnH^S?#cpv$@h9O``6x+4;n=Wm?ev`elqeHt*3)y(rP?qKDti1Lw-f!FK zTj+f+*08m_*f_th7^k6k?S;eX^%bmL5@c#K8-EC=oV|VIx8L2H%9wq-;BikcgCMxe zrlY2=p*XA?#ZIEZY}QJa^6AbUhrgoe9%!pKU4C!rUW!q2^cP}}WS(Mhdx}xGt7G9* zT_$F4?PU5s@{eiB^d*&`?i%}fE%h!e}*ZjEb zSLK#MAh0nqE}}Mm%Srz@#%%V8mW+1twKnfpJb%ftinX zp}-`ez|2X60#k9a-lA8?71&vN;Mb8x;?o(fk=NeX?YwUM`qGHB+G(y4;`$O@ZyJ_b zJJmJp+E%#iRijS3e?b14aoe^8wL%u9Hwf`mhXneQv3|F9V$iUuu*ySa`5A+xBxu_M=_S06__prhHX;!4(C1Q#)vEMg1% zGuV80(LL>0-<@wO3s*T*;tfR)vincApT1eFj=#-gU5=P!5W&8DXvgW212fwz3tBl; zA{+M0$z4O)fRo2>rE8g^_IzTc|K_r!#z{GL3u4trNK)g)t~c>v zjI$22AP(;fT1w zXe#OJUK%~=qcUi;z;t@S3rMFk&;hAxuvBYSwJ$PW&Mwp4uD(3xGTrU!%e(NjdS~eJ zn|NBaFPC@Y{Oa@u{MDb{SgxQcjaiS?YzuW)HPJ%dRZX-|XF+Scg?WEM3w8It&_dn4 zFSJmnqHDZ`l4%PuBAfBu`3qQc+L*@=?aAY(8&3h*{CdoBFAO9ERmi}+kE@V@UlSTr zCB?Y{+DJl8MS`}kaMqxPesCt`sglWOd@|4Mo?Lq^X6^K+M#0N_Ch(1iY_ZGn+kxY zL==D1o~dY7p>ilxL>bW)hy};W*TGkyIU|bdKYfVKrO5qZag@7VjzwL(6zS#o9__(U zkW$nTnKa~=<;Bhy?TpvltF64~#@9Bc@^Y@t39(qIu_XHQEI;r=Gs*zKHj-}uAVHE} zb3c_104l2T0U%R_G60CQ@&VwZ3N`?IRKW&-k1EIj&=Lh307Qr3AIbnA(vV+PUJUjW zFaWB=6ngfilr#WP9u0udiWPDX+Ozz?KVG8@0Gt@`4S*Uyz)I?bsDcatEm5!mKy)Dv03yWz2<=CTflvBRJ{v^?0JWn55Mm{{1puuW z0B7yN_YoP{cS?s%&XbDjzqNkzeUj8|{kr*=NLMPG+dzz+B-Q)LR)5bG(%n;~2+1?k z-p~#gKe1gPmDd01{0>WMU3ld-j!I~lAfp;cB@LGLm%6{z<3NL7I8JJ)WLjHtHr=*u zzGRV#n|{_!lG=TANylryF%X?6xvtrC4QL#7zHcNw^0Xh?{rax8mbK+wq#M?6nA5<3 zZiIUJ3Jj-|u0MBs0+QBX&brMHC?ZIb=6i#{-uIwX=f|rwa_GZC4x4_flf%mroHOa{ zhm}DPw;W8>3F2jvGhZMTNsN$6FJIqQD~F)53mOzXeWVoeQL_I$hD zzotcYDc=NKrh=h?bQ{`uf&R*kD1Zo{+z1;$xe+RmawD7~QUR5lC^?{V6X<}-jnKY9 z98M`p;@s{DNLm2pCSG?S*%8hUVl^Y=yNeraiqKs8w#~3{b1wG?mgSHw{>)+;p4WkgR_!-1@^Kl62eFZ$7+K%-o0qi2J5U z^$$1s#~)Wp<7e~vk(jv=7DDxp!wovGtaZ^QpFg0P+rPfNO_CDxFHLH?+dzbwTg-M$xg|&4Uv1J;d46d3{3BZ|TgtmhH*MXv z=mG=Ur`)K(aEfMb=XOs((k@u^&G(NfBIujBQN4kEuZ>!98Z#s?9q}dA8D%q|3d*^PIF((7NLuP&zB4cyFa3qgz&(!YJ{sEL4FAB1F#o74mMEFvHjISn{d$Q6fLQQR2W0_i^Bnb8xXh zp41t7rhHzEkEs|OD3B_u3XVl`Cx{+IM_N!~q*Mkz5P~KGYb0J%N*!|{&r;1=;TA8;jN58=%K4B` zQ1@$?T?wcswe)xDRs5$bnvxck-3^J0s7?kR$|Zu!WPzr5`Tl zS%Rn)JUbe9Dl7F*K4JV>0S?Nl_7?~Z6%NX3v7e%ffQCxVS6GDy0}$Tt7WBOX1l0S! z3M;GxhQ~l*wb)OJ%)me>`bQbaN?0`3Vn=^l?XVjB<*CdO0**QJp-pE9zrPfDN@KSY}4xUnKr{5@uRwS`(}@OSUXZ*aGZK{Id!$;VlV{ znOkO>woMGaEqE!S8?1IWj4TZd-jDR?HtQ35H5RE<%gFnR_$bf6F;fECt8_-FZGw>! z!s-%o96~p!EV6t?k;Nx18>H)MkSBt_V%-Q4?-XU!p(z%P8M#h^wjN$B?+*=~gUd}m zCT>2O3L4=wwcrv&xt(n)s!-5M$@(A&O@rWBoCWkgwbKll%TqJzZhLqV9iBvoC(&FX zb1M{{L_;Tt859hTZXO(-M8oWGyRFO)!;@&^luyjRX?hc$M29EQnBavlPp#e@KRk&J zPoj;}e#1PsCeT%wL=R3;J<)@?CptMHS@lFGX9-WV4IX*L6K#VhIv#0wq6w@xoNe$# z+a!3uvBCj9-iWaIBjDDxk*}FB<=_R0h7H*GU|2Vbf+soR?y~xu5p1VoqQVto@krgh5m%_MBDJLc-inP zQju3FvNnsT-qb>C;abg09S~}1a)JfM& zULcK#iuh#e5~7nU>SIcP4TUE<8J_55c$$+v3CY%Ec%qX_vhGgE0yY`{tO87Uc%qZD zk^>f1B4y#Fh;Fdj+)_MB6@_`|(QRHus#&R)kyoAgsQQ0nrUbNC>5Nd@BwWO{2pB>x z$02ls$|B1ryuM_pf_7aE@rsb8xwdC#NdU zk7z0&Oc+uYUv6iciYgSeQnEe>LW5ayuq@63x}Dl-hRo%u8FeLjqTTj=_F8uL$$Wbx zKiE-+4t6xKdrsOTcp5nDKGnj8QxyKyr9&PK5Nm#k7_7 zPc8O3^niI8wi|+?YSS?T4PR}L(?4RPd@9KM697-UXo2v`hKq*gd}N1_!?UL%sPcGc zd^1kY#z?}qMmUakP7Fj*I4n-JqgDnagq(_9{74*X4@!;xQ|e=25e2C=P#@@Q4d=m` z1YQ$VMk%H`JXV~hAny{g!4@G}OaH0OrgJ=)XL2C^(18oNp43Kuj6E1*iNc6Xsn_|@ z26Ik}-GTi|dhMc2!OE+pWffJ?*OtEtdbL_!I~ql$Yl;oR>%xXT_P409$9X#iGv&bl zhh3t~L{jZ0xnMBo%gp;Z1!u~asqsTkaw>xQfO;CjL;YdKsnCK@@bUcUEQ8yW6$epK zOZunOo^q&!l^<}TS78;0eQ4x~riaEX&f++^3!8)@2)DXr&zs8+L{Y(lw=OT0w@|4v zrK{-se-atA4;@j)fnXwMTGDs;vOsVE&ZS#D!Rf#m7wQ93Qekp2lhL0!uNKE1wO*WYT;PszaVwS7+)OAytPfJYf}UV0BU# zcz(`j1HtFF;R$QiX0_o7E3Fc$Fef!kpY@z36V?>+uy!9z9#$M!P&};N$-{~?d04Z^ z!(fU6C`Zbtg52rc z!|IPmO9SGSJs1rQ+^5`6uM|O*#~Q>IgoJN_@G8)bfLs?PA2=~>R6E+=4@d})j@X@s z>yvK{1f)j)DfKb1h=SA_s1Mx3N<7$W=QTlPlwzvG0}m^0X;7PNyyxjzZ8p4}HeFHl zdicgWwNY%32VpD$j^~UY>h&O;7Mlb6aP- zR#R5ohP4qX^|7cu07#4f@MFfx5AM)Qgjb8shtSBY3bQz4;-syw%DC07Xx>~DtmTD= zl~6i|Yk&&U;TIC9Qr?y5{&@1R#*+_G=AiN6B;_MF zscIaUB37jUBIl2PBRlz268erj`6&SxE>g`t$o#aH#v}jUqd;$Rvs}_r1 zLM^L~rQ@h=B(d2O;4pkj@hss+-vW=9>Vq_uxkf*S-%3uPu3Xu@KV$SHQkhNtNY0E4+_a$pfdG08yL(uvdVqlGA!=z|S7&|^6QFNfPFy+%3e;^FHAJ7Iv ztpb(VkPax%H%(wvfxea zm(n|HnsDhENXcJn_?t?9Neh}n%Y^&z%qD%|K0Na|v*^PMX)HIhUr1xQSpp*9o!N`| zs|Iv4=`U$PGd`BXeRw9XJ=}+9K9-wFO^n}=vDde|XXZ;6$?$~w3GO>)s!6FnE*aXx zN%_M&;ob-=3}MMR3nPVpi;0g}?PqVaV-|32&l8zOO2f%;Z>VDFFAQPH3DP;$l^p*0 zC_ZK>T61GEta#9lStPYRPh^@g8%~CMLlsMZVF*i3kj|;DQm#pAi3FKIuspnfgq zJnT5QrG3~c!R-#b8w~VC zMuORJY~eAY8F!6Lp*QD0GDC_5?Ha>e&8w3-Qd(kQjq zVPHfRl`k_PsMCzwwQ9+q6glP%mCoPRT&tK&$*%lh-G;%ERxA0FaeegXfi+(+(pp>< zY_zxtOO zU~y&2$}BFCg=lf*94oW9GFfF7m&i;k?%4#Z9}vSD7iZUT)&+-CG~X42#l_W%b~b*# zR*%4$X%GVe9vz23@G~ZwPtjoo#Totw;IOzC?atGE-p-`;WO3hrF;CKb=Z@C6St6Pp zU*po-0ol|pxp|W2+l#cuHTEUO)R^HC=(OKqG@*mVEInemtHVOR;3tgTrROt>G!FVX4R#Mv+|YYb(vl~Zqmw>o#o} zq}5Bb#!aR*E?sTmYh1bPu6-<5{@O~bnUE))%Cy+{8kfkFPsL)5`{rP+TJk4F+0#Z# z4SsH^RZOO2SAF))w&9XiEBTWt7S~XpvjgS<|3r*(?l{2FsX9uKoqF>3jr3^rIAU3e zFCPWNz-Pc6FgTo=NS6w;dmQ93i)5NpjZgQA`Y9aQK<%KE`G5M6NE~m~WIMx-KARIdI zMPyIUX-ETcr|@#J9d%$T={uec#I?dI)f;lFX{j%Tz!i4sG8Nh6Z}U`xf_{~BC3Wvr z30IQHgqrbHq|~Y@u?U7}BC!zuuqa#Xl?_Ut@KPW8Qeja}fZ3_GD94lX#dU9Vs&Z+Y zFYI8LjzP>)nPF6PU?3IK7W2L0;?rLPj}pme-|BK;y7 zmX?^}j4RMy5bH%)ld>{OJpfms!t z6%LJj>PB5XTB-mZoB)|7 zNanB-UIlT8MkW)WeC0d}asFi>hzWPtXex1bLE@DtJ;BT3XSrxXk|13#f<0hWCD*DZ z00=Dtu~KrZsT8J9+DwBb+o^@O6IDr&+DUHo1YbSk5u=(vwkJaVbTwV@`>i~c3b z#fIU6i7_HmVt+=NxyV5B#9^aUnWJc-^c5?pGiWCnN}EO$K}(sr$Y6@!r$8}1(L>Q{ z9%YYDLPADSg_Ws>o+(5OFP3Qe7u#r2pk}9{l#Sr3;GkTv&xdZ*)kAKiAw!zEAVwQz zF3B`=q3_Vq%mrVlQ)VvuoG?p~0emA3nev&739N*}Y^Vlzf$|vw2dP_-e<{dD9VAy@ zRF!YVpFNOxB}$3Bc$=>hn5a-k!8XgastEw*Di~5qjy09SSkY!0EQy(m+#JkYs49Hs zf}Y@W5a=27Ie)~=MSkKl7cP+U%tfWJ*p!(IMOeiICd41)NCk(m>`$H zwz@s}kN@&$E!w-!Uj424a8lC55D521@ZLE01c^s_(TrdJU>({P{Y|mjZvUG$;lJQ5 z{1-Ig+!G`o^+hv&>3)nxA^&$IwcY;T(W3vpcl5Vt(YYr`ei2}>IZyk5v~~Co}P$?Q%fVBGd&xdFft|+`_0ICL7GF3J3UkXrkuBe20 zO1+~l-<)-06PnL~jR?(MUS$qUJJ5eSFf=eW+>Og(ve0nIZsLQc4ULGe&uiv3wQun7sZprzP^?q!j-cUECu{^bP`#rwv>HajNf#uq& zwV(;FIRByt@gqVZfG~cIRLD*Ps+b89o0tQOLH=h#oG6{X0wu>8Xe8APfG(u!GR0xH za{!>|TveI!8L=kSxaM}2&v=Wsdbc;vxY#q!0QC;{Vz?Lmx7N?NPpY2571EXGkStd3 zx>VI$UDF(>ceoeNxKqQuSQ9GYDtNIfy%Lm&y@Lb^e{qRheHM@!{yUS->ze<;ca#%6 zi^K<%%_#!1hJ)#OW{2%0EQ{`Dl_K4atXYmr~tx%XNsJAaN5 zV&mfkH_O#$0jc4?$uwHb|M1arw-_zv6aiU7LO7Eptg;}r_>Hg5Z&o$=5^SP8`PY+R zvmm5Q4IWTO^Uv&PbM=mfpK-BgoI#Bq^RJ28&|Rdz-Pd-*y?BPhhf7Y49`8S6S*+e6 z<})t#j5Daw1L-wU8yeAnyKnA>d$A@)bTjO`sGqwEAL`bgZ98{*LwlI*+^N;M*;oHd zwfdhp(yx7?f72uV+VT50ZNh)STR8Wc@IP^+U)$|}((sQlFv}9=<_A_C3|pU${`<|)*{-i!r`Ne8`f`_(*Rpv zaxn-EB2J`N@8SQXih8p)Y|4b|PtNE6B**yYr3OF#pYL=qKp(bkP-l8S9cbNk8%I4m zTnAd#vGo>b*_$#_h+!5DCUlD850}hZW)h* zmKE_W>GQ#n%o*Q4CwJ_1V~cWg+Q&17lz_Wh4jG;qn^`=3NK3}>ETHa~F`3OXOUA@7 zh9?!)uX#Ti)=zCMwiMiFdOx&j;7*V5OWUDDUu*IhBFZ{xlt zBMCpUZ>abYX_hqi;F2_JT0zCYoNssReL3yX?aQ~Wdn~r6FK?r6FK?r6FK}l}2dzYA6knaW#~NV63vz z(6WW9G&G0!ph74GrSaqz%a*djj?!&gzxnV|%}j&PP#P^)Sv1F4#Y}@xq%^*|PC3IW z&opF2=IfI3(KkLbAh7Z=CCoH7w38(Hq^gRB(zx^wnrX<#Q?-bWU(ihBrmfo+T_9!} zlph~6)qjA}sQ=Ek?E{73r+lC^>@Q0f9Bd?J2Qp|)hYRYwafc4{O|x(BdLdT_TAkGP z%6YfzK=-^NU3Rdc7F1E^&2PW!>nrdKTX2rDb8R6Br4iuAL}^F?lm@~5l?FkLN<)U} zl!k!mm4<-nm4<)?RvMw72uU~$NRFAw&1nv95 zN>o5#MS&p7kvkwaA%qZ-U4!g;?DP42UT+tC%5un+oA!&Zl@qL{uIAjNkAk1FRe9NIp3fZ%l{O5ZU?pMQ~=ioKUgM0~J#Vv>)5Y z#Qja3Usqw#(4sqh@3OE+TjZB>kLyNM=)@S=?wX(fOeU^V_E8A<- zXNodf3jX|QMn>_a4DXCqwzDYBxV`Y>ufBXHBm4AMUvGpSWy{BlCkRBjMv}G zDEI+-jkl*i^=W$g>PzX%zsgTn3wnyJY)?^|e!CzmecrY73+vL;8=<3k_sLJv(w@0A z@5^~<4uTG1E89U-q}@BQKkc)nX=w+cmv?W)CuZ|A|Mj|f=H1)TffPbs*Ky=z9H z-{Lkl>H=ge5uCIZCI%o0@0{dzh8?;sAc+vy1J|Ngo+RWj}-o2EE`C$=qbRWm!F3f6FrMO z?K06j$BSp8ceWSLMDHvwo{8R>UOWiFm!8}9H|lS#%QJR<{q>;?ZAMpKhKDeD$$x^Y z-MaYljI58>WjJJXm85IzpbvcNr~U*!b?eT9>FK{e26*h)erBg#>QQO8*8cUwFKRNY zcBQ4QyO5?y>nwlMtnJ1;%6x0yrmU>yos~Py=5_Cz9n4)X*w9XDzV}-Fn+MJ2HxEN{ z|5NxaKZV8jHMlJ#ZoI0&ZNa}x3;}2hjt6y!gj(`Hxp1NVkAz+j0c`>P-`^I!XOjzEVE zsZ(Z|W%!QdH8*X&%;bI5>_|FwXmRoc`NgxR%RO;uXh#fMRDnaz*AN=`1Vh>r}@EUKI~Je9C8$De~N=4%d#%jSIM1zEDJyV=tgm*D6HSr+$Mnsuk3cKpV#TmC|*50|eGB16vdJrre?(bdL-ZqNGkn<6Nr|8f7DKW<<%wC)$rHI$Op zJ@yNpbaDc2-7o*oe^rv!omnlRHm$&ki{64)%r@YVYTvo~cU}8iw`ETC zH`KaqzK1`Oz`Pl?JLi65fO&_u$KQYN1rZ8GKMpO+sWTun`m9`3k%c1A9lW<A7~rDJYEFda@Saynt8Gn^LXbWWX~Ey`y0TKCkPIK6*L7M0Zry2yQ zf7dkCvcKhp)hiZeWm1`)Fa`>xWOTxyr(m1j3B#4JpVkS(kbHhojp!Wsv z0QJRX&UO4JZ`z*v_|F?jeHnIm#s&D$N&H2*=;$7acW`?zFWgvbs^s=o z75fFveS_Nzzod|yNyhcXySe%Hr_DOF^y81#{dVh1Ul#l~J2VeKV!8vbIv?_n56IAM z(wdR_t;P#aAT4)9Dc`7z)+5ztgOLN5qClO{y2YqN{h8J_R)jiqwbLx6FnKA|;eR?2 z=`1C*U_SVs_eFXxPKxfbZGYE);+cAG9|R&-$lQV{1{F$$Ggk^<{h|#XRi`1MgIbK;nz3>u8eTy zzrx0{*cdOJm~Em=oip#4M5b$P(=Ki>ZEByo{Ld!Sm0Z(--6kg!yEM_nElr$dq>P<2 z?ifYJYi(24d~<2r`3uYcY&2fUHSRApIvMZG!Xp5)j9m7D)WFm`1vsuCwYRwZl)#ib z`8Z#G3YRZ0IWYN79*)aPX5u;wSyPh&l5S7UGSD=H+1TKStlWUy+gagwJS2iFA%%4% z!3Mm7)esEpdD?;vIBRc9UhSqi(D$OpCQce384jIeL0HKwp;gnjVjfvhdi+$`i#hQ@ z;k1LzzMRRXqB|yJH8fF8)H$lD{pCr95L(?mO2%YX(rptSKi}AJmO6W{;mqlC<&z=1 z-AE~8prHRAlX4Zn=n96WX1&igH3zygGzfBZ28YvnL0G{&XFV;7 za06+WT{~_50)a&5+b`0iAWuig#h2(9S_FYT7w$*jFGdX>WWjZxz{xDnd|+@g*{#9Z zbDT9enS9pZ#BtrhNn*Q!vjl2VH*og8?3dg`+bq3;vjp(>0N^Zv>hQqeEV0kXp21l{ z_Zghn#2zAh0cQ!OYG1*Lje6i?@8HCSKLecD>}P?K82%h^62qSZPI85P2Pb3JXK>;N z-e+)!EL;Ka@1fx(HJnl&ixg0lp8+6y>KfTaR(+BSAVfTavLbEh!i^j$WU z0q3wsmzG4Au;66ZoIYrelga+T;AFB}gR|#2Yj868tig%nx`UI%b^|A}2hJYWJd^v? zLt3xkL=Of|)E97~zJe3=9h}&tkKlyS@xFr-8~rSBV#A*SPHgtGz)1{$4mgS7&jBa7 z!oGu(G3zrp@dNKOI7!9o8#r<0ePD3nX7Rw_#7*r1z=?VXC$a{o-8Qdcz^NU?fYVhq zhykaYRs|D@7@T6$-Q}N{OshULo!@2hFtN*wOj1+lTZh1HzxvvHrh;omW5GAywS2Sp z=?{(5t~_RRH@32#xYXEr{qi@|H?336$G`gTb*X--t*k#D&4=`<{wb}j_pMLyPi|$s zZhf*}(ml@EPSPd$<=*3*>|9+gtlcNxHSRF#{EDeavUow}7r`!EkQPP23mdRfK{iD$ zaGr&Zw1T|5A0DvqIW7+O=NStT>o~s`85x{^*4CXqJ25n5aw8WDt2JPm zgeX&jzzTcRe|VW5JC<&=c+@1eX@5k*Si0;i>t|ytyoN%8DEbGcZ}{G*$k6--fmhAO zpM4}eJjoI-5?V5z_38S&mG7MtIMzQf@n@PAFF9Ao$74&p=7JoPxYj35oPNK_u4{ej zJSmWMxv}LOSYLrH+p%|jOmghD(1nKAg6&090=qWsLmxRx9i@)k7CO-qx~CLuJsVzj zz(?)Cjx<%Zvq2q~L-48h! zj_HS7D2&z$@YoMIa6x+FUq9sRjV}I1AxB)`e#rGhj?A0%L$1FkC!9a|7wO3nceQJp zz)7V{w@OZ!%}qPzU!I!&`D&Ac$&2?)DdX*o(_epeJl**Fuf959)Ed2bht%SldZ&8v zuBms57w?*SCwmH9Q?DdXfotlO3-3?zuBjLFGQm$Li!I?k!;9v6L0IFbVV7TW>+Q8R z`|2VGW?3eA}ck)7S`P7z_N%oO6@2GHx*HA!cCHZ6xq4%2sz5( zE4gB_oRgSjXN$z5HmTndrCKBrQEUR3WM{j(r`A?vy1R}T=uWw-keICb#6}CUvapd# zB9%E{BR1hCPC#g0TER02>B(_;llhsM@Y#%33W}k$_}s_>QHs6^?rSEti7)4D*pzmX z0)J6Z)#@%8Cf8E0(NJS1T4vWMZ+}Q7jU}3yrW?6`vy{BFWAKuTz{En{o}OfQ1^Q5Q}9V zGAP5^OkNf4d4e+|t6nMk7WlJi2+5=fsRqKyb}IDnfB3)?tcj)QYdDqCRCswFLzL?v zL{UhI-~|Md*aA+e8HrSozr)cR{wN)~rxPJ$HpB8+YznEa!>YYTHmIF5&$+s|VpFoV zmZGn~LJO1H1#(l4YDWqowH6_hi5T9%X-M}Da^CNVUjN{6_&%N3gqP$}bSqBM!5=(F zH&jkCCoq?L+t}&fLHcb`sAAu9*v{Aq<39MV%`zJOr;Jf3{G8Q#7da(wQ8?+1Mv{0P zCnEh`Bwt=Mv?_O#GlGi28eRcEH1!Wxvnl03u}JDhA#Jj=G&L25j41j#PD2CtAnK9L zg9PA)>ocV#k5c{T1$(=A%g*(D|$=+<3 z4B6|GI`Fs^G*Y}|#FO8{Zm2%D1CL_i1`KYl_JJl6wNkEgH)MJVh(=I{;0Qg?EO60s z;|KxG7-sSLZAjMQXqu9-e3BDXG7e-m20V>0#6;+x--4$mzLGOiCSVu?IQ|g41wB#3tr4)giA$n5cXnKIeGkm-$&bPY-^Avf^0D_ioUYipa zqEl%{#HFMq`n$4tYA1N&?-*|Z@Y%6-`@`W6i9}+L==fDHOpIi~)K0*}TL_V~{n?45 z0^m0nNe!c)eR0F@ShBQZBw6Z~kVVG0*rZ>??%?v zR+LpA+&u=ai0cp8Fhz(VCnx7QwY6pYE2^t!xHwTxJ(vhFq|*j%dAF)`<$=nYO};ux z$Mv3i#gIjpz(H%ptE`F}Ie2I_8;KItwpPJox=`X)GdK<_ZN`cnHBD*5O{#hGT>r# zpB59(PeOM^KvxeI9Ky^78xqqZ*6)L!VA88w^;ZbMj^&OjD zjlkVVt37adc+YR^`t=AYOgV1E^Mk(A`p&JmyV~jpDNbsYNY(Bjmg}_=Etev4=U8qfDSxYin{6X z@+)2ub4F_%23QJU0Z48DLQ5vPm)R>oFC_)MeCyWcJXZ$?wbW7o?>XX2kZc<5UTISR zbN^LJN_lVc!M}c6p6u!FM!9kK7jB|e3G&ilcg8=q+{AW#6BH6fhrFU3UY6iZDUO6U za0W`V%LC4yas@wAOmUfrEj+c>!5QbzXAHr;I6h6}3Lgw6p5Jv`fUEBwuYf}i&gP@w zO+p%5e@F>12ykQQrTh0U4WTHJ#Km&?Y^RKz0dja94R}L`UOqJ+j>y@Q>vvH>6=?TA zd}JtWTP4mt6rg<_>0Sy)rQ25u3LwwM#otd2)!Ww}_mErV9&7F5R@P< zoHgXFDeIu~?a9>?QiPcD7-LXtBr+M?g%(Qg#Fa7j!~_z&xg*9o_U3yXQz)QTe3OcC=wDodlu0y0g8DKp?2_^tN1R!lXq{_8(Cv%8Pn zF{5}Qp4%||6cUrauuxmrzAzuYPu_js!td_mc>JiIkl%Pn50Bh=aQx=U4k@Nd6B1&E zxk?#+vnfJ;7v|)^Al^ZC5D)mB(^-h=f71_A)-Ii$mlfhAhgwT~YLX(vH{>Csd8;{g z39VPnn>RBf$Q@flyHO4sm6O92!1J3eAilz;fGha$&lJs_k!En0$>btkcyj8b9_`J8 zn9(}RNfP@ti|6KLh7W)B6&L@)Wsd|6vDowUCdcPT`?}lDNDJI_>(+*;Bix;oVv9YG zUTiPf#SQye?62YTpP804DSm1#uzRuxVK;ksgzUxzDEI+DO9Ab;04XJC4);;tNpXA=#UN?|>0Gx${=6>!AP`<Mcxd%lm!NiHFw6{znQRqfy<<4HQ)=~oR zf#VVe?STQq*9y0(kBvrSPdv=#A|-^yrRK~Gl8fcq5t+FQo)TOH?~y|Zx=k>!9r}6W zNEkYd2CNH95G$O${nBPS%XP!E@)xcWT!pZN-+d_+q5I`uMOF#7FvujE>dDwvP_+9f|Lh&AtWV!$W8vy-x=nG90pXSnXLL z-tITr#|IDez%V5sz^8LGgJ6jD7&0s%Xv7e?(%nts#-&&Q-tHIcI{6#FQOfA_pawS8#!D0YNbdkpb9JtMx0|;p0Qnz?L5eL~F2T_9rH>+3UHf*ebd5bm~2Wjp$^N=Qo zg}yT-62ZWyijq)V>C6d#-2hO;!d0IV&BBaaCLPf|G^-r#MR1PBi7t7;12e< zK>r~F6r4GN_hm^Boxf@r3$;AuR$QpBBMge%(1sm3784d96FgAYvoVZESd2*(DS1Sz z)oES)4MRfXB7?j%7F!m~{DHgMR@ZFK40m&vX@*3^M}-e|vDmUm?#1@`z3=Q@6XOiF zp>YugZwHGli&Ea!QDJ+|1H2-WW-VLjsu=>;8|2=Lt<^b`5|tw5b?wL)wR%u+bcnwv zcdsk}9_{1Aw%bl<&0N?5V5_EY4FU^*Z7|XeE8NTv4<#X>2!P4R2lM$b6U@)Sn(V=X zVUYHM9~x&uJjsCHS!rb!WE%$xhCwL8^c1EwUCH#==dn-F(9+u}y#> zZ{yw@FQrOSiA8>jf66U(opwsSG(VS)Jx#EB#>xs_Lx4B5nVE5^WYVOwy9)wg@>NJ`)^0Vni{*|6 z>(%ipb214Ilf0tf>3fep^9Y`xv|ySwEVeR~(Raz~+pOgssdm(g(&raN!Nf1P`7GjZ zW4Czp=-Albj~Nq3#ZmLAcq)PM&874m=520vCjA|QNvvqJApMUb5{1|t2ciU&NZJfpS>x7++jfr8Cn8|fY;x}aGii_)(1VzITL0TG_ zJ?D?R*2ebs!$;mP3{41SX4lbmx%Zq6r}L+%p^Iyot730=H`~PH=L^Hef-THTiBO9w zamCT?c_Z-=SWNSG>kZ~^FYJm>pxE0jp}!lqxBl#8I*HgLu`GJ#!Tm*}97MQ|*cR}n z-2z~F=8@BT>&c`QC6xf?JN0$-cx(MM6k$`pX{ z{8fuq?k=l7RQcv}OP1y4WaZ?*_vfG-vUo`dW8w*^=*tAgbDvnYW>8IW--Ct9-^`)YM^z@9ZEcl)sJ{oJs!Hpzi zoHy^Wm7DifmerOPFJ3e|)s&eDAG#A5@-QZbGWuH{#&hNtKKb01s(m}kwyaYcLfuRQ(qY+GBN#GI{w#M9@` ze`eFB12y~iRBqkA{ITggiP_`wH05jsB%U@SuVD3t^4cA{_B{LK;zd*W>AD_l|0W=D z{=DUnt=?I+@4)_z&n$T&3%~G7y3G9Twq`MRbODQJtXQ&U+y093ir1fiVs2hq5<88@ z7hug|t^fgxi&m{zyX)8BWz~V*EuG+A*imJL*zGvO) zg|l+9_z6DNn1?ZI%)yu}W=bzyTD-BmqO5f1nx`M1osz`Q+}SmTdSYR5+U!}YHtel= zyP{%!@uGRz8MdZ8ipg>9qnI0_u#aK^2)d)#3LG#lp|HWR1v;VR{YVDLWMHBn$b#_< zJ3zK_Ke_=~;K8s!ju{f>t5)juN{vUDVf-VLc_8EA3?Yz-XT$;7@Q}L$ED;2kQtoLO z8#k5*GWeucKqkJl1;|5P-CU#;*io|K@Umh&4`kMk2Qsk}06EMs%vp*LNI4A~9v+v# z1DQ4CflLgAKn@S_chRW85-HWrzH#GuAcJK$AQNjT_e~?*H;x?>=rusCgaf0yYL#DP zJWpgRdzQ$=l?8hXk;jdW7&6ewK_j6gcO6uN{i1lRvS-dCnH*a}UlkyE!kDN)FR2C_ z!(`7Oj|f|1p2@7Suy-62?C&TO!G*&VtmvVkV|XUB2j-c~*$S8(9~VM9E5TMOarE>V zGKyz%PqqRkM+OfZ>MX{OO6ln99XpOEDtp7Mk<1-jfaEaGfjT*U)?($L;K(rvwgp%t znJYkm0oh+s=R3)m<9e8HB?RKiDynHfn4Ftd&j zAmakX)DgOc`x;sleb@5cGny8D+bn_>2|isGPE!qc1Ro{~qpdzV7DoTrP**4TqF5;X z_pL05sIdAxRw#YT>UG;N`kvs!RuOco@Y7Y{u+o_OI2BEIaUY?Ih8JFosZ*@i z(*H<)OOJ%~Tlyc#Z|RW`aQXdO9}vbLr^eso{OxWozzO=&?Hk^L?NGXH!^I%@uBFfY zjtg%Ec@(2H9&qb^o$uWK_7A(1xph+?Wp3TnWxgIBB|aq`OCR;+Zr!i*Io4n4E3kby ztctmHgS5=88>DiB?*RLt4wcr8+&YBNr|@1=6m0Ro1)w)c2q7A_DQwsiwth@l1TQ~{ zK87%i@FMlNyn=;uA78v|(JY)Q1Hn2gbRVQ(!#JCg4Z{Y(J5VGK4yy!V=w9;i@X`Vw z2B(M*>mZEF<^F*O!lya2VKJA8#P?&FFn`Jth|2{B>kH6#pxa2o702e0P~J#F1%hHh z$)M?=LeMHu31|nX5>y9j27Lj#3hF=-kp|=q3IxT1l0nl!g`icS63`A%C8!S64Eh3e z71V(wVhzX}6bOn1C4;7e3PGztC7>OkN>ClB8T19{DyRcyS2Q4RP#`E4lnj~

S8C>b;zR0vuHDgo^PRf6h3&7dzpS3w;}BGZ7p zL4lxHP%>ybs1URYR07%ossz=6nn7QHu7Wz?d1yf1pg>S8C>b;zR0vuHDgo^PRf6h3 z&G`8i>#cPE7vC4JLB$sR^2fKme#^Pw^XfmJ{`(_rBR^dq`s+{c_pAT@{Bz$QNdqdj z=)NF~R%zvXwZJOf6E*lB-=EQ?&n}!@@WlMZ ziw39UEnSGyaQ6%U_a?E&orm4m85hd@U`Cqea~M$mcCA3-02J_7v(^f~BD(6^w=pzlG~ zKtF=+g4#e`@Qg$t8At`vf}B9EAl%W%tsDPJ^em=V(Q`Vs?a%Z7bAK2jVJg`drRp<5 F{tw>%GDH9X literal 0 HcmV?d00001 diff --git a/plugins/channelrx/demodfreedv/readme.md b/plugins/channelrx/demodfreedv/readme.md new file mode 100644 index 000000000..680af043b --- /dev/null +++ b/plugins/channelrx/demodfreedv/readme.md @@ -0,0 +1,95 @@ +

FreeDV demodulator plugin

+ +

Introduction

+ +This plugin can be used to demodulate a signal following the [FreeDV digital voice protocol](https://freedv.org/). + +

Interface

+ +![FreeDV Demodulator plugin GUI](../../../doc/img/FreeDVDemod_plugin.png) + +

1: Frequency shift from center frequency of reception

+ +Use the wheels to adjust the frequency shift in Hz from the center frequency of reception. Left click on a digit sets the cursor position at this digit. Right click on a digit sets all digits on the right to zero. This effectively floors value at the digit position. Wheels are moved with the mousewheel while pointing at the wheel or by selecting the wheel with the left mouse click and using the keyboard arrows. Pressing shift simultaneously moves digit by 5 and pressing control moves it by 2. + +

2: Channel power

+ +Average total power in dB relative to a +/- 1.0 amplitude signal received in the pass band. + +

3: Manual re-synchronization

+ +This works only for the presently disabled 700D mode. Use this push button to force loosing and re-acquiring synchronisation. + +

4: FreeDV mode

+ +Use this combo box to select which FreeDV standard is used. The following are supported: + + - `2400A`: FSK-4 wideband (6 kHz) modulation with 1300 b/s compressed voice to be used at 29 MHz and above similarly to FM analog usage. [Details here](https://www.rowetel.com/?p=5119) + - `1600`: OFDM (16 QPSK carriers) narrowband (1.4 kHz) with 700 b/s compressed voice + - `800XA`: FSK-4 narrowband (2 kHz) with 700 b/s compressed voice + - `700C`: Another OFDM (14 QPSK carriers) narrowband (1.5 kHz) mode with 700 b/s compressed voice + +

5: Level meter in dB

+ + - top bar (green): average value + - bottom bar (blue green): instantaneous peak value + - tip vertical bar (bright green): peak hold value + +

6: AGC and volume controls

+ +![FreeDV volume and AGC controls](../../../doc/img/FreeDVDemod_plugin_1.png) + +

6.1: AGC toggle

+ +Use this checkbox to toggle AGC on and off. + +

6.2: Modem input volume

+ +This is the volume of the signal entering the modem from 0.0 (mute) to 9.9 (maximum). It can be varied continuously in 0.1 steps using the dial button. Use this control to make sure the peaks do not exceed 100% on the VU meter. + +

6.3: Modem input VU meter

+ +This shows the level of the signal entering the modem and peaks (shown by the tiny red vertical bar) should never exceed 100%. In fact there is a 10% guard so 100% is actually 90% of the signal volume. + +

6.4: Audio output volume

+ +This is the volume of the audio signal from 0.0 (mute) to 9.9 (maximum). It can be varied continuously in 0.1 steps using the dial button. The mid position (5.0) corresponds to the normal level of comfort. + +

7: Digital signal quality indicators

+ +![FreeDV digital signal quality](../../../doc/img/FreeDVDemod_plugin_2.png) + +

7.1: Bit Error Rate (BER)

+ +This shows the number of bit errors per second and is derived from the bit error counter updated at each frame and the frames per second depending on the FreeDV mode. + +

7.2: Synchronization indicator

+ +This indicator lights in green when synchronization is locked. Note that this does not work for FM modes (2400A, 800XA). + +

7.3: Digital Signal to Noise Ratio

+ +This should be derived more or less from the calculated Eb/N0. Note that in 700C mode it takes big jumps and does not seem very reliable. + +

7.4: Frequency shift estimation

+ +This is an estimation of the frequency shift from optimal position. Use the frequency delta dial (1) to make it as close to 0 as possible. + +

8: Spectrum display frequency span

+ +The transmitted signal is further decimated by a power of two before being applied to the channel spectrum display and used to set the in channel filter limits. This effectively sets the total available bandwidth depending on the decimation: + + - 1 (no decimation): 24 kHz (2400A) or 4 kHz (other modes) + - 2: 12 kHz (2400A) or 2 kHz (other modes) + - 4: 6 kHz (2400A) or 1 kHz (other modes) + - 8: 3 kHz (2400A) or 0.5 kHz (other modes) + - 16: 1.5 kHz (2400A) or 0.25 kHz (other modes) + +

9: Spectrum display

+ +This is the spectrum display of the analog signal that enters the modem before AGC and volume control. Controls on the bottom of the panel are identical to the ones of the main spectrum display. It should be optimally centered using the frequency offset control (1) depending on the expected FreeDV mode: + + - `2400A`: 3 kHz (filtered from 0 to 6 kHz) + - `1600`: 1.5 kHz (filtered from 0.6 to 2.4 kHz) + - `800XA`: 1.4 kHz (filtered from 0.4 to 2.4 kHz) + - `700C`: 1.5 kHz (filtered from 0.6 to 2.4 kHz) diff --git a/plugins/channeltx/modfreedv/readme.md b/plugins/channeltx/modfreedv/readme.md new file mode 100644 index 000000000..5c9f77f47 --- /dev/null +++ b/plugins/channeltx/modfreedv/readme.md @@ -0,0 +1,158 @@ +

FreeDV modulator plugin

+ +

Introduction

+ +This plugin can be used to generate a signal following the [FreeDV digital voice protocol](https://freedv.org/). + +

Interface

+ +![FreeDV Modulator plugin GUI](../../../doc/img/FreeDVMod_plugin.png) + +

1: Frequency shift from center frequency of transmission

+ +Use the wheels to adjust the frequency shift in Hz from the center frequency of transmission. Left click on a digit sets the cursor position at this digit. Right click on a digit sets all digits on the right to zero. This effectively floors value at the digit position. Wheels are moved with the mousewheel while pointing at the wheel or by selecting the wheel with the left mouse click and using the keyboard arrows. Pressing shift simultaneously moves digit by 5 and pressing control moves it by 2. + +

2: Channel power

+ +Average total power in dB relative to a +/- 1.0 amplitude signal generated in the pass band. + +

3: FreeDV mode

+ +Use this combo box to select which FreeDV standard is used. The following are supported: + + - `2400A`: FSK-4 wideband (6 kHz) modulation with 1300 b/s compressed voice to be used at 29 MHz and above similarly to FM analog usage. [Details here](https://www.rowetel.com/?p=5119) + - `1600`: OFDM (16 QPSK carriers) narrowband (1.4 kHz) with 700 b/s compressed voice + - `800XA`: FSK-4 narrowband (2 kHz) with 700 b/s compressed voice + - `700C`: Another OFDM (14 QPSK carriers) narrowband (1.5 kHz) mode with 700 b/s compressed voice + +

4: Reverse left and right channels in binaural mode

+ +Effective only in binaural mode: reverses left and right audio channels so that the left is connected to Q and the right to the I complex signal channel. + +

4: VU meter selection

+ +Check to select input audio to be displayed on the VU meter (6) + +

5: Volume

+ +This is the volume of the modulating audio signal from 0.0 (mute) to 2.0 (maximum). It can be varied continuously in 0.1 steps using the dial button. The Loudspeaker button is the audio mute toggle. + +

6: Level meter in %

+ +Use the checkbox (4) to select between input audio and modulator output display + + - top bar (beige): average value + - bottom bar (brown): instantaneous peak value + - tip vertical bar (bright red): peak hold value + +You should aim at keeping the peak value below 100% using the volume control + +

7: Input source control

+ +![Modulator input source control GUI](../../../doc/img/ModControls.png) + +

7.1: Tone input select

+ +Switches to the tone input. You must switch it off to make other inputs available. Note that tone input has been kept from other modulators but does not make much sense for digital voice modes. + +

7.2: Morse keyer input select

+ +Switches to the Morse keyer input. You must switch it off to make other inputs available. Note that the Morse keyer has been kept from other modulators but does not make much sense for digital voice modes. + +

7.3: Tone frequency (kHz)

+ +Adjusts the tone frequency from 0.1 to 2.5 kHz in 0.01 kHz steps. + +

7.4: Audio input select and select audio input device

+ +Left click to switch to the audio input. You must switch it off to make other inputs available. + +Right click to select audio input device. See [audio management documentation](../../../sdrgui/audio.md) for details. + +

8: CW (Morse) text

+ +Enter the text to be keyed when Morse input is active and in text mode. + +

9: Clear CW text

+ +Clears the CW (Morse) text + +

10: Morse keyer controls

+ +![Morse keyer control GUI](../../../doc/img/ModCWControls.png) + +

10.1: CW keying speed

+ +Sets the CW speed in Words Per Minute (WPM). This is based on the word "PARIS" sent 5 times. For 5 WPM the dot length is 240 ms. In other terms the dot length is calculated as 1.2 / WPM seconds. The dot length is used as the base to compute other timings: + + - Element (dot or dash) silence separator: 1 dot length + - Dash: 3 dot lengths + - Character silence separator: 3 dot lengths + - Word silence separator: 7 dot lengths + +

10.2: Dots keying

+ +Switch this button to send dots continuously + +

10.3: Dashes keying

+ +Switch this button to send dashes continuously + +

10.4: Text keying

+ +Switch this button to send the text typed into the text box (13) + +

10.5: Text auto repeat

+ +Switch this button to auto repeat the text keying + +

10.6: Text play/stop

+ +Use this button to stop sending text. When resuming keying restarts at the start of text + +

11: Audio file path

+ +The path to the selected audio file to be played or dots if unselected + +

12: Audio file play controls

+ +![Modulator audio file play control GUI](../../../doc/img/ModFileControls.png) + +

12.1: Audio file select

+ +Opens a file dialog to select the audio file to be played. It must be 48 kHz F32LE raw format. If binaural mode is selected it takes a 2 channel (stereo) file else it should be mono. +Using sox a .wav file can be converted with this command: `sox piano.wav -t raw -r 48k -c 1 -b 32 -L -e float piano.raw` (mono) or `sox piano.wav -t raw -r 48k -c 2 -b 32 -L -e float piano.raw` (stereo) + +

12.2: Audio file loop

+ +Audio replay file at the end + +

12.3: Play/pause file play

+ +Toggle play/pause file play. When paused the slider below (20) can be used to randomly set the position in the file when re-starting. + +

13: Play file current position

+ +This is the current audio file play position in time units relative to the start + +

14: Play file length

+ +This is the audio file play length in time units + +

15: Play file position slider

+ +This slider can be used to randomly set the current position in the file when file play is in pause state (button 17.3) + +

16: Spectrum display frequency span

+ +The transmitted signal is further decimated by a power of two before being applied to the channel spectrum display and used to set the in channel filter limits. This effectively sets the total available bandwidth depending on the decimation: + + - 1 (no decimation): 24 kHz (2400A) or 4 kHz (other modes) + - 2: 12 kHz (2400A) or 2 kHz (other modes) + - 4: 6 kHz (2400A) or 1 kHz (other modes) + - 8: 3 kHz (2400A) or 0.5 kHz (other modes) + - 16: 1.5 kHz (2400A) or 0.25 kHz (other modes) + +

17: Channel spectrum display

+ +This is the channel spectrum display. Controls at the bottom of the panel are the same as with the central spectrum display.