From 5f83d523dcd5b444f4f9021ee31e4b4515f9fcad Mon Sep 17 00:00:00 2001 From: f4exb Date: Sun, 8 Aug 2021 06:24:35 +0200 Subject: [PATCH] Added documentation for spectrum markers dialog --- doc/img/MainWindow_spectrum_gui.png | Bin 17064 -> 17780 bytes doc/img/MainWindow_spectrum_gui.xcf | Bin 46285 -> 47962 bytes doc/img/MainWindow_spectrum_gui_B.png | Bin 6259 -> 7238 bytes doc/img/MainWindow_spectrum_gui_B.xcf | Bin 26583 -> 31048 bytes doc/img/Spectrum_Markers_dialog.png | Bin 0 -> 18603 bytes doc/img/Spectrum_Markers_dialog.xcf | Bin 0 -> 58310 bytes doc/img/Spectrum_Markers_dialog_hist.png | Bin 0 -> 15257 bytes doc/img/Spectrum_Markers_dialog_hist.xcf | Bin 0 -> 72050 bytes doc/img/Spectrum_Markers_dialog_wat.png | Bin 0 -> 15694 bytes doc/img/Spectrum_Markers_dialog_wat.xcf | Bin 0 -> 65457 bytes sdrgui/readme.md | 10 +- sdrgui/spectrummarkers.md | 111 +++++++++++++++++++++++ 12 files changed, 119 insertions(+), 2 deletions(-) create mode 100644 doc/img/Spectrum_Markers_dialog.png create mode 100644 doc/img/Spectrum_Markers_dialog.xcf create mode 100644 doc/img/Spectrum_Markers_dialog_hist.png create mode 100644 doc/img/Spectrum_Markers_dialog_hist.xcf create mode 100644 doc/img/Spectrum_Markers_dialog_wat.png create mode 100644 doc/img/Spectrum_Markers_dialog_wat.xcf create mode 100644 sdrgui/spectrummarkers.md diff --git a/doc/img/MainWindow_spectrum_gui.png b/doc/img/MainWindow_spectrum_gui.png index 85390ab81badeb88ffc69ce827cf55666c4e3eaa..682c7ec0b59d49a0f3d6349bb4442b05370da5bc 100644 GIT binary patch literal 17780 zcmc({byQVd_diNWhmV3F2#C@x0@5G|h=d>>Is^d$DQOO+q>?J#B`pooEg;<>(%s!i z+Nnj)D+rscq0c|a1&OV7d?;`O$%F{vfTJFq05IN$5;3Fh3=(zlg+3?5OWT0foJ z-jQs(Z+A{Qz3#pE#v>Z9T$3oTIF4b~sCq{2jY|Mek zyKoJ5$HIZe??&c7RewG}46^9|LVmZMn){A0|L*YovgxUwzUGopo&kN)M~0`@Qd0|@OSFec-$uu^HgH$Qu{ra{V~cTgw$Qwv`&o44lYV0$#c%j~ z+g+7-rT!Xnq^7Q{=iM2aQI>i()y&Lw3XT^?RlU{C3GRQ(GluJFr@z=*EVgZr!?a)< z%F8~1-|+8Ls@fBN;n_UZv_nJVypQ@pcTN{~go8Nta*EP8i@3O$IMgc9I45xEw!O55 zy_B`3rLmPgnv|`vfxWTOT_-bp)4Q^AipuIAh{@5=?xM*(dHmA(&*r3)8>z$P$?mAd zv|{n9FKaCeLwGWV*GG(iMJ2&Q5652BC!#O0NCnxK@iH@=n-Y-AEEWeQe6^F>g{rqL4WCUUGn2Cnfsb| z;SY@X>yo&1=t{VBKA+K;;K0-OH{k$%1K$7U!1Wt5@D5x&8+eBgmH?g4e;@eoFZ}=a z4F9>p|8Z0QeaZiIQ*&;8Wj}){c}+iue;3%638f)w$U)?;9hP4YHv4t0=H91C2{-j$^AQQ|22-1@=T~PQsNru&JG z&Ye)vBMMAx!iHDeGmh1#Th-gq58n_I`=>n~2nq?w@VvVGu3P;>rQD%Ame0r6_a9s= zo9fAU5tpyqb$>%n_m^?X569jlr6(u9ue4hpVF~u}!Fr*pdRxeznI=^BNJNrX(&p`W zhGN+V)04iG^Gl~kQGXS5>zUB=Z@bL;k_#XYn9C<@36wI!Dk=o@kgE(C3NcM~y`rOc z&4}*^eE#RY3@`FMW;Bm6ejLALlMzpUnk)&UQv9#QDE*#`@9A; z!W}W&Z#rnPdnd0gewSwJd_Egrzk0Qkd>P@fUAd~NS%f6gF25pmvxY-KwM*uSu4azD z+j8&U%2e5}Hp$Yu`wXsP-DP6(&sNP$9X3ag7PMouDv$g9TjSpS`wwCiYW6zVHl}K+ z-WR=Yv+Wf*PYA}v<6ePRlJ*j`uusOZnfhRY3U;8 zT|;;w5Py}BMkE?WA^hVQ4Z)<1gG9UcNsDR-K zwIynh3x%S{a!0Ye|BP&kU&GW|-HTlDs{CaH;i8ZvmN0#Fc?>r|7Azz6_%V8AWhL6l zg-3qP-m}ZSsQR5Y@yjnQf#mz=`@Lz*`0i&1273$b3Nbvs)0ao(=gZ<(23r%AD~HH? z_wG3#4y&V1Od*QorS&|2P*8Wq>Uy+c(4Q)8F;x@ucdMGDU^Gy#pv|y|+oX#^DM?Is z^9)(5cXqTXZ*IFu_K}@Q8X@8?ChgItw%H}fXA)tYg@!$1-~H&JK@*lA5tU!R>Cf%su=w~cSc=Xkv;Hwc4y?~b4@vX1%28U<@gVJdU~79ve9C*LB@f>LG<4h@9uL(1zukK4g304(#nbx=8Xt@ z0Pd2yep;XNVqmrbk*hZBY`Oz+*GQv5|?d|PkUb{q(CK@sx zHZur2ZT(!d?axr83ZWJ6*_y1b+^Skfqu{sDsNB3EIh41ocE&2Kpc!{}bikWRM?xw* zC#M*BXs%YEMW+zUO~A{6KDy?YqB!yV~SdL1ytx80@^~q z1&&#?nv`6N!ofh_+uzUC3H9>wqAajmwJ~2ki>jqyypEgPKeTo74Tfl8VIf@m7A}_a zP9sj`e28$guoLG8iT9|~dBKQzSA@hO$cANg-GWP-@wJ}%hPJv7%O2rKZ;P-)7)}JOAvCvKPt_+iHoI7%5ZX3 zSXhYN>HX{1Q$G^gp7nxyz1iBJAVQPw*lQAy0Ae5^S37Rzd}c~NeZikB)qYxzL+kdr zdb=(zjOlr5zo|yOhx^V&+1pVbmpvnFLW&0CDBV`Jf|`4-^mLM0jE~ng4BCsE<2m?# z>2gbjKNr8?g-B((6?l!g(&y@E-1^P-RBdD80E{u^og*q?NA}g#RU>2LBF9ZFnUFhj z>mzySro%a8B_`eX*x7?%ObyDkZVTGdqurMYn#n7ll0+cf#PogX8jE4sDf$yrKf{+y zGk_sW5%=m}t$^Hux&<>cGcua`foxT#DUZLFkWsxqOfT9-2n$f~7~!Icx*mOld~|*? zAG+vqpOZ7BsY#OO;X@TtU*W9^%)8DrGd2Pm)s7qwO}b{5lRO!@xSk(9l^p8dNs+oO zlI6L>=eE*E>2AHjryfP%mwpw=8AYS>Fd{ClQ;J0NCnkwV1GUq{KQ$y)^R2-mheN83 zy_ORdUr>vbm|AGYUdX$g1TuS^=lMKs&E?tpioKAV7%faZ3Gr*O-Jdr_E)E72;`mW> znTT9tf!C%zuS8)n3bUzwpXm|a{$8k;x;S*`;FfZ=*Q2?>n}Kd zOLcX07#^+-pC8v><=I$qb8rObX_xbOUb-bO7{(n>dnQTw6Zey@hcG$@pEn%_IrGM5%1<(A6QR` zpmGdOg0SPlKsf2;(V2M8My;aD`2!xMc;@o&2d=%fywwAV(~zSD%J|==%V%!-yyX)+Hb#crf<#Rn)#*f+ex+GTFH@au5 zjR5dWzx)rJ$4ky}$@;8dRQ~RDW~Y5y)mJ<*%B0u48}F7WqwwIcSjFvNnJS0%Tk(jr zY#X73jp=&Y@^OyG03G2~rMuTrHOjQ?4}KV7lC@fH1f-r4yJe+pUw}twS_gsxQJn~b zA6FSoCM5>^ad8uU+5b1Nc|AC{MPE8Q$2>SG*}yU@VfC>eE*w^aiJ10ABP z!mO+TsCA2~JyCi{ygapk5y4y_mqwX6S%R>W$x>I$xAgRP=O^#lC17|kj6N1)p29Ar8E zfk*e`CY@CHvd!8Mi`sXMMp$}2smy41#64=7Y!;-+b)O)SRYuYO4vN?<4y`AKhWGBv zhG4y#u5(Ahg6b_HQt4S35ESgRn5a)9gp|L`LSB zBB>-V-gvon6wUvhey}o-h=}&CGXmQ{{!2CvX5Qlun(;9}od=R*Z*RYI+fEYqomg3^ zb|fW7L$)~Y#x@%!oBq}zGR|U2I6>I>Z#YvOUe z$+PiI#~M9rU;pkOq}bl*-i;C(8XAGw<=wSnBTm94B_$9@3kybFCU!etv=38qSYe<6 z^7%rVyK&g4H@1itKD_6w& z_9609NQjl=;+Lo>^;|uPP#Vz_2xD!9TFb4&qPlUj@^Jv{bVOFld*8x;|NebT_y!rP z;+o9%n=M}-ir>WqV%oaJ8^>u<$A2BGsY}L!x=r2|T{ABk${DGzB$kit z`KB7C4vc-_F66 zcbmTTpNKrc4c^$!ALZZn1YNb8nwu+^V&9@cdO-Nz9i8aRXBcVo%P9{?h2+?0UY3;; z&^#-FK#)o|8Wtrp<9pQ8Ms+eYey_x-XxqXHLm!EX*R5sy`I{WhOD zspm;E?Fp)QRN0%^xEyayqOv_IVZh`=#x62yzmdEk^Ba|_M)I^_ihozyF##F46to`U zs^*xToeiQ96>|R4wbGxaS!rurd6x-&tI5pi-Me=aHqAsofBu}=bv`*5d@ksI#*2rC zH{m#LNkNFcx3_n)mRkTgGLlAznm5MIrWxn`AW;G5$#n6duskRK>J)lD?TtTZ>Sy>Q zB+EeV3JTBDLdBX4i+F$ic6nW6Uc8x;V_2r;0tClpt8&?^6M4AS@~~HAaA8E8l*V}m z9Rfxci9}W|-U{@+{m`Tkkn>i>JnZoSYlv{~Vq}!*Y35s|nZSG` z;XlIQxvl@=^v%{45&IIKBn6wJ@>8z%XWCXy;^ zteXi{A}@8vLVzy->B(>ThqSn64BnWN!{fX&gWs8JZd7Jzn$Gm2vrhfY+RaUb zMD*Y~d?+J1d8Veo^EJ%KEC?lwFllu6?_;9)$39fLy;*-+Lte_UAC^>9{1#bu4fxVy zX1tV3o#;3YGa5(L~%Y<5Zjwx)r`GOFiFRIAq;FKG9>=rsXwV`5@-?7I0-+1$BS4^U)T~81aDVQK7YrND zRWGq$eQ|&kMX~WFA3P}A$2C|L1NH7=w2*^1Nkn$g1~U{1>P7(u184Hh$#E8;A*K;| z3e?aWy_#jD4G7QP>2gwui(Px=N6=PKTpu_#RV@u~Ig%~b^nLZ&z*o=uoTXPM=_E6W zRL`vLQw&l6*e2N&5=c;@0l;9}d5cpA7exmeLFPfR!GP34)Be<%Hy9rzDz|xuG+f5~ z9P(vuvC7HGeb=u~q_V2`Fj?(1n!B4AdRP#cuUpMy`GJH zBKIqc--RDO-DDaOc;x`9&Bu=)pNXr!eED*ouB!w1pFh;q{HnDa^G=qR!ZUuXy&&R5 z2}hq@{@wN_KaD3z`O_1tcm2g=%l!fGw;Aa9qbGKrjBEt={QWt*|HOL-e)?np83!QX z$A9iCBghhz)K^b){A!BLIwLvgrjK&=pcuet{Q_p#8P1|g@yP0qzWX5pCdAK>n<84o zg~z(?)TD9-n_*IiQ+w`x_)JdfuBM=@Jhw8}Vt#05d{p*J)g$l(gjSfrb*5>XkFwpaJ?1eVVy?Tobp2sH-SawBv=#D$ z%Ia~fr1baI&&rTVJuml@9#95@O0^4WSRu$99*}WnmX|?7%Ppwu0F*B$FE3oMPK%%9 zexxbaGP=4lm`UO^=|KK=G=C9A6dyb&Y9e#XRWDcqE$R_h?ZFKcr-v*it3nFE`~*}c z&3Q`}R#p^yTFG2|KBx(jV;p)l(IBni zTwOuY<=;1OE13WUC=PN*YU(|ZnBs<;pO;%t z>&^F42Fn~E-7E28;#~h1)*Ms*P*e4um9q~m>htG@)xk_bfCA}Km>lU*=KOJTPoJ7b zj_VDdVIroOIS$pt?C84a-fKu}5 zKg+)-$UCMw@mUew>!Js{V>uTm%9W6Z#P8@)Se4}WiA@fl>vL|{61k4=Joh(tG98Di8LB;$MA0MQYdH>O)NA$T? zWm>Dlx#Z$k=XSml*Z;#E>}AKJVSFn=^n4rf9Q=*uS)1_c-X%9n)vS^F2aZ9(=~dJ= zQbYS|YiFlV3-s$fOv|)vZEY#pL-W+wKs7Rglz4-MzQH$Ih9Hu8Md12Y4Mlv*PIKGg znH=0lyAi(GeRg(sdVc;NlA#Ujhc~FHCyk09a&Z-?iF(tBB6sFP#rb0_@mtOwjf4~x zy)47D`iRui)fJ~*jp<&}N_U$3iT4sAtwQ>z)J!#P`?tl9XM^P3{uy$4-5LGQSqo7LFl-qp-Ak2W5@|{qEqDh=&(Pg@D>l&l5m68QN zl}Slu2epm+TA@rDp4jj543bGghiZHT(yE-Qe7yT@L9O5TX6yW}54}5`Xhl`$pKG~} zk0r?~*68|fOI4*29`=+A5}NV7Nzu6?Fla-BA@iA0ZUdMo!1iS&B{BZ_=O4gr_CnN} znwoB)ox_5&The{qTdomy0NfoGFuZJ4d#Hv@eCOA;$FVR#yvOg}JpdHH9KZI=3)q5R ze-8NpC6T*K)cMs8KTF>AZDvr@2^xA5gyYou@`1 zarb(|@;*34prRfMJFVGnB21S7%}AqaTQ1}9o`IF0dqhTs!WNw3Gl}eNvl*W zMrv084nXN0`%B#r2%z+`p(|nN++X4UHb*oYf^o8S|HRhsU`DbcC$t6C6 zW@fGGlKkx9FSOLu)QZCqZBX*LTUuH+Caa@BM+N2q%K-@Kgw-P;dr7_OTTbR-Q$Szf zy1r|b;e#}^$PcOqs3(eduj7gl{t!DXw14&;R7(_)hubO~vvAvMX95Y32*l`ba$zWI z2$VNB-@q?ESQV|W1Ky8_rYL#}?5d_+t5DZ>j{x~n&uzCwhR6o86@a}c?Tmg?0kC>P zMfPw}-Vh-8ESqm`U?VBRzcdFCVS$6qadHHr^V+8~D^ZS1%m?6P_nf>#&z!-)e3**B~vv9B4Sz#~W zd_Th5P2jtY0Vu1*a0XWaq!tEHXi)+*K%AM=c^G6sb*Q>ZaEtxb+|N(vo7SGWwgZN% zjdJe^5-{Ut3#8;Pl~%AO3%q8JA4kd0)_FDsM)7x;+pi8>3v7`DybOUCjBH70o_%$G zvbz{5y7%io)Eo4jA=T#*uGS#q1l@gEo574_=o%~0ZkxQl6aiV;-C@JiYds*U|YUtHHBt^|MUWk|5yZnh&kZJI@2wG z^NU{2tI|^5ME9eynGne1Q6Ryc9jv0jfp@bm*s}Ko1uL8Ka8ka4=k)B^v-EACfWh!H zT#Z9cL(?*f?dC^Mh%CeOpc3@(GTcTq3iM1E0WWpY*kZUN+HLMryxX()q9Eq z`zU=o8q(;Evk$>o^rn{vaHgVy0-?0DbXmSX5Td5_LtCILh9zULDuJP(JclSwT`!yh zfZ-U=@+CP~Sq))2*>x(e*XljbRm-DiefnOQ);|fkB1A~+F`kUx^NO3>=+|}dnk8ZU zQ0jRgIamIXFcH}d2#`~*+l$^LVvxfS&YlpAZpV{O;CS;apy!H>hbJZVLZIV>6BZCt z@_sxyafSsZt)W4YlLHYO+6Vr0yb=?f6rWh1|KKc1_mU=*Nx*Hm%Hb{YXrul7biZ=e zkLp)2PII!0Bm>+p7oTlG;vZ}1fwZFe#$7L}>VPXsgn&j?19+;JuCw6 zZj;;ty)3^viS*KV`A&C`Jt89Ab@!yx`ulR#xz0VrwSQQc^=)!f5W*q>k=%4NpIX^A z%>%*+XfPau;Ge8=m><>my!MQSP)k|)J($C@<_uK@jnHoI9gH_cQ`Dngw41^eEupdm|eyHd9{gW8@aEaBV(2Ex@Bq?Xi5t~&G1bP;qzkK;; zqbMTbl2uGhY~i89OY(&rp~CF9qNAp~I=1Z$3yX{Iz(f~XexpcyM}&q%uOJGb@XU2< zSVF)}+Q2n+wShlK^isEN1U^FM?y*DW)94s)GcYpF9U?WsQi6D=g{VPwc|=D?&lD~| z2!0Fs3R1(k?TXhS96M zy8P?itOgjo=5#6U{O|NtKKDZzW{W?iEY3>V8=?{h1_q#7_`B>cA;yG-{(fDHUHMbS z2FN9%PW|P}pN-y!p7AO$^Je2eK)clfI+yqI48&&(aIIh9qZywGU7hN-K~HV|+KN>x2@eIbSU;F3#Eu#qgTln0DJI0z8899(ci4k*JH-+cU=+ zKAb^SXrSQQTh9t6CUhLPCfZSrEfBNRdsEV5b`r)8D}CqyPs|EZ4vgGE+stzkV|t!w zG*R&mYDbhSXz*dNv07>Om+c;v&3dP2qP?Q#Y$@OMHF?j=;JQQ1B zw)~2Fzh7Ie9Ew+;`x8;gKv)cBUKL!T>`+uI2AqFyK%VQGt7^ogvPa#pz3|POH$eo9 z7jv&_Eyo(-tKHbJ;Njt^SokV`ve(I_S!{#{LJ8P6V5E|YQ~+E5mYG>%InEC@O_Yu; z9@tQgaz$@T+>NDWWgj|i=_I;t3EpDYXaJv^rp7eg{qIrZ-C(zRY1Fg&b6iRR;hxV-ryrFV~ zs44lQJ}HuasHua;H#D>l2n^g; zK-eQZepDUDBHy#gtB`7ynfrqAATC}%@V8&G-Kx9$ktfD2Hbiv6V3BUF0~ zctYb=)xl5{p@~5^tvxuGz=kpG)A_j@2nFr;Lq(dtYnFI9ydL?k34I1T-H)cZ!Jh>O z8RGoL4BRSVw=rWFsJUs`z%^{w-AWjJ6=bpZeY8BVXO&y z|1Xnop8^7!R_*s->QIxBUwfpL=(Z;ZZro2$PEj(5kWehBeEYV(rKX?IIkVrk->i_j zh~waH;5+zI*KIHd|8uANe<+LShc<2; z!RprGR1eO;%t2YL3XH1hOyg1sjt*v@&fa$IvHlYK)VX+fdW%R-tA7@$z(}C7o5w&x zb(cij+(qtvu74`wqv_|uWP8)K7HYYa*D40&bi%^IAU82TGu`f1MUZ6<-j08ey3xL? zoT;m;b&pqgsg2>yEb|{+c!yf-JNoy5M**EGhj)LZ8eYw~x#37oGAL zIuDm^E1xPU$z%@l{Vu76sjfvH;)`KqTKoYzD3v?>H7x9AaVdZwO@m9Ze`2c!G!_?a z1uL@k1t=3}w5?2u(KtplWDW_J2dS0Xo3Ae$$a8Sv*$}bUgh_@9&wtu9Z@iu^m8QBQ zi0Ql`wnri$7_B8S+v`w&)nQfsnkR!vhB!*tdiHU*HP~JAUe%UxHD5+kxi}>>$MeD*zJ)# zufa_7$dS%+hbDv07RvDeH&=c+MS2qTYW^9=7-e^%oz=!X?>k(7B*{b0!&Z1HyOy3} zeCT*XS$Xt_?QOh2*Apg53r0$9-e(oTY*h*OrtZw>)qc4{{e8b;>`Q_1_A0K3V4m`! z-Y+GzD0B>b&yjO2V;VJtUOI{e*phH^@&SBKUU=M~SX2WBee#HU-0I&~Y(XP?x!*_z zQ%MGIM4HmGH~#XI4fQu-F8c0EKt8g5406MWR;I3I`rulV>BBiE9oPNPnv0G@(}5|* zSG`&N{6jBSeSQG0%m8xG*p`LDG6yRvP!{E&c@VtswEmB3xp{VVr9P$4_61q8jmpC? zCWxIs7|x78G;3>ZeW9bHvq-eF%wE9Ltdnkb`22&m2Zp*rqn-)f!DsI65WnL{PRxK?wHB$kq0W4)*Qg0fFIcbIF<+w?2;*!2rlUOF0 zQI=4dtf&#y;s#ianTHnjo$MUegSgv{-RLQ)~tDlsU8d~+S^n|WUSP&yUms;2H zZ6U4rU=7>z68`a2W_*wi@NP1O$F)8~AhgP@6oG7^nx&xAWiigWu5s4p6*mQlEDol* z%Um1@O0qyhzyuC!f4N-R5vgusBB!6nSBUlz8;O>baQeM%zH!xFZ7erO(Ip}yqK{H6 zhD4>mFP+KlRI_`)OX;vj3c57^Wip0E8j@fArD@3Yi_q&wFs($fUgDnYo?>Q^nO6po zJ>_QlXV{VV@BH7?oZPp1%N)dg)hfLBIpbI!amC2` zy!e$1E*>M$Im4IFsY=`-Q)Es#wHO8s&37FZyPTXSJe~ewD z5G`}?Q%(*UBd1l4S;SU!Jyc3?DgmR=J$!hxU{rg(G)MTZAXZR*^J8UQ;{1?1USD2Q z=IbRU_y?q3zj?D6SaqOGngO(zfc^meOKVa7_{k*6&ZI9RzM^G%dA-;xNKIy@45ExU z`ABn8t)t|T8~Ajv6!SU9e&O94LAPp5$SLi_GUNM%l^CQ*@MugeeH?EId?pLY*_Dc> z=4Fj1#thETb8S`DuW=L`j{;ZzFAP2dq6kgEbPpbUft5AqSiK7328eHm&vM*>X? zUn8@WwR2vhLAG5`AU(w4TSls3<1%JJxue-Ie?vsK6m--;W`Z7Z5R(5F^}lxQ+REV> zaxujV`QbF3xd*vOibU+CDAz=@p#_8;qlkkSCeQ-!*frxTAB=DzNO7 z$ZG^$*LW8e_fJu+ncmOUI4xgA918V%2;LzEt*QmIzFlV#=h`XrBs{0OK~`4QRKVdD zW5vE*T?){@vpQ8P%o&xdWR_6-a9G9G@$G)hOh%sZ*l31R!QkVzp27ko zP^}ddewvrrf~oQn!Eo=M7bqA?@d7FkPv5dvHudbSuAQUXtW^|0SFh3;iUrjaLQjso z?4GOcKUH4axKh%?xyhp#24x*mx)taOR(&xN)(zXEH}VmJi+cmY&lY{wmhX|@QNGKf zAQjRb+CTT$5`pG|KV;amQc@6b2ijexq1iOTVP@l4W_l!BY0;QJ?h`216%`bKLikD5{!3Ju3VbHv{{0fdn~F^Etu-jK<0B=P|BoGJt=A9Ro_}- z-ru@Lns7UVkoT47@LB^U-qFKP*C?5bI`+6hSA&!u1H2SEc|ZwT0)rniavPJhGLlo5 z<+kUA%vkfE7X6)BSz2h+s{cE=opb7|6{I4>cfC&{`j(EtO5UldqO>X5{T8u8Q#$!; z>Sz-IR0+f{rLVpI$25;GutyMEg0Te0LWZL@KP9l$mJG69sH=p_$aR9v291dz zMLmVaW&zuUrhN&2s1JO}%+qv50;39ove@at8qMWep9r^6G0TgpY|?xcU+>~Z%$JbK@2(74R|=;?a`-*4aO!RCZa z03Qh=Um0!i{S2Z7 zWaDhxN;{JclH-A8*sno`5BT|oKPb}9l3Da*C5VQQzvOd=vAyNN69)o;YBPY{CRj;} z4vyZ;ld~?hdN*#~6m`63xFrL^S73_Mzm7B4>G zs&dC7{0Kv5KeTO3*ahP7Dc8rO=5#cJ*%R+h|I#I^-$(tc2NrZRWP(SB_V3;gCAS;t z2nrt;l>-`H1OC+JKotV>2VJ?84f(ReEGJ5ibh?6)ZciRCD!v!Eh95BdS;l)X#YTY1 z>-}I*XC4k8n!_CyGeQvHfrx-^C<{~z)uslZ2-=?!7}!P6D0_Ve`(!IStQ+~U_@I_s6)Q5UXMyp6tMaO~ zAob+suPFtO*>{9r%$TbLUZ$Z3;n(>*_95SBH0i|(*RqrX%LCMTieMS=?LhjuZaqoXC|(z@nwXV%`T zdeh_b@|awOlqgj65JUFmql4gUVK~DJ5;s?6gAY=ya;2;Jgc@hC--d26?VnHg{X-+npr*1-OxqHQ0dC>Dv0-hAy zA9xX{Zhzo9GmWpA|3ne0_A7cPPMnMFo7|L*1(HRyB?S~ME*J&9-iG2I=j@{5H~Qc1_?EZE zhQEk43?A*C--S-N5Sg?_3w~6OH?;6QdGX=~pg&Z@N2_5GSUcXbd+EVXG6z+l`(|sY zZ;kTFSZR$O7c_>Gv8nZe7?0W}!Bu}Q3C-KcTCoK)SVEwdiY0M5->5x6OqY5ku?S;f zFJPvVdO6ET4$% z=GE1<7~WO9L}y$4w&zBX6QLO@kLPiM1Jvea3-XK$I@Y~^S(MUnKUwNy%Kk_nnAC}> zoaHU5^;(>GWu(78hHQh0LmVD=Bd1D6g+H%Y_3LdyzZt^& z#=V`sJb43YDzNz|?>?Rvhq8&So@%z+u+ zi9?niW_0`vA=ho|qmeC%vyEKlHt7CbMTDoHmKZeq*>=7~k{OTf3B8-cLiN0YzZ%yq z1Eu_Fhdk1T=-0cai~h^cOU|ySjaMtY-2|8Jc3KJjToVr2o7XR176uRv4Z659*Jx2e)0B_Ho6-DsQNo%?aheI(SK^<})@z9MLj_;{qE zEo*cgv^YcXVjIJ{>Mu5}!9qjr?gMB!(BpRvL2f|?o; zXkcxIyo74m1+DNtKYt`>o}V)jM|#O?dS!C!8zMhm*nUT!L3hN|{ZJIHP*t;{5Sm}A z{)o_-oI-AM+q*=@Yg@t4>e0qHQ9@Njm+99CJbg~qQ%|#ktzrZ2WnBvX;-$kPm{JON^X3Rv;LX&=pVC@ zu;rj{!7W_|!7-cxx9bfvyb+-)Zy0$7LdVF1$6o#1AZfP9pSD*U29qTz+1Alz%z_{E zOqs`zAOAuPd$*42YUAcU(*x0Et-8y6aniq^oGv8|JSZ>U)8?rKj&9R&Et4D05r56y z>`6bH??Tiua<9FOtf(ro((Vp1;iDuHO9~~T;_rhEYCxz#B{rF9_y8*33&<0*rnLu` zv8Wcyf@4n-dy!G&mWXuFRU1T)Cs4Z=VP_zOITn>>)?i0xXYRqditZq*w%(V9u!aF1 z@1L3PA4urG!eDshS%AfeS@Hp=C%(Y-)`=?zl6K^2^bXc{xeI+m%@}T5UY!Z@3`OM~ zBx#){-pxezPdH8@Xqie4^MeM{+I%8amJ1^66Q`6iwRrN*RNO5kqXPkAQG|;b9v5=D zm$!f1!wJ9$(6V)4r4(hRDb=DCvfM;A_S}@ged5*ct-8*nw%xMsG>gE%!uY(plR{w1 za#XQ^az0nK`0zgEOGb9KvGuoqP~*u#-;fYGj@5yDbxM1J+(ctm>;Egd66n#g z%F6tRA_H4zC6FZT_~hhtSxG^mK2g;`jmA(vZ=~0h7l@>0g$*5~emX$)U|!rImfc}4 zIIshi9=g5tPMRvZBk^m|C-gYgOWkN(&%tVm-5+;eODvetM1M_7WgAxZ`76hvL+up-3HZYDk?bkZQyfIe7XSbZc6V8o3k$q-nQ1@=&AgL( zlO^EAJf2prJe!bERsR5fiz6U4%lX!#;0`7YE2kv{D~a=E*K`!7e$=_f;UdDsWnoI` zy9>5nP370#77WqO^Jz@+_{y)g9efRu9iAmD3$xJ=)Db0&>S_Z-!uuU;o4-axNc8!Q zq9(qC5)ezHtL(gP@3j;gydR2{i0jczA|UZDe41_Ks|GC^8YVC5xd0>dc_qCmn`h5k zj3riwzakRt-WpnJV(4&a-XPSt(PKSYQA{%eo*1Ru#{t74=-CW{of?JQyn|)nc7Yxj z)MA+3|3!awAs5{FIoMkUlt3npj*jjFSdY-kvV;Gwumb7+ zy$-fS z)C-sQ9$>+#M$Qe}j6xzs2;b5C7}_)cM4H^9NX28?cNYyRVC7r@8|+}ferAGVlYFW3w!InqS97GA9^4LWfcgSkLZLO5Q?z0! z%U&x7vX9UA#^DTf*j~yXoJJ|#_=+18Kvso-J8SoAmg3c3N2=RPQYA0cRYtyiq-Fl^ z4VZCOjAO+<`)tOe>nzQ3T=0#7o+Q0S3qRQC1NZ{}K1|`s!*`DXv#sHC{`*XY*dXAp z&sYfHAqy3e3?d>r&opr9IF&i}#8A&%kmTg~=l}7U4gYz(!T;;?4*v6qhX3&phyQ+N z!+$>iUr#gmcemjGS7-Ro$o!8}{?F%5{6E~oJH2zylUMCIZLN)e;Ncr+a?(mq@+Dt+ F{U4rnP literal 17064 zcmc(HbyQVdyYHr@kro9+1nE#31O)*R1eETO?k;IWlvY|AL=Xg|TS`Q_8>B(HyY92! z@0>Hv9p4?}j&uLHj)CA}@4eQVbFSz4)%3lhyfi)z6%GP{z?XUYlYf(+95)51%$ay49kmB>90HOZc6DQO=zHW97jY zd5Ujv(pAld8W;LG&H5uhR|?=1U|@-n=MivpR|{3*_|IUyOH7Q)2#G$f|J-}$SHQe? zZOu{TYpiPr_Xoa(b3Yoeeh~96Bw;;w^Z*CN0G-?4JVE1E(p`pG;UAmVXV!E=O;1wK z9N!EjwfOoz73shmVEl7CWxN!>`|Rg+)o7JD$GI0#98b@GJGad9cVon1q}<>h`074) ztw`PMRaTfr-{8A@?=y41DE)}sQD3ZW(O^~6*h@JNOi%hz-0A!&;Hmy~*~r`CkI5LK z4d|uvZ83fLrhHkR6)UXd#15+%0_VaTHm$CeCtF$ zQ~W0qn1oAk8DINFmQRJT?Ye&N^@#O+pqH*ZSxU4Qxb?H8WoIsg^S=A8QHs~$7^X~# z&($R!wNPmv+O39#wkVAX8*0X=_XRpN_YIoRIqJ_HHtUXbCvEr(>kg@MbK74?yfc~W zoo$Xm?Ol+jH94quj`1H9(|sM_hxRh>VyZ+b`@7qi1E1{$^YK&z%Gc29L#h-ndyklD z8DC2&A?MYf>tiKw8Hk0&MQ-DE(o#)!M32ZG9!Ffr=&1S~dV1~zx!T=!*7fl+T;CU& zQuZS{uIVFdMPpVXSLb`TMBIpcVGwuX>dyn=^~EJ6?u#*My(>;~DIz!ObbDZsf0HPP zG+tdyU+o-D*g7sJyE?Q|yK=$3$ft<3)n8rMA}|SQI#aLCO>L?c$)&3z0gR;H<}vIyh7oM`*QpfjF3^u~j?ot<*yWtP&)%A}6V-HY&xuOGV` z{Lm5v?C)H^e*O5uTlDhy)lyGt&+*Ru%4F4p2+>ostf;W_b5C8L3ui$KZ2NH4LM=6B z^@~p}9kEye<4gHs7-*U&`>}uhtJ;iCb{FN6g<{0cX9+)l{+!ll#>B#MM@)nu zWPYc&#?`ieU?73Z097`Y&ERx@r8DJWA{MP@B>qi4yS4m^VUM$p_ICAhs~c!o1mAe3 z^trYNl@z$m`|sLRuQ2|KpzR@3)As8#`?#c`2m9vK-SnikQWZ>Z7i2oC#rrXvOh`V@=;b+x; zx5%j-mjM2)$aYw+1w&iiR#hteI;h$Ycwha(GwDu}Db%fV+w0=j_~9w&bFrrPJ|cp! zyToNfGdLs!MZL^|43-1~9i87=K}|SRg%1e{*bSd}E$xJoKY#i1F*a7p+gmh|-*pyPk$wCarT(PLw(@u`q^+xKu}_+|Wzhj+WHvh$Rk)$(RjYvKF_+ibVLovvOpM2H z@+QYv(JL(Z>?l6#al7?VZkLVmmcMgc*3qTITzqa%-} zYwO7I>f*?8!n$H-Sj%?y=q!QPQuX`Iev=Xvb8M+6Pn0zdVbR>+wIJ2ZC$FE5=rhAB znD)oOt=#JthL@5z2NA{%Clb-APOO=lcB}o-VMZyq5fT=b1vM&0%0$fRFVWVIGmccB zOF@&88@}=J@iRv+Uc5j=M@L6SMK$+l$tH+;dBhm&IS;ACcwi?)9?Q*v%fYH(ZNv@s;28M8U9rKjiR;xV8YZ#vKm1x zi^v-NYNk|Jm^SqnE^OquM3sS8FdW7`DGwWC`?KP7sn!o~!WbkZBrGf}d^c>td5eEj zYHc_l#cU{-jI;jyzJY;()mSk`-cb8@gJuM!kQ38Z?H)mH?%aV#o)fmJ)9N7ec!e!3 z)3va-v&}(4mv~aynXtq?4*bJ79DC4|cyoyzbBiDWqlbYItMkvzYbu`s#T`@)ONnq3UiC5(E zc)sxjI#+X)f~d^d;RY1_##SukTD@so+h|!>_f|f;dF|l7On3+rCITC?8GB#d%Ccgr$gtbcvjvNBYD4z2L(_;eZiu4v`J z>e-B=ERV$?6SDO{Elu&@%!8uhx!ZP6+jYu$SHF^IIfq;7zPZd+Kva75lYoJ>1Cia| z^qmP0r2P|#4z?}n!v+UYg-51&UGI+;n<+vqHJWV-1Pp-eB5g!;PclDnJOkYJnEh+W zOHCw>)4cl+^7$7w>XHnp59y!ZnK?RReONn58ZXC4D%q&d^^~0yiSnEYZKN_kbSDdA zNe`K^+#Izx6S&HVEA+s(^~Ll#ixIH31QSfmq)^Z1f`;die% zsovPA|H{P7+-SweUsa&=zr#){H8}rEl_Aag$7i135&>G8H~tq8)gE1N7BsEgtX``; z`ty3nqps21*w`4^eV`}4Yibg|z0J5TcCn&RYB7Y9*!3$(&{4h4qs%A`32H5?VXd>& ztq8NQ1fOlyry^Sqg`Vg2eANylAb@IzEDtNAMf6CxapT5~Tf#1K_Z6?veL}2cd<)FT zxTBh{DcKrMjq>+Top5e$u~8c_H1nCp0INT>35@|*vLsV|ux-YZz&qw}Jid*i2b8XKyLtn=Bg$vmkw=CR&2iTxu!mr^`8)iUyzr%Az z5`rL!6l)UkcZ}lIOg=5pz%^>!xCuqJ5y2S<=mbS9Qy<`-xuLLpG(e*Mf|K|MrUdWb zzsI}Dhv!@O`e<(Ngz}*WPjE(WrZgcEbe5Qe8O8oHexfklsa}USTqo_ZW@l#snCN<+ z7$dP#cB*$f@&Shihdd|4KR2o9OYYid*5HeRfEx0^=r@srqhsQN$FJ7b5|0B5D6(0M zICP)h@|i(eDwmUISF72tMN$|OPY8knICQG@xhRc#h{coC*zNCrk+N>2?s|(REm6}M z9Ws;gd9~iLX6iYgn;X1=+B1-dBK-LHn7m3SjB1A9R^Tk*TbG3Ci@YTyEt+d$*b0D= z#f(l&3>jGYX;Knsl>oyKFZYFfV%MSw#b$8v`wVZd#q9iv-4X8d`eI%N)4by@gNdH< zOTI+>h*qUV;}Z73M*RkTE+S}_hO5?&g%Jl+-IJ5r$|_m5(?8<7mS$KhRwYzaV5G&a z&L2R>TIzldbWwLz3^IGgp%b?4>v;Ov+2de#Ei@}^Hr-| zx4O%%C+-IGW+V7>2uVqsQ}8M?I457Dd2)&Ibih0IR|c^1Rb_Q`bwk(`^M;;P*i4Q7 z@OoV`dH88~dVYt9ntE!z9Xdgpe7-NRg5CKDvGRD2iOIEBUKIl-B?k8s#Hl84Z-0p% z9UUc~AR%LUzWR78d-LrZ%F$Ai%NjPW4MKYH3QxF=F{>{CQCrB(WMr^SN~)f<*m6wh z4xI9j*tG3_aI)BAW@m3Pq_wFNkc{4&G3YIbMZXfTUpdc*5T?&seoofe0>8KyqJGz(}vKS=_yY05sZ&#vx zn?R|rCr>%|+5T(!yr!5pC_u__>@ZeY)YL}S%}roL_cc2LYFR$)B*YNUdxSXJ-q63_kc&f~QoBbs$%9u=hX>pc zh2zOvLfUTHt7B=Co|Gtg#6RO@F?--k4}46-wFokwKOPLlE1JqT8E-f}$0gpn{wno=rs} zG_H?I+43JEBO5C1=ea2HNJ&YzH!7w-CML47S333@6*S_nU=dJs9xwO|sBT=FwCc}# z7)nh#j!?UVQ!K+|7TotbTpxo~gt;PY8>(PkuA`#zO_fza?1Bdhn3bL8(dLx<>euW~ zlczyV(Bq|3MZJD^b^?SqEaI{r=ZWRi>xQ=fYx?Ta^;g(UfFTbN@jz~Z2x*rZv1k{H zT50G71rw&vm-x#HYysJX-V!YCr%ofw9x7XJYHkJ^OaQpAkmmw=uGPnba=r;t5|U=< zya|GiCfft)5<%ou(=oQT78WcQXGf+`a)868=du8N!K38zy}US!`t<3UoLs1OkYzV} zV)>1|YNA7`!m!aXEv!ks-mz1AB zpFES5UGr2;Nlfer zu{Fh|6TRr8=BocfCFb|^0(eB|EheSoRozNFe*7UZ-&OIZdp}PyG)^idpMT zN*orS+0-4V{(%X9ws#{;3J98lkX1+tD2#w)Rn`@ns8t~ zRL2G!$uV;tDspzspFs4*9USfl5m4iF3!8LO1_uYb9So`UtsNk-^u|Pm?NQ;#15iAWtQwlOvo5Ok$uz)efUv%2n>7*lQrzww>pXjg10_#VMFqqi zEP`8U@Oh2CQ>1J2?@8_*pRN^Z)Qj4&8}2T&!2|noe}7-95UVTyPcS3ZOhFaT_Ev?d z;0^B`rOLI_hF9ol)eXa5={i_J&5ajF(|YFPYI z*%fhKRnCg~3RD8qc2|&Ayezq_j&8w}vwX2tobh@c>m;9V#4;YBKKsH+nd zw$dspZwaV_b94VXRa+FQ@h&7khtiF6Qa%!mm7D7@(|{s+JcGulkOCslt7G5-i9b*I zNdp=iKFdTQ)dW@y{%d#@#wBBw=er%C>|q3FaM{f~BA^x3UON{BS?|@aP;Ai!oolWT)Uhps_ALzTpWMnOCh4tO=*d3oNrv~f+;hKQk3xE>y;ll@e z-4QX;%d_b#OqL(=(jb~t&W8)D!<_+7r03=?YNz&u$}|tNzYLGx0xb50SS_2!FGvBF zACJ^us;pT-Pv@iqbF?ZAFA8llZ$V7&?6Gi zXJ+)L*8QORa+vbKe&EaV{f&t@Xw>*BD#)@GLcwXnhjnzS9gw$qw}hjff_Nr3pRJ{( zWzu6UUuCHV)K0&KDMzu3eI>h7=%4;RVh4jtjrC%{3{5IB7nhdq+t2;9Se`1gRNHRw zG&!<%B(STPeCZh12(?^&-u3m-Ccf^x(1L}6DB z5cTjceLyAa$`!4ivH{KRz{JZ+Fz&?itk7%@g<~mr|NoHsOgbQTb?Ze(h@x8-wnGfXO=XE|?Y z>=CxzbEXF7i^RjRX!Oc2b(cUUBx59 zB`22xF)T1JuxoWOTdTq*|DaYX^Lm}Gp82DtC0!)4{kG-8r+iyX>k%1+nXl~Ar!#|J zmDZ>0>&FZHV*8)*CUyag=IKCQmmd{vbgT#KLD5$mQwfaSPpG+-zG%15rEKaIb$(B9 z7~h*1{3tKq?Q(~SV+INPiGMRR1P-_2dp_Rha5w!38vfppe}@>(7?yZV=V#J)G1+y2 zMB+(3h&V5V?hTr8W6?{UWK?Y%8xHwwlEg0Zj|U*7@EtGv2BOrkZNl8g86rR?6H)$fWHKv9M{7k#?FePFph7vpY zlC3Xp&OP${F-VFK*Xi-eFW`6a5a%gG98FNno@TQs?431e;A9gt9c?v^AFU;a4y=5J z3h>uSXm@W{&$tgr#^%&WG{tP%m`#}@0eKYaAk85>9O2@2#2}N$cfmw&u zs%m~6`c_6}W}=)6IVtJjSL0rIj6jTOWh{A~uI`gPAOk3|*P;wme%`a>5sR{ZP@X_Q z|1)!NZslB8G(eIuZ17nozw7eAuZC{xrM`phu_5sSHA=t@4qA%-l4(Wn+=0RB?bYIk zSy@?>VN$mUq@%{ZD1NK&GHJHrOLhJ}zXV(Yy<|-L6(UE0df1Gok>YIlFe@?rPoWVL zOP_<5ouIJ6iu}{R=xSmJp;u1{qtiu?`GPp|m)N4$eCTcoSSt09Nj}aVxK2SK4b=VV z)2FxZ+(8X#@dx&F?_Q8?{RMey&rzQHy?J&V&_4Qdg`H?o>ZH&weau3lRo@Yk0-eUx z2hjffvVl1FpuSi7J152U>yMH6?Qq-@x-~wK2Lz~`BWo>NN3VFO)|``HLi_JC0|TgD zs1USnaCvszj7-Vcc^aH)ln?yuUSGG0$KT7A!R2_fHkz%Fs_%=))owLkMcLFIxf1um zy735n1TZnSZV1@jMv5b2B|+c5vEkt0d>^wK-Eh(7pa3#jIHF}P=qzIk1j3Am3Z(Sl zft7FeH-XN8st?NoOAnKkU7)X6%dT;p7A3#taiX%orBWtF<&6g>NYx9Mv$i(}r5o@) zh;z>dc&PC2DWoi<2hq%dw4M%qSfx99t9p$C(2_-2xG823Fwx9hi>%u(rma^8vI&uz zDK<8CbZjiL1(9=TUu#%S^$Bm(&x!tp6#78Zrt-YVW4(eO?mPWeB$b>6N2C@IQciAr z&Wp^-)73nb(JIG#ND;M!&<=niG!4fwIjfHh7|MV?~T65&rRX5*lQ{mXbUZMQyuvdccLsS%615hR1l^QyUw_IS} zm0(r`V+8|l?uALRKzrG8`$a06EX)lOwLCECoB<;W38>C5wtSYMSwpp=Eg9>qJNl!Q zElhvY;sQ`j=a8CS7dUP^ZXzNV`vZ8l1R~)b+@KDAkxTIJSTqE62|R|~0R=JMiDaF; zA#fXh^`=Xl%m&dSAuSUd8=kumXcTy~qPIW}gC_TPq>vWW9yZO=oR_Xy&_D-sULYlA z(6T&^HoJh{wEg}aDSS^7*S2xd2wl{2I>_}|x|hZ8Za1He8Gfr#uHgb5St~0xiMMzL zo0C;x!~VibAX~4Dm$8BZEg!Q5T>~i)K|9VHazV42D9=~zAsaGHgeio!iM(yZ3|ym; zv2h=;sBl`b@C+tr?^pIY!&jZVJ->g?0P`TAaogM51NxZ_PL$PDHLn2bIiQZefV*O( z3B?)M*~5URS=3Jy8{=w~TYYuKTFBm3pb!i)4AO)sMOW)U3x#4Mqxd8p(G7 zW%ilzAVoLOgudHOi-I#~K2ksp`se}-voYYp{q?b^_;^a7Y*`rhR-X@84J+pbMYD>N z&sdy-v4n|F^%L~|9^@DJW-58pyy%iIDgiE^0HpviQsqZI(6Z6*254XhK&Y_4P;3Pk2UX>EqZ$T_rbx2fWVyAhQr>xK_AZ7l79J;Sm}%0Y`E~IetR_Y zT?U3CaPYu5{yUt16ULPelu{s|?#ho!x5>4|?|;_DP`kJ;H#@@=h-P_HWDFj)Bxvbi zo65Mj@FAvZTuosqgr#6Cn(hx#A4B!8TFuP^s&81=U3;@wMaZo8HskY8Z@Nt8^{!4S zs;a7hrxyW{L@ES%g+HFbbC>|e0tN;K+-ycfAX{MVgJtW#Xg2PG zadBs{>{_|(XO3+YVNwz>dBC--#!J6Gu4vZhN*h@NA3OtK>7$v)7f21TOcMy3dcLX< zJXC;@QPFkrG?kU#=oYr>bN&9HQQCk|n*!49oixIv6AjFScV}nk94rKM)Di50>Qxq( ztv^$@8}(ssCxP!G)+Z_=>Mu5I8@G_!3)msK7gMmN$DqaK7p5mgJeY-2Q(`|a1@t4H z$DEid>@6HX&NTWm5}LBA72IWKXa9^+AU{;#Xvzq9R_tII0$ip@@Uw|5I?;)LQ-)a59>Rpx?iL>r>dmN(U(-6WSffbD*8U zKj``R$ggR?4hLKn?x^{L&Ajn_?3h2=wm|v}x|JS6PlUL*Ww>+zgz{jdkNtY9j$gn+ z8a~MO#|a}+bBg)EEp9MX?c8Yjj4Txe7IG#$i?x9GdCSAQYqP5P{)1W_*7WzkB)FAx zwH7*FZY>L7e+O{uofjxL|#O9-)KOLuPm@qwXo(2gZ)X%O$3SP&x50RZ$bo1W`53}zWQxdmWK%i!a@+WJ!m zuH1Xj6Yh^1+Wb8bD>*N$oWn6RG>jKI@HwyrN31hhI1bF!J&=?zwUmY)DtiAIauFsW zA?dRyOA>O<9gaXxvh;w*28ySB8$%qI&!s0k{K&^xG&Ldsix5-6CRB|Eg{4I@Ay-&@{Kt)OY^LLGymR4_G$A+7m+pKKdvAXL=X=(KSzLQi0 z4K*GyUt(AwE(PY6cHWj>*11M8Qb>Uq3cv>-;l^zr8ICkk2P>>Std+eJ5 zMU@qyQHXKU;h@-C?vVz0!e&wc#6OL4s|1ldx9>LaKEW-ZBfRfiW--J9pQN(k3Y>7# zZ9Z(dHXQV;&)M0~RVfh=F<`|AQnVUqk73oQaxgBNj+ss$j~=Pd8rp;64nliQPL8g- z(gaBmh&LsGJ|JL{n>##;v2ozhx8ej|1swEe6w3&YM5vW~j{b+7b&^xgP@T%v13h!Y z-|C#{C9PmqUh_#O7*c^^?&+%i3cYfdBw{>Na>Y&nVmGZ@M`{{x(FOWEQMK14{UXeW zv6@Qi_`V3@m3wjkxFjh527Y@SrztEx{67yhUg%)T7j*e{it|h%T;uwU2(E?o`CD4_ z><$_y=Klu`8#F3sCS9{FQfFdiZMGAstRC5TmfybCRJAMmG->Pht z3=J~|_lQOge#t9wG3D6SDXUC|-~Tb8 z_0%BznUnaay1I6qL2&%E-xOd3hW>^ zbo!pSk{VTBZIZk&{~@+?=77>i+D{~pLU?0mrOi72i$9sIQOpv^BJ~<*cl%VmNO6W3~`{ zxmb)-k^ZViiXrQC>n#&n`7M%MdfdXoQ;sQUUN4}+TWglh9(V+p4hClc65)u$%~uW4 zEtJm0p1P3k;?B=qJYYaD&R{m+v7r=q)Wm0Gf)m0^AjoSoSxMEA*SplHAC5!AmU@1l zJ~9?t>JNhP!8dK3Z24i1{J38XuQ=OUsV0KOwK!y+-zAI_RGWABq*y1kz1o-+AVN59 zc<-=@3iZ5J^PfXV#*O!XKx(x*cbL)Ag21^YWZDbttXh zUWTvPoPKcCyAi@TuXA4hP19P!I)>0}0@S!_rxjw)uxF6jK~f9oDWqg%;0JM2g(1sq zcU)|ws=Met1`GmW^M-t1EGD$!6nSAjzF6q~RJ6WVL%X@XT`DQ67F3hxSlgA2FEA5F zV7OLe$4Ga3<8xoCerr)9zH!aYn9)vvdrbBPkLJ0zn6a^qNJ_3mFtc-~M9?&*Jg3k+ zHdplQQJjJ5qveBFYL8y%2s{+x@gO9~^w*@qCGbg8eyGWH`HUuo!M3N0wfuc_9C{_u z1MSc0N2wpVG@S)PYLiCQo8ITQL{slvAJdTjDarWp^vTTLppSO-FUpYJq+e@FD*G=e zqOOa*t5Cp`lqpK@u*NTcmF>E z!aAYE!wi+07hKO<=44Nnmxe+rno1be=G1Mj!dAGx{zxmIcN?1s-wEULwTEuSL|F4| zPLYaA+%Ag(YdWtdH*Q8ox)Oi<)%184M%zKR1mM7bfVE+fy7^S_V2d#_%%x^b1)5YEOw zRw?*Mrle;*Sh!|-ODwa=f-ap?HSC&S zSqc)tyahr7xtE=`d#N*a0*WeS?F}u@dGmMC_JC|C0NXM*HwV=Yq=40=;W7EA1gP}g zvv>b$%NG#PzA>P`S)iMe5EPt21g;o_cYx>M(TK!Dv}w}D^yiXm~ueldz;O zEorz+vQrKtY_dvwF{?~z#&aNa|Ly5g*&cE%aQ))D{kYK{#F8rG*x*weQPp6$@-5|% z{v8bZJju2zQslJi@Gi69kGg_$R;fPifyOJ)JI1V*z9iZ-K@?;RyJPS3`8+gDfJ#e= zwo4!||FWroJMCRNcrKa`LI5?;b3}`Jzb+H`d77CSd?|rgxYQOK8 z&%RZQgocMN0gY(dIs$ndL~MM(d3Kw{a@&8_9f){n@<7-n@tSZozQhXMU%epw0oF8&#>~f-$^zPkcjYooO<8Gmy!6`x zqOZmHyJ6kcr~GojVdz#kUz=AI zgBh_J?ejjNbJ3XQJ*rDGMpIQ^Mf93+j*>51WWL8dJo$8AFSyUMdRbPuo|yMlR1;@5 zzFadNDpd-l6{CskAX~5C6l=~qzS=d<&4oQ8Hvj3G`CSW#G-ypH>O^?5dtjcRALm9>+w#GuV`xQR`7W= zc8+q1M*Gsi$$V;;YZ{N?`b_Wq0p)g8*gD%wfz|%+@@OM(C5j1OlHB@9b-U3*y3nFw z?hTi1K=}`G4PAU#Ke!yqfdxw2ua#?C*o8}2HIq}{KnyR_e_tj>Y z^9NN^nE(k#W6}t=!ky8XX>^v5QPyVT#RRhJWJA(sZ~g`mU2HJgf?5v`8H zu1(2Xr9kFafXsq5b4OS>5d?Fn&i(@{BH5+gBJr!&w5K$EgeuL~)DNA_r`DW$)9I}q zCaX(K(kklEKvV*jU6E$~Eg`3CDk|^ay}J#382r-_RzltzEFOti$+9S^w6}x`R%67o zL$s8%wIT0#Z)Hw(p@23jgo+jL{RjCa`YLH$i`j+3PJ4l6zq z&<`~uj0X=J%;JxJGuS(hfxHcsw_;nr! z8Nm3!?N$}4c^FqJK;4_++dnWBeTn%kTa#LyzR^X9+Xsz7RflG2dD(H`Ie(G)0A$4s zW*Yn;#9I2t-RvrZw7oEk)$ej-D`x6j{26{~S4voJ?)j!`y>JKdYOnN4udg1Ofu`Nt z^a}C}-k1!P&}jF@jKEuDN#1tM98N-tWMrXPX=Qv*S=mWPmfAa5@82hm>u4!9?szII zi;P*FpPxr=>h(+BxYI4pNtG`ibo1t=)boS=GAADqGSOw*+%ndF{)Z@rHXGAIe@O4da+o&Maxx-U$B$uR0OBJ-K-PHjms zBwuiumEASxX}n53`6}zq9PF=Kn3jtpI7am-AeW_Kr4&z-Sj#-%N^DPwVrVhFV{s0W zuTC4|F_5lvJl-~d;$64byJA1LUx`Xvftx+?{AHeMXx*g;GE)XLy9x{}@Wdr%qzJ=M zsW2q-(#+O|yViK4XYn~3vq>NSwAXA|GVD7xdv!=ucto^z=rCAlIOM^+=V4B}gb_ho zIORWLU=xX;z=5g-@Dr#VSXGkQ13M580qEyHW<{`a$-rJo#4Ip#o2~6p&~@%4ZLR(p z{!cuC9PJHbftXX0^xs=c{X7Xry(p&wj}`K7f3YUUsJ^S%cw?CSl`uYRVcwtJGUozM+b3vgFpXI;3JFmKHDABg zmoX<#*bf~)``0tn1v1<9pbGZH%>?tB|4X-|Ov=bE>=d7R3+$|vH{K7;{E!}$m zZy-VF@pqCq(V-NYE6xvcey6FTR%a_F+x9^(yY`V4aNGM&XC+2;5VhZ9H$eMHt9T3-b zsX@kJL;fzNo_--q$~L5+RJ;~lkItf+Ig217$?(dUTXlP=sEkOiM!%#b$)lS`JdqyZ z43p|@13Vb6?;j7PLL$Bb8We_W_MS48(+ zr{o%@gb_{M$7eFd$CZU)dG4ScIAr=M}!NA281JS@(DEG?_HmCW5eY^7m!Zdo#6}|q>(jrwoBiJy z?Gg)pjD}l@vSb!Ycq}DP9xOlm`$Myt6DHR$EFu3ooMST52%2*bZ4O7kQ|;jyuBax; zVR2khAul=KtfeWUanK6XYMzTy=e8mku>_jXF1k{xlb@!#Q!GO7$g2w}7V&}Xi;lU6 z^;X6=b=}qo+IwJ(KtK-axwwgm$+;$z z#*N!WtYzCktFN>^wD{0A5vi7lHlwEH zJqWeX+;YyEi+ofcs|o@lX#U6)5o}T@e$`3_Zi7}SJTceW4}T3_-5BJ(k=%;Ql9MfV?3I1BoxVDi zL&X`~t&uIl!+Je_UnYcax<5xb%?=YK;YaLKJo7|!Iim&U7$Cv8- z!PU8Rif`&%UcIsWa@f1HmCPGA4j8z3w}gI7L_(#QqZU)r zj`!=?l7MK&P8IX-vxN9cv<1r=yRVm&w#?JBw3pO-Ny!>jSuUm}RAHEfBOR+lc}U+F zq_mUQdGRJZ) zR?JpWR8-tJ$aH+3XXq}8>VrbA{P(UXb||_B+B~+%c^!H@x*XP~uvgut`An*_nU^}f z!TGE2A{RdI7mb>~U+>N27va`zoL4>e#tyxby-hReLYpK)5FsB}w>7xCUjAY4yIX4> zZ{p)T4kR_-QQAYOAO)gn~k*C zQv3w@5$bhV5k2pvcjk{I%3+QQvpP4L=9PAC^3EJSPQW;SD@FC?OL+bVDJD+y#VVG8r8}^ zct90asm<}@bd?nmGPHc%)QZn_T6_ z&RLr{rAb1k|JBrVbv9j!R^LEEGV}F)q_eVQ=8J?qY_=3E&N}Sb>)Y#hN0nNCQavD4 zX$c?DdgR^5v0GA~z5n|bUxDUN3Rdrow9j~CWMRJ|XlOlZaJ{~wNqoiiO2K5v4i91( zLgT_E4v8(*O`paTJy{`&QCqwg|I>PZH92%M0ORxHqxd!nK=0gb*Li#RSSo745F5dC{*`Z_pc(8G7QB#R7;Ni*|6!y1;@ky!3m zqv8i>Y!$*6%xVY`*VC;Izb#Z;;n(ge@ibNU5*8I2arspq=&|+YH(gC_H?IgY-_ygj z%JoioPDdymUVF-B>7c^f^?e}KfA_7`#@;rAgw4!*&m=o8oOk}TXdzlY)si&WBIM_R zgx>=U3q6&;J=ZkVm6$k5%^mRFtzodNT^jy*XbkBLBN;Az8G-ue^Q)Tb5Un%c=6Dv; zA`Vmv0x@%Qy?7(U{{)zBkcVWcntCq=6w<&xAkYth1%P`8G6cwTke+T7{?4+AOeVpO zDR#R2Uu=bc?H<}l-ypYSJN(#N8#-bb*0RAwFQm=sPB|xH^>vW}rGREhr{=2G)=FaC zu51dWqh|GAK_@~PB$bc|A~4_^v01=~YJ1Z<-?-?YQk|H4J{JFAn)Az$1q6qdOC@-Y zNk8nEcxW$6?Q?^sOhUBZ^p?3?wFGr@gfpU*&e^ERQDraKsx zu!V{WYzD{>=9SKT%G@>hdbl-BTTETRA*>H3*MLCY3sTrf1=+IpwBPBX&6eHfye96F z_exPvd%DU#SNFZ#`<@l6ChOSvkg-?UUoOhLiS=2Q4+A@$JJaIOOS8MU|FskS(|g>E zko(H6cCa}n5;0-~2vzEt26D@K85_Xumrdf=?ENf0^!&4N$RXXgpl PoCq07`6mV9`u_h5$;nSP diff --git a/doc/img/MainWindow_spectrum_gui.xcf b/doc/img/MainWindow_spectrum_gui.xcf index 98f58ff23bbaec69e0dbdbc862d1acb3190e947f..604b476f3b237997cd1b297dfda2eb92b9935142 100644 GIT binary patch delta 2391 zcmb`}Pi)&%7y$6tj*}&;s$@lG>m+D71cXpYvN)>qZ?!X~N@?n}X|rTIEN$APU57LY zE(i&aD-BY?2N2p}LOV>bwcXq;S$f%e0I6dLacE#dQ-cuFKxB-vm3cJb+3$-~Rl8u- zlJoQTeb3KPKD|8Oxli7GOwI|!o-0v?;65|yhxGt(54~KX-kZ~otrx8_QFx)Bpr*7E6QI={9OjnPn;qDMA*7uHxI)C}=#b-tG#QKz&!3g+a)xKPjYNmP-N zeT8~-(415YdW}jx2(ZQVbSRWfMbib zbWk53%+zLCjv7)Y^`bfPFecOskpy~BB2uXHF>?Y+bYg>@ud)#-*bGM4>Lou7l?hth zI4Z1E^Oq%SuX}@pP*KdQwn0;W&&-v{GPsUK)ojT6M{yCmE6N$CNbWx@f8p#T_rE236oy>7Bj0l}(t!^AC;ZA0?CbZj zhrY$KzheXa*zg2)^0)HdzOT=b z*M5?DrK216j_VFwE#Cyc0e?WF7^#FKQwfHZx^SWdPc8L9oB*@n8SpGP2c8EP!JFWB z;5zuH?g>*F$ELCaUzEE*H`oUzz$|zMJPXc&=fOo~X7M7~bK`Tg4{Hzk1D)E2jE)=d zF0ky!@v;C8>c2_lD;!oSNuc9bklsvk-zwdDC{66RRJLh*h_uR)Xe)r1fYglTy*2;<(741p2 zkj6LCyh-jilF1n9kc+I0vzUnKvh3KbE!x#+rEPjHxjrw2xrED*#p;B^WaS2*^cgnv z**y6s)l9VLsb+GhJ8jG(J*F`(%54sDYZl_!);wW}{B^NbbskU$x-2iWwx176U=^8& zzdh3L8(w3&B~3VpfcC=Ru7Px)G$46c*g4){UtT#~yR z4gK!8A!x7CcB|3(}IGlvjFaT%a0$hU2 Na22k>O>uFy@&gValHmXV diff --git a/doc/img/MainWindow_spectrum_gui_B.png b/doc/img/MainWindow_spectrum_gui_B.png index 218b927a5057e0704753976202dcbdb9c29c0d4c..996ca59a7e2261501e4eb97fcfa306f570e7136a 100644 GIT binary patch literal 7238 zcmb7pbyQSe_dX3G2q+;SDJ9Y%AT`n@4BZUfLk|qnZGa$1ONdAbNXgLMAtgO@_s}ua z@A_Hm{k`w{{_%}j>z;epz2}_S=j^?o=ec*k*HBj^CZr+6z`!6@R+7`gz`!&Fu0sg$ zfYx!dmm9bd?jS3xp)4!Q=;q;S>j1UEz~G1rh?7)mfAu(WA&(+T=Lr|b@~3PuLsAO& zF;4PqnF0zUFTUCnk~ATfXFM^XPOYt3oj<~&Dt|us8OnHpy}Z8ueUq6rFqzz_!>8Mw z1QmelpMwVv7x4Wi{D__A@@30fW?)^fb6-E)0gtRLc!0}FJznd8&g`7v;D%?g@_Og? zNu%Su<*QdLnKqFQk6cN?t}}QW0m~fEdpQqZ9;PT$u>`KxEg#ZueCx*=icU*Ck#J8i z;PNgTZ7sHT?9lGu*ZuR%tjD+5V}7|<*Rj|OeA}i3F*95jE3sfHf5)msn*B9WfU}G& z-;}*mCT;MM)GgB_Z=5QVK6Uhy`vo##yXe-tMYwB8+iG|+xiW?+Z_F`277T^;yKcpw zL0tV9hd>Hj-xq1-j3JoyeG+N3OR$N=J_e`h5pY`LNi(0;Jl zjO#nm&{Q?(j>fPq&@ZH2TqJ$Wt#wv6RNtNva8;c*-psHN=K)>oMj-*W;906F$^mEK zcPU#R1f1@|cNtFyC> z3k*Zn!^Q$;W6cP6fY~!DDywPehdiLdz@VX5mXp@;nc2$-Fwya9>Ru3R55^uiAWBoR z4YkaR$IYeYMDR%c+M65jt{xxoJ4bwg&vBjZuh-e;x~zGt8F`jYsv%V>>#5(R5$>je zFElP6f0%!b^CTh!Gjb#Ks=J3nVDam5%kcS)Zw8gy;8Oa+`T5PQ)CRn110JY|X+Vl2 zO==RV_2U(AA*c7RhBS@>xc~1)&Kg&m^xq8*nV~f4e>DFw@}D{WW8^<`{0}2rWiON{ zeBL!1nZS4L$X#A^dh~d8>&^1sZoy7b*>#5#qL1QES#lSod#N|*O8vOPJAFD5P%4W9 z@Ii41l&AwnbqAY4pKHwdSf#)3@r{ocJU~C*phI=K=!nt@&cCo7~T8VUe{XRMzSDSH7 zdXpOScJAva&IX~yDSH|E56@z0D=0EG2-}P}eICD*ct=Q{_KFc|>=5*&kP?gDd*nTS zUR%dq_-4r05g9es^t*?`&4icJ>LAlI&d1~Gknvo^haO)ha03>6ft0_Fpa#x$LQmMw z@b(V5LXmfkX8&}i)9=M(^q7Xkbtvo=G1uL2|IO*@b90yY8t3(FJBvP#VH{IqDpD+L z1=)ZMRk?{cp|BI{2qz((_USM;0sJOOqBUQi&3isRoc8S&v&WzI>6npIIP62xgG^IF zMC$9ZM`3(7{5AQ!*Rb^Ds9jz?Lx!1aPrB%|d55T46bF&tgc|#oUp<^<6s!lacOlqW zuVQaMN#Jg)@w{By+}JI>yV^Op;OIFr_auwki{_%6_MMwHIXHc*m?y1~Fqu-}R^?Tj z`E=v!3=XOZC(x1i>`#l1&xM2N1)M{i*Zuy%XI4@gVz2SCZEDe~xw_kBGSQO|WYn=6 z*5l{{By33cy~M>4n`7x2Rv%&GM0kyT3jaM@b;NDJK{(Zw)sYqlZ;0+^@c>O!E$xry z;7DvY8}?nLw^!(zMY({|^&Bv&maU9_xhj>Ep6IIS3_7O@o-ii(5P21ZljkvYmN!We zG{=0=dEpe4tly@HG)G(Vo^}uEy*d~5f!FDi>r=VyotK$E{)|Jtb#MU{O+j@$!ZmB` zM>osziO{0iLSlJ!AR&+H%}@WhRK8gz;4@w<&3c2_&t>1?#=4S8I$fHy>t95ML0q-I z;_ZKkly-+0TmN z*Jj0Mp75eG_ax-&KHu)f!SaLZshb=!(jcnzmm~}uN?<+v*m;tc=4KL$#zjKHeOGpLHl;6^K^k;10h_o!E-1TVb3%w(j@JvTiT*Jm@;+ zsp)y~!XQXtj<{Y=dcOPXXiGcjEq+$`g(jc5MEdNqR(;SV?^mtXLF~-)kati!+k;q( zZ50B7P%vf7nMmXO_pr-}wbS#~PuO9WjRV2>nH3Lmu&+=D-ICU(K^KBPSBe=E(ITMW zNn7dQu3miMMB|{$p2*YYI>hZN<+}!8BikBtOgSrzxQS@7blD8*W{$nMw?YT|o({G0 zP8#5Q1o2g?66~JJDH!?DG|hXbo)d3AJOypoEfz&?)37ttte*TnFE=o8L@t}jluLol&Iq>s=N(qg%q3?nlgHDaKZ_8)U2sLl|T}i?~DZXW?lxx{xbo-?xub}-9 z&5p;@M^r6f$Ue-~f9;x@Ce3_OddiQe&5O@P^tJC;-Hk!WXK;<5B#U~epF%tDEs>u{ zumW~_AZ9>hXf0VxtuXIZ``cm=XlCN2KO-}en)*y|=XqKa&BdD0j)x?bdP`^s#6m@b zQNn}adN^i(Or)j$OUK+#x%`MLbbAg7VqVXm8sdGncT%|b>Aab5_nFe0!8((nW~X>|U!XkU%QLUPOG+ z>B1BNT41V)gl!=F=I7)526XPzQ49;?0u&l1&ScB^u)EoGin~_{O*-{t+h2|Mpq{3XHT2Bg!D+7u&LH||vR$>dVE8LK*GsnE zKgX*&YnE=O{~IsAPBQTeCke>pTX1VbwY)Vo4au|jE-u6RkrjC?xZmp3SJa3k`g-Q) zjc;?hA4>CA^hXuf->mK%Fw6w7KU;FDi*9ByWh4k?+`{Uv^?4cN*_kc$BFUn=AC#m!Y+tap^-rndF4yQE|*K=jXYnXHp zJ}y<=OYT8e${qM)o?$h!S0AU}4E4WI!EI8(UGJIF6NWTA=}x_`l8;zBgX&%4R8wm+ zSLnagf6{k-r)OCn&S&0&Aw)w=7_p3qaVR$^C@frB?fu*!ToRp0CW6zOh~a&bP5Z2e#xMNzf*3a$Qe!0D7#GZ?Y$q@~ zxi7I0f4D-<>lquX%E(|zNl7InC5f&1i)F-MV&j>Y|GG_zn8w1y#Kghz@&2h9*4mO2 zE46)aTUAxH%84W}ikjXNo>RG`_+WC?iU087Lz4-m z|6sCJJ{(#;_K1NYRwZ}2k782t&7Un;M|bz}xV{-?(|jV&#ZR0;|BZ%~&aPR1J`Y`8 zin%&3Pe(=_9UW3iO55`!))vM0rKPU<_6asNHgwxeo%Zz>s3;2+-C#xNw*6&2ZbvZP z{e7YX&o}KbD!_hm?CY}gM%i2~HTeinyF3@(iE+-{{y}{!eQT#-dm%c7DF3Jp=f>4IAj`hAim9e=* zh-qN1mNO{vtCpn@O?^1{qr%fB&Rp&7-7ZL@R-s&>&gk&);zNd7aUQ^#g+)bHYki5| zb8|J#%wmm7&I<18cAcsyqdK=*7m2^^?NL$MCEZ=Q#Z`%#=gVZbba%f!IB@el-Ilku zW-%(E3g(`` zh=3qD1eoP|3wNux&ggq25w+!`XN!)Ocj%kO`fF!u^Kw{8CKO56k64iq6;0{=%>Fqc zLC(wT1-p9s*Rrxt6%_(Me*EA|eLXfluBodlZ)If_wPGNqQEJs4BVVXf5pd=0=;Va_ zm2F(@5=|#gSvmb$K_OCmCaKpN%Y3OVBK+-J!Ju1zz&?h+3#Q|ZA;tVZ{C;OpN*LA^YY{t*lLh=2tut`shwe2PU0rr;$%gvMFL- zoN;k+I(mBXadE9l+!I5RK?kk)7ictsra(`^;%nweplw6$j!~op4`FoIqak|hN`No+n#KW z>=Evsh6q^qkp7JVeezPOAIN*fi;L##Ls>(#t_`i(vTtjy_Uqs5RZVhma4eo|{jnFK z$pdH2XOm&m@id1ezptqg)zZ>R5r^{-6B9R_jHU=zLUZWYu(zoM?_>jkixH{t6T1j6pnmAr=p`n zZfI!8t%V;L82CLe@7v_gG{TuS44=dn=$9@IAIx?iaDERB2#~zJy=7r%{~J?S*x2{( z-CG*XRYe5I$jX)*a5bDx7;_m`grA(82s1=_^`;jWxr)2$IpiqD+Me&v0of3jo<5ML z_C+Fzr{;X#Z)>3$RHRqpSfvI8rA;3>C6~csnNq;zTCzWI@RV3Z$h3Z-crFU-5o1K} zPFIpsQa+@k``sBu1!SI%zW#z%UCYu@^^JdCMDt*Vc(*EVqqWmDu=O~2cmnprj1TA~ z?CbZgm!o)Fe7b zmfz1S4>WCzjEo$uv;)V`iQCp|bMWve6zX_Pn!-m)%|J)T$3RGC>z6_R2bB9?dc-h* z1{=@kRqgFL5)%`v#}Gg?I@sHr11RUdx1gYB>)A(Sd5<|?85{*M{;?8*PtVBA913jvLsHV_k?vJ-O zSK8Xz?Pq&P=R%x~@p7x`s?*a`1hPkbzb5SB!e`zOB}wnM%MZY-^A!yd-d}cG-@(Rz zU|w#Zq@vUQw8k+tOY|L^iLI{896w5yo+Pi4zP4}bsLTc#Z8+0QvtH^ z@bH)_x5DpF=4I#MiNU>3siCLW1^8x`vEq!kA^?42ake}CH<|(G8DlpcX2(898KvFK z?w$jStZ!-_p;y%4OeA{D7o3;Jc7|F&X^8{+MYCu;AvyU^kv?w=T0pru@Md@m1~?Wb zM(6JC?tlDS1Ov$DzW#oo--G5|%OM8a%2aAWTfR3()@*8N9Sv`eYuuKw03HD>sqo%2 z0usfxzNe$3qYSpCCG0ZW)Af<|@bHi<&dUod%*q<^?j6Cr|FN3!ygjh!C!oMtR>|p+ z97RFr86gZHLf@<<>O+xTF?9VuP3w1W7yhDv_ijr2%NJk?&4o%klB=`5r^3QXR1hD` zT&>uY~tf0SOohE?>4shgOj?=2}>SY(%M&`?u5AlaFj+X`I?=U>|+ z>uTm5)1N1*;5~SvWN1h&FE3whlI47EU7Ata)RY++i5D6g3f)Q?9@YS?;ivhC$b<$4 zHaTx5^jb4>aJ&OL0BV75yLSdjPeCCsD~k<4ki^w)B@QmGrjCxBy?p_5B0AL0* z&?Zdmmk~G6MNUBhz~;FLy*d~eQKGUtl7r9B&;L40YR>yUH4RN4(zW@w+3gXPqhmS< zIYedVZ_&>W0V(h?G6qYB;l*WU&fD@Hb}jM5kkZmd=@nJgmsC{53)&6NBGg7kM&uL~ zD}(L=0n)gJ_mH2*d;QqN%C5G)jd}golk676M?AfPes? zbch(F(%}mM$*ihLQyvwaot>{!_%ItGLqK?^h`N8<3v$i(2V_Vi1nlC%Q)xG3+n4Yx zAu+LvbRW4wER-Q#==r&(@**B+czHoqz!-BljW;td~;oBk~r#liV(-QOI#RnulSqb zLP7}(H~Vme*WL97X!@7Kqc0+^>wx~PI_2DJ{j2Q1tKCMh@Oak`u#=Zcq>0^w9yX^fHOdfWv1pg*Vm#!w-RsOyh-_O`#1C~ESQpc z&6?KIcTcx|B|g_bdJDP^0hGdFKIo?RjE|d*txH&4uXOTrW~REEo0~X7xvs^b*sOVe zA8pg0RNKe}u(z|+4S>+{xmt6hqy>QH6%Z7p1_d^#6#(LEs=_9Uo1j)L)tTN2J?l9{ z_VA&MsVQAO9A%3{I0FK;-1pSc_IH}Rn;ReC)GDXRd6>P9V!x}q1_#1ru5Y5mcyE7l zUf5M8TVps!kwk}+0$|~NA6+{wUER)&tf0YS!^+2?KnaF`6EeVxl0jpA{cb>!05~CW zI;IVf+0o@jmbe75jUO|z#8?Cfp8HfhEC8tIswU4YTWyWz+E*D>R8}g3!TIIoWF>l6 zHxH-p8#AIK!A3&hSOYCW$*wvc_ML&EtG!QOzNosm{G4;v|7o&x*v-(qCP4F10a$M; zp9L01YHF$o!R{XDqOZ4?iJiTBAF;a&1!gui3cQ^1=ofRMr!s;-0*w#1H#xqPHlaU6 zM-u_-p^VD?4b!l)dJ4Qz0dFv$;^HheezzNE)iVOTkd{W1#AVplm-w7o#N~iSr55#`Yz(5C7Sp259Ng+o%XJmMIxFwR9Rtbp4Az4E1gb5n%=WgyzED+oItrHCtwwSU#9< z?;ZemHN)249D`rd($bmB_SU%PUXEwWEGYtVzV5+=05kKq_Cs=VazNf!sj|gx#WU}q zPzx5_qT4tTZKdOg9}1rNmjXWa1uK-lH<$zpjbBSiP$$#)U_7 z%FE@$j`d!%J(90F^USdI#Tdu1NpsiTzUMAC890Xb7OEMAIy-99<>&5 z2l%Y$bGPU@^Rh?W$B*TKzgtqfJy}32&~71dY5qK~#b*=~CI)sFo4{KVKHdD}&=85L zajEO`1p4yAoV5+cL^V9@$ z(b2uu?(Wm3lk;=MoDq`%{+Hr=0HoJ6qFjx#4K6B83; zI(SFtjNM6(^QN(lEn+kD{LKA>*EClnZJZ@BP!L@hkzH1hF`YmbCP1zqGVVwwVHCA7v zzeyB0Z6AG{m<#lP7c=}EmOX?O82(}XB_Gm|%<<1BntxRq{`W~^q>G_Q z3nf%(hAt#P;B3!1-|zl&@1Hl%v$OZCy`Qz#teJUd=1tJoRi~roqy~XNbebAZ4M89h zQ{Xv*@+$D}yfGjS3`9Grs_JX1s`7h!dpJ0`+Jiv1-i5wX(CF4@jlC>({>O~_H~(@< zfxIaro7d0VtOZZLu$e)nnl@>&@81xWNRD*r?Ci6d=v)6h87rYIuv2;{LqM_&{{#aQW*3FlD^{)e#}-q0H3JtRXAgd0V%3 zmRmDm^Z85$hwNmeg8O39+BpGH0YT$34|iGAO*Kst&IDm-&?=lKznjL)nf_0V%W33)@P&JGi-7)Pr&&5%i_O+vMr5D}Mm9 zT(!|se+t}zA3?PR2HdDTHB5a#APJ$r7fC>ovL7%=;j5|h55*cO9VzF-te1)uz|eKy ze_r^idbqjSyZeGvz3r`i?d|yeoqS*Mt841$gCpoUKp=K4&8I5Q12DMxz>uG75q(0U|Qwb?hMSwTfR zdxK5nM{X4X?xiu$>y!rk?-~0Qn^Logwi^6)%*^*v?cVR6{mIU_hgy|I9|q@P{qr87 zhCtJdOiUS4&Mfiqx9t9T%332R_$h^r$)NxBf6Gq=?)*oNe`50VUzsVUEdF0vklK{# zKl0xR{>uMO@b841H+V5u;Hx}2+mnh@BL&9QIRE)(IxP6?s2^9E!iMNqCTkLbH?;4) z+EDyxRN^hnp_}KmaQca&Bis|I_jcie+SI9GE_HA0`Fi>8zBrt{Mg-mQGcLEGUA)pi z0zQ|7K|tIu-JkdX>vIkqOI43sjVX72gmfsgYV+z_&>|SR`p}&NJnlwmRZ=hRQ84$a zp!D}P$&1!f(&$Q+qbK;FQOBGF+W`FTqJ1n&i}eg%!l=$^#cDjCNAgbP73^$Xi>O`j zr+g)vs0#UIMn>vxL}y2J)iN($ctX77pi()4{6r>9a+iI)9T`)Y(@8QB)0~mATa6%MbJg);*R>#gA>XbjQqoNa?vwuwDrSu5|+Ns zbH1+OsHJuPmcaf6)L_`-?L>d;gL4VU_xVk!3or)BT4Qt4^|^Q#j-j_u^6Yy=`FmT& zm~b+2JOu=tim6=dK5Ia5vzG#04t>Ks#n}Z3Iby=2M}PKnpxKv=e zx956>I^lt?9A^?qS=YKsX3X2a$TCFM!1Zf6B-|s0D?2l9;S4zk2JIu>?GgD@0-0Qc zdT_5Vcg8(o%WE)>w63!ps|!O}x3`vM(R&w>Zgw6bbl@z-R<&QnA^`(92Hm43r2wKyh%oODA7vz}8P ziwM0A2%kzdc{>O7<^D%M$t1hr=7@$4R9VadafuJzRm?G0!|8J|f6;7DsohMzqZN&} zt~x!Da<9lS{K^Tq>aO|^})t2EIBCBk#z()PYPo*y? zl|z`FZ(9$0SWhXqL(y zOm!5c(A&Yly{ZePYpvED#yumskoqOu=<{;iUXEiiYXYNIQCv&&ttiiej>||u1j}0j zh3dZ`by8m};8-M}oVc3Cq&+%{%~OJ%&ZZ_Y2uh`SI%(cmMRjD-{r9+pFql!p*Foj_ zS<88gF~hUcL{n7v1#{B>V#t_Gw#0_^(&%x^nu1R#{6J3JSqdy+>9nC$|GZi+jVmH1)_vk~t#5~r7 zA7giF4|@qk(oP@G(v^vx+}DJmXDPT1zpXdzN=KJ4>vYxae=wzj^I@0V69vPt)&wL73{9gv7Z@!#5q04w{hhFU( zkp2O&JPLQo_jRj&u`yAaVWjZH%j<4i@QEMZF{?6;Nm8=1V&BnTWqY^l_xgGt=+fe0 zkWJ?3>Z~Z#*@X~Hpa)SrXzZNgN*(ytlehyrRe$^#B#RGP*$9kND-`MJ>Cq*&rk^$= z1~zKYE?(4vm$a*Sz6)`&>i*L)!V(NKsg71#sy`su^eG6;K5=;Ru%h;r7FJW*9=$iPH-iuy-^c-@Zf=jlMrG+kxEhrx^T*i z9SeO@FYRyQ=zrCp(#`+U#>;^a+?7#Q&i3d2HQIoHfDwcq-|5M&-isI4E-o(cc>Lnh zl8S`|FCXmuE))tapZ1fWiwV!U4 zI`|o#h@;A5@S7G2JAWp6u-N?R=@&cA+Y+aLw&MouNIRU7h7d^NgmcgAY>WBfWL{q0 zwIsEYl9CES?ZU#si}FdCq+E#ov~MQCgHew5`-Q#%HG-k@be-Q8f~KAMB85l)!#U6_ z|5+`GbAr(jWEn!&Z83HlBodi4Y3tJM2bXc zDmoB&Hd&5}l)KZx9Y9dTT3wSTFDVUj?>7Ofgx%#c@z#wvRa$+y4!ZR#_5+cYbWUk-+4p+$d^y!mH+WuBJr=0f< znVfP1ePn}Lp<2>%1O+d@nAn@KF~j%o-%nRrlYw^IPIxUWERqI~HVWT*AOAK*q@kKP zAD&7F{raAzoqeAwsHvggVzRyYI3waccMxZ;R?5I#f#*Jyw9)<%OakgNWU84PZx)%IXDQ5=;n5~%1Fa5 z&Sl_tM}8eN9JmMy3&%!BU&#wtrgC<64%qsNh=2Qb{r60*cD@q4Cy~R**tqBYO|5Iv z4zv^uyuPyrl>>Hy5)#RpslwH;%dp7`b1iG@f^p?OH2UpVBZN)$i|INi7Tdn$A`fhP z$iaX}QZapNTU!>OOkiN3E2b{tosjxH=+^U^ni>p@_fRh{E=A+Z?I>fPB|PHz1f@WE zhTUeyjTSP5=w#iC;Zg7#Y4P_E*3Ip2hHlHdHCy5LmgaoNb;`_;B?C18umPs*1~zEM zV;D!zvpiCuDly-CH7X+Q4!8z}B1Uf*A#~rt;W~D9H?W~6Jir@Z;q7dRS5QKZveNjM zHbDHVHK*Uj`FY6s`S~@#qG!jr!qz#Z<(VcQkwW#izd9nwBxW4Eyu7~k$hD8EnVE4q zIXSuU49aX~goTBD>+3TDgZqFZA1jt@aykBUr`|y!zxz5%nxy{z!4Ln#iA?B5KIWM#ZmYLSZVlbFu7~ODoPlb8QL;szZ zE!*>}6Up8j?CdozQx&malkxa~C#tHNdU}0RQye+Ny?`?X91hExHhJGnNJ!9?yhIk$ zY*|iBOnmt8!K!v9K0cmbMkal}#h-cIJM9gJOfxI^i|lj*jD9h)Twe+2L?lfDKofL=abw+I zqzMQLGJO90>E#8H-~L|x zrT-B_!DZFZE=6oN-tvi+Wx(bS-QjFr1@n}Yl*g}L-KuOoc-GxIx;l_5=e-)QRZLT? zoz>MEcnIq6?_Zp8%y)Ys@h^cOtBl{cWi`4x)&dysrM!$jE4>Em(GRbX3f& zj?cD&%s%nV)OepOXfY(5no z9ds)#a*7)BtNmqVLq3oqW9himR8&X+L$>VTX3jN^57v#rU|<?-;v8E;!ARO(?b5-#rQqt0XE-sGU+S-bRn)|m*nai`4?eb4IQ;%J6Xgf6GGNg7K z85OR_3U^i0QJaFv%Y~Sjm}sv_g%b|fW#>RQZ`_CmTZX2|Vnb42zotfH*aLf@^LYc# z6WZ9`9*SoY3cw+!vUzS=gf?rrJXKd;+1=gsG<9+k7167|WbWzj*ANsEnyGbUFeyy~ zlph-#yQbhL1_YkK{rexjfB!xNAMWm6@{KPYgxQ~+;qg^gouug!<`_>;rp3k1C^6HT zXa*&GIFJGVA`u4ZqOdyTjLuWk-CYL~e{@WY*E!znbz-7<(9&aTYk`=Um~TBj?>>BZ z3KRo>lR%Q}!XjZk-y<`1cwoS~_s#XywKcD!4FiWCxifn$I0;Ka5m~2tLuWSjW!UBP zRZ6ZaQ7cw>))-gyw{|Sd%+t+KmqMYBKrt8cX9E0&PdQHj&+9TwNur(QMn*a*nU5e_In@yt%U${e;}iOE2=m5JU0 zGW-mXC_~SV*RmY*mNpUjzwrAjw7icp6?Pg6mn111(@@CufrYR$9Dq)HamwcfOKpIk zL_)VGtHX#vdBHnPu?9sei8Asn$_P*5<;OQfTs>a@FOJ|(JOR8(|BL?kscbDHrvdtwY7m&|?c z(IWU=2&`f=ia|-k(^JaW*!cH!b(Btyi~)euTN9<7oQ9+rncztpE= zCkH=HH+l(i$-4XEeZ<9mM1X7&A5W<*%mNsc9u0;HC-!tX=7;un#n5PKYp)Vdu!!Q~ z;(>J&W8=Ta@kmjz>0}{z|L}077Omv-!|j%TSwy3$=OJt3rDWAMnN*JTIg#-Jdl}L* zzz0n?Q_IDFP@-=36U%#7mQS#_$>GNK@6EX5>Gn{C*#-~)#@_%)&iSAfr_6ibzh{1* znwoXj_9_SfKQKAa>aQ9Z8fs{2dNr0^e5V7aTQWf0TZf-Kc~Ujw%F4!uEJf8HwSXng z4u&K(pFQicyJuZHlMSaYDKGEo?v7eswgIXn?w5f9aC^lI(a%J_Hz*Ef-a4S|ywx5W;tbr=5EN-b$x>?whm7^iVPqFfp}-3)fOhaT@(yy%L9)Cl;XBmyFsGNIy_qq|u7#jc zf0KlSbScW!``j0`AnyRG)zYrY%0;O^;NWuS5*b~D_$eY;=W{}FZdr0K?+b$A2v z{DE;3eFnU=?B=KX^pO$$hFvt!x#gDnKALhunlLjmZvB)@1v-*D?X6qN=-F2r$zH3c zDM`FmuN~vW5oP z`gjL+&NpyFM16X`SF-wJ;l*zWEOa6REoUD)g)sXym=d3#lWGtD41F$Y-+3)rd5S(v zb{DYgF2w0cd#3Mg?6B03bwMtHP8`U!E9Znm-T8r#kPxYy;mk*oITF&>sp#qbhIkqW zR~v1)l9b#HM50Fbxh>-}653_wM%>g?*A;V`7`}9_9{nGMB&Ta*g5k%!Bi3&dy-HKu zHWO+pnAr?EY?Vtc!IfH)j@3+TqervJ=m;@Vd+WDwjKoKsf>|8&+p zh?Q^2m&HzPa_LkCn=~(uXII*)KQfpsSFhnIx72^gN*g|HMBy;Rb%LU7~+2jfcEtq zviagR+KuL?OiX`CfWIv0zbxlp67ye{^DisiwA(11Axh7CltDM;u5VL@?osYLNog1vrh@W2-YK4N8^wc8o~;!>XMf0+ zicc2Svj*`;g-);>bKT_B2D!^8HZ7+v2hqf-cb+NA5bbHEke*>B4Wp7$816pmK;sDFbrwO*NORhlno&VhBzQ_pnj;ySx+%!>5Ip&Zr-e9lv3*#|6tF` z(vDI!^$p}S@;z`H1yFRE)S@dwI*^UX4$2hN_2}0tI{Nhkw4Z*I@{tkB)Z@tSAfHE0 zG0Y|+riy5IOzJ+&wUo{;U~SIULQK9B=+m`L1aOFiQMCV#Z~wZ=lY}&ncRd#8!L8W z0*ZG@V{$NEO7<|5kX3lDcsWokzGSUuYoI`Xz_DK=U)Jcax$P{s>65ij>ZWD+lbU9X zZc%?z#Tkytb!#|Bi^7c6VvP3N< z66Mx3B`99WpF`Y0HkFVH7e}7Olv8 zjpr(Uv6iih59M`L+<3Rhsp6`NVcyvm$Tqp0Taow{Imz~7%micAGWAqHg3K1ZRe5&D zXH<2dy9V90?^Ap2N63F5?}?*T<>GBaVYGH@J6t0iv0GHG00HP{nzFDTmvO z2dSWmjt^Rq7Y13yRp7I_W%cjO}SU&sW8 zJIGT*a1(?(H#$>wmggGV)|SXbf`BYY&IF4C}jAy&kW=D?YqMAbQIF z<-Ii@)U>7S2MZVuYdi0>xPsqLoC-Qyw4L$8#P}57aXS#4Uc4?{U%U_u@E!4|WV5E@ zR&!wH+F+N|HF#|%*sMXvA9YD?73rb>y~I#pYIkn|r=?Ok#? zPAzoR$^GlP7p5F8)!z7k>{PdY*&J9L^0mT)T75%{{$_P6x-_k~92e#tKA}#k^Eu|v z*J#kwuL&t;GdtVe!1*%kPQz5p%CLbZ1Ak ze=0HMuWruTHGzt&Y zW3qWnHjTmq^_XlPlTBmE1NHb{^C+mal9&-(<~qJ7Zr+h)=)etd1W$k?PlDMxTlR<(Xb8nYIF2@|7Es(A_MlcZm^$#h8xvH z6YUqVAuEv{WhoP%Qp*G$OeelT{h!FYNIbAcsLDie+l%O^A%X|l2yU4Xm<_oi_)ky- WrY`Gv(2eBbQX&QDu!`RrI`nV;E&^-- delta 1855 zcmXxldq`7J90%}w&(?LgP07c`meaYcoH?y#YRgyC9u`CorG$zy=Oid9sDwyYkrjon z`$unT6h%Z2yFmm=+Fz1H1z|4=i;5zM-bGY&?)iQ1VE1#szjM#HzjN+oLto@a_vG%d zoZMIKklUY1;-l;*ZCA;$UebA<%m|Xco8+WQGSEU!9U)8W$+81vbsE|Dn>3D*H*}_6 zFSIAi{M_U%)+>yE)p%W(BK!GSu^MMHwe$9TnVEj8eT8mjc5qV1@G*0N+7ohU*~xQE zEa70U$L&rS;AQ@?b;6_YtXkFTXt%7UXxRv3up6Et6RY4NxEf+!VhkDcYzrydR>5Yt z0d5sqC0iQ`9a?#6i^7ubh?bJx8Z9OL)1JA;n^?+ty`7Y0bh&d6?13jlJ1IXYrY1lq zoJ6J}r(-`*yg>K$eKuhxX_R*2U84l4U6Lh-;$Qr-yVytnWNIaz_2HyQKtUsFB*8yPS|ylR0A z@6v}vOel4S@QelNL-qSewxZasMT4Ts}tmHPSZc(ijBafOA}ihjUUxZ^$vFp-mC@J zsl4<(+3;0+QQwip*8bHOqY0Z58Klw3UHC*SMiW-M39H@o8N)Ih3$vl|bF+{a!!>Xd z+zET&5g3Q`k71P}eJI@E`|H#eZyl5inomoT+`#MlkH|FM{D_Qd@D>~veMGk*Zinq~ tH{1*Hj}z@eR^cIthcbE+ncs^3iJpUW-%|7fGCj;zDS8=&K6SLU_b+&8xO8`lh=8OZ-2#FlA|=uSA|Nf@jS8rUC`gGYNQp{!i?p;fhzLlx z)Z543n^|vW-uth4Yt0%1?md@#?m6Gs`?Eiv&n8AkTb&fcgh3F5^ooY6E`nggV=Q|@ zd^qAa;r0xkgx^z9(Yd0c!r|iPYgA7}R0ueZOs&ITsY7&m*j zxstC1taVIy1^4C%cVS|2lATf(t(7}}8MLe#hTp@dYz*!cxTF0{cb(OIk8u51u>14T z@(D|g{iKzmB6pfi{8>4>!MyOM1H0#O@~drG z*XKq8o*%zA}$;)i>X5ayDPzKL5e>lSU0Q^IN~93oN;dqt9wkWM)K) z@_nRyZ+fmpIk}r!{)BT_=&2T`A>(5f;vD6$KYtrer@fpD8h?f-(Of}Hh2pkEusznW zyDI1W*=4l1a0%sc41LGU?;~RAZ->W>{}BEj!xtao+q3YU_Zr8tvb&g>!^Ykl%ATsG)PTdXcY}58Q%~I-=iAoCU|R64 zwA5AMJN!9NsS1K`L@pY)+z~|Z4Ei6-J6pjM4ib1=xptXgo{$iSmi4@&7e5?g^tf!~ zq2hf1zKxRyqT*&_>0x8d;dRf$jzj&*H66ncGI|8zK(44N>3RS7GvVb;Gv6q&?&t6+ z#aiXtGd1jRCS~$a-fPntIUJ!F2_2ocV@l*192|6dG*TH{91q*v_mO*i(b1|;v=L4Z zT|%P8lxwAH-FxbjsR?ImoW31z{m>GX>Df-LSa@~UmK(vPproWsRQz^XQB_ry1Os21 zGyZoU{_nrz-v|Bw{Bi%e%Kw+wN}5_-+^ON>B0S7PNjb=T@7_HU%ud(m9{G+73DMEx zOFasw&GQ{e%w0zpKV)zaVhfs-*B3m8S9}HRuQKWDd>mVGkz^UyjERm`3PJzooHs6Y zWHc_Zj|d|mpG~+fKZBj=`@WbpD%nwoSJ;^2XzCg}HaEh(zKOimoh1DJvVGp|F^d!x z4gp!XL0$w0Vej%zdEl{hsq<9a{=RqF)#2yoZwfn(2wPiQPe;(3Vn!b=lnP##Y%~WOWz}csEYcW0rM2FO!zXcF z*KNm~mKs^^5GpAt@l_9EoaSRk_BZA>ro+e|D%j=?KK#{{lI64YtK4M)fski-SIQ;zwaJ=|Ve=p?#CQ8QXJmNtxU2L+#ix!BALcl%bnKMHF=`2T zo=W&cEINMQINM{wCO8$HKVoBZJRQk=HWAuW;y6!bKY*EQk;xcI{eF*izJMqxO|D2bJx?F>rQrretSr7{5c3AV5|RV z*+jv_gh}a&+*;_Ft6HmVDsdQY-q7TxB4L&wX^JFOQB}odAtP{_td4oGJY+fB9D|+~ zQOp~(S{^Duf`#gisH!|ysNT=RJgaJIVpePR%#iwUBI=J$6Vm&Khj)exP4_oDgs>2? zyWP#bnJQ0TyxfwP&t$U<5;{B(%t+&Wr0T&lnF`cvmTRjZ+;rQ+`| z>$|fQA+uIZZDwY+zo2kRguIlv&lE|{PF6J$*Z=-{Q%?`Mh=|DV@d`1spyLN7b%Csi z@Pj42@u1UVB>3pa-`d6|^YSA)yS_JgRMgaz6ck9T8V<}~csMSi=;TzW<+3tSb%_Rp zCn_q+@l?aazL$k8YNY7%?JN3QHNHEI6JArKd4m{oa&p;?X6oO=MeL1@jTWCrDHs@{ zuO#qr%FDCS3z-RcslR;vnj}~6VNMROs+wA(RdI&j-YR+zPELZ-o_~Ieva-r}hAU^* zw>+Y^gtybuM#u;J%?LQ$eJSfBdX*=k!&@_X;f;SlK(SpPmtBc*b6eZoO4(FopI!dC z@A><(^78WDTV0o0?sc`dE9vM^z)VapFOS)+#yewb|1RZGRVkbvZ6&fre(qcenu@zm z!=$0}Q6^ZTCOtxx&wcUSmkwU;KT?Dd%4A9u)084CD?i9R7Z<)=7i#Jcv&gyF{;jV>Ky7qsiICCU*^cCDL!;}nD;9|i}Xv)9! zS=jBThLHdrQop-0)>jvFYSmxtI4b&ceqJf7PqDz@jI1mRF`YngdOG`?tSr|*BgJkD zqX(&KSq;z5YEe*8g?_%hRKh9CbAMWKHzx)2C(& zs}kc|TO)*eHms9u(wfZp4m3-}u=X|{tKxG6_E33Lu3bn9dn~-z=*A%V!p_qo3q@8J3~7XeNXqt00A= z^)T;Icp5{%arasj2VqP1ht&Sfy{VwN<``tD%C^udQ3#klM!@!0@;X{m$yESRi?Q^mMltzW#|c~ z%6g|*PyN(X-H5O_)3xHo3l3>j50>!}jjLCiVP;|ev5*4ek`MpJGfBu?O=T-b6eVVH%!c1o6vz2|@pZD*haJP$8|=S^KE5P((f9oM z^CM->>_~lE8^I%bq1Kwc$wz2}fnauUaPZN%0KpV}e*g9@&56;^)58k5o_f2B4}+X1 zt8bCeTn=-9pzY@7*6hT{$T++HkdK#_g1o*gXzle2xImi2Zl8Klrr24pZW*tK+Ewlh zi;Ig^mlona*V>0kUQ;80@hdi?i+<9In`|GR6{UX3sUbmZea2}E#hcEg3N zW`PGb8r)QWCaUP`jy8#9<>ZRJH>?m?_+~Jc_tH|Q7)kJR2JHb;Wxy)28jE|=QI)@= zJL%09xNrHG`4ZVgJqcu62sDq-4tvK@ZaOpCQ{bTP;?zSxLJ~U=#U2=q zq72x_5Zl5goV+UDt;te9co-9-1W-U%S9iTvg*;|L$h7h&l_oVcwJ8}JtF#A2Pjb&| zsWW7Uqj%F=UWwgp)*{Ek!qU*xq*948E{Ig+I$yl>>8;bNSFZ>$80C%^7g|1VLUbHB za63LZT-$(rtpZyg(k*{+I_%>|9eZKq@`}2;RQRM!dcRycJ~U3$1u1O%%C*L^K7s(7 zm63t??fz*P{d|}Ii`h4(_@pHIpKekwgv8`M-HLv;52NCOjrLmWERMWs^=B6SN6|8eB&nS) zPl}9uxkt?wuzjO?^BX^dthcb6yE~-zkgs1g@{LO{LB~7v&z?P7Ukf^AzAn3gi%-t> zSm9(J2Nn_`IeFVBn~smJb803PZg@y<{w=XTlQqmzQc`GYFg5<2^b-PUbX=TTUEuM* zGgw+$_S#*1P-`M4Cf1@w>f_^ccGvyn$Y*55^Q*O}fJr%ptE(%6jHhEs>tVOd8V3gl z0wKReojo9&-pm^-ii{QAqgs$}0{EB$oS4n zHi#6x74CN--SH-BzJ2%3YN|E>g=dcn_Kpwt&Oma#u5f&@(^ia(O*Xo0D)9CnX9&jS z{s*FJ@oce>YvDdnObXb_>WnmERP8MyXkPnRoy`aL{*Nz8-rkZByTE#P;w7 zf8OoOL0V@MA4KMlMXj+I08p9qo+Cv=FF+3%UhjoeujbFY-&=1Dc}TL5Rqy_A*#Em+ zLN%sb=NSd z$>l}G@!GSc{?IVj2r4U5nhVK`I#v8U&!kIi%lEZ=`%GfjAV3o6KJx4i3#3FZoTXVwG>B@4qyg zO#o-%)6WX>v)(M=FsYeo5}yeEaxYWeyZ3@1A$&^253ij_a@(Sfxnw6rd2ceb9EAER zY|uWUX~s^+Idyw`J6x43L^t;*-Bt9Z5=JUnS=nB|%sF}=@Wm$7vM2%1g^xPg8o{-~ z`DR@t(N|%&Slil4yj&czyZE~|69?VNDP8zV6c)usOwC{T6E$IpeVunZ`5PV0K(llS zhCNYpFhdy|0j$9(EKC8>y(?K9tq%t5AB4U13~LB}`<5Fbb0i+un|CZU;d3RI`ktAY zxN5^d0>1eA^{X94|1yb$Vd1(Iy{hW9S~bq|q~)*m+?yQeu~c#0vuU#p@|dq`Ai?9~ zCa{A54^d_gu6MB?p0`y|iVgjgK#1ob)X z@Im_vpX&h1Ocz$Ie)zlyd86UQh1(`hTH6~N4N#4tb!SrG6l|}n>GCz5GY5bFzA>*0 zJfcO{uHbE$|3O1u9eK{DJIx~K)(5$qsz z(ZsT(d*AGk_F8=`vs#Yx*|sZm(Ef5fo1DwAsn^VMQC%wprf|9o#?ydtKP22MHZG71 z_Hwrd3v%BJ4C`mI-m*~S2@_9JAPxX?TolM?ecI@TZQ{VbKuhED?$i9P1}q8Xrblo>`WLM{PU;v zYv~6V7-+PXL}=osekC^<}Bg0klFe&Z*Bk=F2@-n1UN+xPO%1=a*M$G*ya%ok=Dnrw1^L z3V_~>)kJ@PziFk+>HQMp4M;36B=a4H3oGWSRH1Oz@CNP%g!` zT}-ZNrH-Tj3N*mGZSm}FhUNhgjAFLafT|^3XK_&gi2_qz+{jJ#ztdV7IDO-qw%3(Y9xg6>VWmt{+>d+a z;xe-K`$|y_k+2D{5DH3@{oJDGnl@pwcIRm@kYV=^4rabSJwxjBx5&JXEMtA~#aUNE zJi*hUMem5m(c>M%!%0e+@2>cYKgP2tF=qbxP>d-Sg0{-5_&BE=J+pR1_jGN_RK!A2 za!*(Frvw2Ri$$#RO&f^hKSPG>OC&~uZ&FI+bQTDa51&?Gh( zgB-osPiiyUw6wvc^Sg%Li<$gFaszi3sP>s0&`iTr>RNYBVpXUvyIT8POEA`qqyBY> z(ik(}|7+5G!^FM7l~Z|ttkjj0qM|S7M%Ml_5qSUK`-)|R&to|Hvaw9j0+(lxn6@|L4EFWg=ET0Lnj}v_|wcu&fc7#lIi(TEvqhz3R=z$ zU5+Xz22A#^SRLD*bQB^GUI-Qa`Lq*mT)6cFHn8ePEh~raC#;LKP-v zEuXNFst)1DbXasLIQPS9I1{j?!$^ZnzcuW5+$r98t~WU`x3~Kowi$=C@=Zs7dS^Ng zxgLX}1$IRFNH)XZn~T(-&My~!(ZIW2xq8N#i_X87>sC9c0iMXc*Wu03;r(DlhX@EZ z36F*-2rXj$eiZwjYkorRMII2Wj}!gLh_WU5pOuw}WJcOdUvHU5)$mI@xEXsw^J= zwsZL0=vRJ|dJ+r?X1wpSY=;={Me7?_2HxZAirS3!fLxOY@_enJ)%6n(_mI-n36-7q{1onSkO8NKQU+fo$CvNU?6r7CJc< z#u3RZYT-k~LUE4qg$2nCjwN&T6(srN4;b-yZWmlrxfUDbeU&%TlU#X>g55jsXL+K7 z@}CM4#}TiZ#{RX{gSFu+kKV7|i!kV_u_%#oIe$6+fnU+gnyP8Ve0p6OX)C#t>7T>T zL=`Vfm%L$xtnytip{BAw%1v_eefX}$O3Bl6-d_Fa__%^pn0LTLBd1-9yw)Dipqc_F zmuBB7i0h)gRO>ix9EAd{*}-4E3Uqq0QcHBcW$(|edHjx*2zMA{7*oLZ`m)tV%pc!m z@bg~mj_b)<+;^+R@IuLiCy#^zD=_2{G+Ar*A+$ox7Jrwhqpx@8_3jU!_>#tP$+UQ+ zRv0P7x*k_$$qpK5=Nx2AcemY7Dy#Y8G{Kacn+r6L$pH!G0X@x+Nbe?#(mC7G;zpSz z^;NQ{x_BzFI>{I@qKNpTL0Y4Yqni7@d8UZANqgZS&gBPf3Tst$k5))pNu%orX70P* zjY3!+_v8<6ow`g3|Bf}NF5SJ@In-#d^S)?#eg3i8zWSbpNqMHw=jyG~sg>*D6P(X0 zvg{u(PqEH-Yy$5$3kbetW3I47$~<6)R>E;4cx1$AwfURmfipKPX8u{bHBjzY$TU>c z5lsz`j4yAjJiYA63;C)A1yu6n^7|GMp~_d%gXD*Z;&+IJKBtdG^%W)1UHTj=nUX_Y zb?h%niu5WN-*!6q7HIE#boSbHO#@4v&ApABy)E{Pjo=2ysFq(8Rr7Q-lj=^zM6}64 z$hyu~ih-+m3f!2iu5drot*fgMNz&s|>iA{PQu}g6m#3^g z(y<2bsgjxCghQpst!r_06-5Nk-Od~1`B-j#9HPMGIEk$7++2ki619>p`k&sR z5kF~GHVh*Vi4#$3!^70Jn;oomThk>0feO6Vx+8zE$zFHVzn3Xgbp9f-w6j*YIY>!I z7YXH{`qAx79mTA^>6mkA!3`D`I=tB!4qi#z&!-hg&`~XYO5i?Og_M-tpfuI>xb*1h zCp!Me^WSu%ZkgMMrf%^hv^Ve6_-xs-5i-&&?S5DBnVJezn_tcjHsQIf9YQ z;`ZN|xZ} z_cO0(%+lPx5b8ae5Zw}(Em<4$damg+6EbK^-`lAb^AVwi2?Ok#?@3UtU*eaM)4m}z z>49ZWE+rlAo8_)W`f00R-Ym;&?+7P&P>_Rj0;xi`OXz#$hpxxBEf)6$y&p_4S}$L) zd2zbdK$GP9M>Z{TnB6Jf&kuK|FfK&WgEMckJLo|h%;db!!F-ZsN#)S5{l9Q`tHsI+hNnSQiyIoDF#bG1j&)>mz`$>R)YPk{Tg08UG~Od|qx z#17O9G<zCQPf@V%hq;Vx$h}0HVOEds*O_w0yTLsVM&k%W3AR!uc0g^4n9e}Ls1QWRcd^s=Mdp@ zJ^l4U)raC>9JWW0m{Z``7M% zcRe-;*`IiR_=DqoQ*gTW+lLc{;{%V5>5uC`Fr+oI{44_#4I-3_;9}6;%B3w`4ypd> zO_iz|e|=Bf2O=lOcl$S5U&fUy@G#Ij{Tw{l=a)Wpdxg8VL(*C}m-~@kig2P+Vp{D5 zrRnVhgL_Ae(qc&c*i8f5gUH&G3H@4GJUqOw?d|79xUm$0#d)Wl`Vcm26ajHk!s#<+ z_T)~r5l4f40(>r!4d3jjMW?Yc=QcouB2MFygrW66!ZFjP(VRE z25w$6cx%mQQfaf?C1~@ItjV_QjL1Z=M1z^&@&1Q-*qO8T#kXb+LdovkJA^#D3*$pJ;K%gNXYUqAQXOrHH`$A z>lL_Dbwl*%f6*A7b907Yx$Rir3J&!&1vG({<#KEgSd(@tP(#G?h_z>egmr4dkCU!e+(S*jR0-6KYWyn<%8 zo%z+TXVl}SryIh`A1u>3Py_IF()GF}lmDq!$arVz&q7^s3`3s`&7<_CPwkTm^ zf!1h!rFOYID*%b~AP)U;Gj71~Oeu?IYh{h$)5N=ldt0aTLWVA9`h!KhqUI|6#uP!7 zH?a~N`cv`H{Yr(+i?R`xkw9)dxgYzPH^YT!M9(|fGH(=_CaoWzgk!D+sh|^l{Q)}- z!Xb5@GClA}3APfMW;Rt|U|^!43JFHscQNyWjTTdD$$)I9aln^v^H>>vZ`oNwgLnLj zo=XRa%LOL1ge1xcUEDvIa^+@}iQ_i(J)(=k(K_`jZ7&S+N_=np2$OT*fVWD7@h9dE zQ_dpBh~KDHf6E>yl@|JT+rR8_`c}_D(W6aAYyA!prJMsxDgd~LBKlcpov%$z8VtCn zv$-wrOP#(xtpqUn#o2wJaqJAM4Y~6`&JB}w5=^WZuU?5-W`3(3Ky!hfjA_9IQ5#_f z()Eo#4x#IYXPO4={4Ir9ei>QWfk$zhmbLoIF-~v3c)mQ|a2e zw-I$g^`}l*xqlgHAM>0^b4-sRW;Agi!CboqmmfGi9%3^st7Q}g z1+UbN(>tEbD8FNwO52#mw$-sShMIT&R$3ApQ`XLVxe5wc2RuOZjqh4jY9jqaH4uZv#`H2bckUnUc?@yM>O}na z(yJyt2>CtINWiLGGn4hK$?*Zl`Tppe!)}(vQha)5f{7VgTIOHKbXBsSH#k0+wvB6_ zr|pk@fI?p2&@Kiu;blIwxsSXRZ0hya>?_F@aw8V_+Fqu(yi8OzVKzH@^UC~k|9%s; z9w9Mrek~B%Es;0a7wR1HY|FC>*md0>mp{o5E7J_gp<~+j{flrP2?$XFy1bMq-o(iLq%|4$Ca#!L=0$(2!TzR@!0fhHK($JP6v zvC029W;g!H9Ys75v3JD6Q$>-ym5X+ zErjU{$h_XZzF;Czq6pUv00RSvb^HPCKwyhwR7pwFpIkw0Pdg_1%(O4wy@@4F6~{eG zL{1)MR;2FaIxX*z%T=B@kFBD+2?f;~W}V z^+Nwz{IKZ=MIw-cOprRl+2sBucYgjnwaDB)yWZTJ=YVbd@J$?Zd5n7?DPy|OMn5$& zeTS6!4V4J5&V^5-j!=5c4HiHr5|vknjro6W^2)V{O-j9g&$7#wYysl2u9$> zV}X-?d6mc8+gp(*LEo2URdRij4Hr13DBwJC5EyvWN#Z)IiqwD4)^eR`#4FP-s%qS1-NvOH?O8j)4ElR&u36FF+KeFQFuIHZ$i@TCm~3H1Yr$Gwk`~0JRkeV<;@I( zFLgkOSOWct1h*%gn*$Il>A(Nco5z@)>^Tf^iO6It+ZRzWG0Tfg9r-ouyStH^*~%6c z99|Oed8A_8U+(qe-)Vgu(q-#jv8}T`Jp;6Vm6vmPRMZ^+ORzkE!GlG#a}s=GJL86q zPH<=_HWJ)o6=GH-w3FMyRC&wSi_{kc-rvCoV&G;Fi9QD?sGeEHdl|P-zFz|al zL@V}n(@d~#Zu3i#I~Jm^z(-=jv@gX%wlU{;jSUniRJgJ)VFEN@kXNEhkePg zTeo0NP;1O%wKIP_WxQmYnIc+$k2%*}4h)nB^7GfHCVjp{qcSH}U=)S@b{$DrBq_*c z*Ad&EG}AM4_xs4;Z)$^{R&b_e$n{soxKJE_UE@t zxyB-m3+R&4&5GJ`Z@&KsmJ+^8@Aw^V)qt<%_e51$2iCbum*@$|*_wfD)L9D2kxpU* z%MSQzqQMODXaclX(nl@2&JJewe#vmB7z}25X6A+yPA@D&Fgj&`9_(3Fqq*Y8lniH} z0P6u?DXZL8r`Xr7u9o@`91-v|*jF7X*GJq0_irK_M)xiWvyFNS{*ApSYQR%C*&t6!od>1ZWoh6%>__@#bAUP7NoJu?<$)o= zXi@C-@85O^>PaC%P;EGlMJg0l>fGU4UC~pYrQXc2js^(NRAcAHPef9>pva{-%+t`} zT^6{NsgnU-vzJotJYaYNA-*`^&=Yn4)t&rxNbF(K=c6q&t9b|;So0FM7y}8c3_d+R z{p@cxwzgJVzq-5^vy<$Pf=$2gg>rDf0R4yKw=Ni8Vq|81bZN@}CW#q19Z(xj;Ni-p zFLr&{NN=I33|e=ArljoYDbf0vF$@;{EP6xW*}KXUR#YT38FU%|Vq(5o4HGyy`1fuR zKo$SH|2?_CzrRW4GE6CmZpLGE=Y^;b-;W@PbQCO!w|jhZO;R>S1JLRGb|4{5cJ*{?gW8=OrZ>4D$5H zN2StJATwE%jhT|Eb;th`UW1i0U2-GJ<*fC7O%FqUh5C5B@Ua^CX~m<1g>O6*sPm8EP6J-KO#w%t*xyO$8_xN`LJ6${txY5++Glm4~)!?qj;%@8bWPbjE^|e_-cHVXO46v=+0#sg*2E;{Q zgE@i*j1i6Ck7@}RTS+2&UAH$zev-JD1ReKAq;bs^sYC7()KrJuBWIW6AX=NSvUNZ)3=!F+zHn5 z?MsXcP*)6u=vY1X$v~nuM+w8F-HuOWKlDdMw6eeR$+b#?Z3FJ36NfUuA9p3fdS@B%b z(#DS5%Oq*tmP{o08&lo)3%Lk9QnjPtZtraW?n88(G;-{82=DXY`3bxK>f~D)Te4EnfFk00#{+4 z!69B&pV1#h@U{>owCmm3N=QtM$jIP;Op&9<2e@h$ifBsq>VeO9uXCR}S2a#LGv3f) zEt

zcj!rxlrV?mfRe#;f=1y9!KHm|a$e zK_0(J3LO>I>~~@B#&Dw9Qc1gBj@NR2bl}#R-Rq7j)wiB9coi6QLy!0yt&X97+U^qNd6OB_e3Vq#*%MTJ1og$JmV44Vubi>QhV zKxul~qPe97_5GnPMI!;hB=*;_gJ5!KW_P?y)|kDb)BpWDfKJ1sq*Z~*8KRUO#Q`nv5~<3R*%BQ&xGsBnL6NIWCzxw8Ls)m zjw7<*N9`ePnw>6{(Z4titM$bmp5CufaVTV7E zwQ~lS)O;M?Hq9t%Ysc+Vl5^;uH!cHx<>xN|Eah`?GTf7`4-XI%2O-KlX ztBfWM{m^lXAN7obicc^)I$FL~d-(BQKM!D|H(>BU8De;wiDxYsSy z$TaBtvvWn%R8%H~Dme8Zo%5a?W=65O9jvCG9^Ea7DySsHpe|9U6Tw)Zvy{L{jKR3f zf1~yG@ez-?%S%&W<5ny5E+^%Zv(@~!#Fz2`(%>eAl^qS2`tUHJjm?kbaBoc=wr0ig zv%@Q3sgiSq77XOMx0mugDBAEz7=j-^eymm%N{WvIPQf(qS)%aB$VMRS1O!L`t?2M2 zYG`Os1U>0~g7yu7!FlBDKFp9Pvt3JDTNaoI#cKshj4yzFosyPTf5|(Fgi&{Xn1=u# ze-?ae{15g^8d5txfR!cI)^FIp1YK$l0TYniiPf@-JFDym?8U3AtM~VRscGa5&cY0@ z@9Y$~Fy0*#T(B`1;?=47H8X<+F#GgqL4iTso<`c^cQ6DiC9bE@`l}!|vazuNI0UD| zKFqi2_v))Wc{=>7k^67XcqueiaHd*b(uW;yLOAdiH~=@%S0q65fY%9}g=dsiR75;} z>wtv_boB;D36)G84zR`mertq^!gO*4xJ0ILtxRv1g0bM)ZXZsx{L3`PTUZG;EHqJq zegs^lU_HLefQMY?T1jE2Z76A7R#CQbma>jjJ}$W7BGH-mZLV;c84Tahq@Z`O(S%lc zWgFndg3vYU=vk4_;B#SRcR}f^^(S5PyxWEZnGe}WG-ZAlLf-?_mPjyU&WAl`UA%N$ zhCjJYwo@@Oj!qY48}4k3p{KGz%h-;2Fz?P%Gd#La;U)!kf$VevLuP`^Z!9F5$w_Cm zUPSkd_kiQ?lKvnRgo8fg4+3tcwmE~qjGfqU5X_RTd+X2T8~Q%4UGKAn^Z5$%7N})% zmA$GwBU|o%tJsna$P=AxWtF~D!=x%QWzynUZ1NHGv-Q+pUr)-nzXirLR`!{zq{&-} z(@e4NzQ>;eUjUfhQR=#CGZUVDJ`}zG0h1cI`1l4N1a5azqvt$hm>L=K?}suJuOZC% zRFs2krT>Lz?tkv2`yY<}KU{YI!)yP=Lzvb7C8_dXo$|kWZSR>oC1PCgf*AFHC}oxd zm+Zn1b_OdQUSMTXZX^F(giuW}mLXhRZ$v+eoPi_YK17eB-o$?oZ%MXc_jEs4DJ1%9?0=jp=ozAOHo-CJ+ihQ>Oo7V~ z+o~oN1knz5873kWoLMC#yGVZ(B zb&;;^)Li4@1xr8VC}?02|KZcw(V?QKh(IC#T=Q(uQ?LVy^6Hd;)DZzKb=QP>RVRo^ ze97$G38u5~tljD}aHy_hk0l{VcNnQ~Gy4ee@HtV7$uqf640in;B`Ipe9u@R@nX9%~_pfJz&wSX7)+4-TY8(iNvq41*I(m}vkvqYWU<&CQCr zdXRJGpsGiEMM&^*(B>QP;`&1-*|E(AAN@@3`!r?1c$`TcU_+pwr;j3Kmbmz1j5j7a z=RAJI9zN}w=L3ZdJsg}gJpVBJ0IEr!1y*iu?&+uMY$1JiszA-W5V#cz4(>bP_}%%W zW(l@ARM!M%#}e8{78atC%zTNUfNow$59(%uwQJ9M62CN@tv0`uD)$TO1O>lWEzs9zl|8(&_1*VytrH5MfpAijoj zSU_n9iEw>uD=a>q9Oey8kXlKCSO}VK!0V+VnABt;YyoG2z6e~zV|ARdNB&R%^;LFu zUiClT8Mdm}^!PJE3E`x94OCbc;K^W@zQKQYBG))thS$5yS^tFiX!Axv{3=McE#npL z(4=%d!?em1TMD%NbBNq|lo4 zqQel0dK`-q@Mu`bM3t917|DRB!`$r~rU%+qKhU$jA&fu-RSp?Muz^Mi1uF3$l_`kS zJC)6Wh5TQ~915GOyw+Nw0SA%?O8#6;zDUIGzm8?w`4YuX=!oL z90BDLgPiX+f^07h5~KML8XR$;lMA(t0tT{*RlICZ^Dq#dyq)FYEU?GFyyVO_RAe3x zUK1MUj)R{N1LcKws&pn~ZBRCqfJKII z;OHoTHhX|7LG^xa_d56?!Jda*V4>%9U>A#J ztLdb@CF?J+$Y82QKHnurGGJ8ELdGE9C`uGojrD?2Hy*J4IU%ev~=K!%!UU44c!Y)sB(B?GE#Hbevhqf)I?N!;PWa&ND6a z=HWmaGmuzGg=Mbm#zE%>^i@DhW(WZMM@?Ih&4IdrEC;N6i(4p%;`@Gmd!Ho_X~)TG zMkr755fSd^m*P>2sZOO6IS#cvLA8HK*H*{``(V2ru+F_;RfQ#b`;X_&lD_{&3;jNH ze`M!9X2Elb5|`xLfCnW3 zD;cg9L;@or0}YvM;Pk~oR%;KPVALPoCr3G{80vGg;M+xlZ@$;I?9EU@S3fAkA7s1W zKns{wNv>bNjzp|!p?+RhU@$h^)WC&-dT9}~R)?kZ1g;lWU?z}Ov-8vi29F>>suUQY zeE0gsh7z<-v`mAw_6=X^#=`u(2zdF6)iRUW{D~P3@GZo#rn<4o3X1ys?%LQ`qQL*; zU}0n6=JlAK8>j;Ug7}VGM_WtF44~7t&G`;+nLSNUj|YpWzN{h}?309Z*Xeo+=jP`6 zU`r=4J$^XALnEiN@bf2H-JlL!wOFRJ^6E2CetYjtc(nwAWBTFwo9{TF%?`?F;18kq z3~ltSJ^01knJPo?R>1;f_w7uHAKXipopeo}pmWWn`f%AV-u2OA%eUpMpGpGW~-v8B4G48}2?ngg$5om#u%kcfBis!=W24 zSS>4edy9k#^B=?;UG{*A^2SXPOv4I>nPceZdNKk51_A-6sOb0kD^Fu%8Z1!q7{>d+ zft$Wx|2#U*yU+(Mw_s(_%znoI`X314DihWnY{W)3W;DT= z`XFYf2EG9#g|Gkm;Pt|if37_Bz0W+&&hD~u>G=a#%;0WY1Q_IW5aGT;jRLHTiv;kC z1@Ilv-wOb+2?3>&Fcit^`x;FVON|{&k*Pr*>b*$7jfK~c~uLfA4e-Gp)cq4DW zOW_P2Y%PGYlHy^Mb3A-?`01vZ*frIG=eGptP_O{*6Ct5^;hziYX?Y|Ijl^+k0je4eMU=b#8bqn-4*nuCy#grwQMM=lDq7x2)d&E~*_ zg|u5YLW@w?jVv`KzC?hgK<=rqtOC_2?7M9T+y=Df=9^TY^biSVuzu_eU@a41D+I-f zi0K7sR2o70i3LJfw?j=$O*yNNLqei-#0x-L6HMQu2kF3qfB*g+xETSINFyX8YXzAK zEFHo&-)O2&PYzL=O__-`jV2-E4b#S#_W} zR9Ro#d6Gq_cK;0n6+mt?|BcH)f7f*~;iYO5Bx>T77{`W&hPu7)8y+?kSa@w#V@3|U z6^J1eypYRnQ=sbro;prc(gK$GpX55{#D$c9*g2;?BH}}2ILKcyNzC#GKdGyMUWJ8Y z^u>-6m_kE)qjI--45UT0&PH4I1*diZfq`qQ8QK9_7CXAT@pbkI=ON}H!SV6&BWaxC z;`$^weSLi|6@uj9S3m^AODvKuh`Ij;o}mZnzeXs&;hWdx&PIj7AZ<&$Zq$l0BIAfncawT(%<-t%Ez6E5d1K#yFFrc2t!@2_Vs^W7ut6z$l;;HQ1Y=b^qjatm@9~l1yQeCUb#snVf3GPncZg zBi2P4fqKN22w$-b|lIbXi<(?i-u^wrxB ze8iifV=FJ_HeSB@y?4_$6Y0finh`b~o_CS-e=Sq*ZgcA$??aL(l}toY!HV<1Ctsxc zn;|(8tb-uo*a!y=f@C5HAs(X0iy+F-1%dhZ(%e~N=qwKgsZXjsd*H-~ z1e=T8_%Gl1-_QA9ocjO6pMWd>U){iop8}$YAG|Km790(~vj(|xSzEPG*&_760fwoA AI{*Lx literal 0 HcmV?d00001 diff --git a/doc/img/Spectrum_Markers_dialog.xcf b/doc/img/Spectrum_Markers_dialog.xcf new file mode 100644 index 0000000000000000000000000000000000000000..bbd39f3edf3a744fd89d15cdd3004742009f2043 GIT binary patch literal 58310 zcmeHw30zcF`~NTti$JATFUG45E@PSqB9pSs!mtejcVO6+odH3>1zf8xY(J;nd!FlS)fXWfj1SP+>3KR8vlcDPKOLsA7UVQXgwTCHJwSMWs1~ zChx+jMfoTXNw0diyrQziBrl&-Qj|Zm$HN7Md6P;eO_e{)67Q*nxjAxQ_HX1+D9*K0 zJW|g7q2ih4lXLQl$_nKpeSxdA;V|Ppt*od*UR0Jh!BmcFOe!cSH&w`4d0v`J+6tpK z^A3mCIL7flj`ob?=mWDkDzD|}v1uH&#-~u1T91D~0(C9w3yIthWs6Y+ha&_e%0Tc! zX|)+*xI++7KC0q^(?CQ6c z@&Ry{3MQ2SW30$2%g>pbZ_V*8FRC)hM~=Y)EX&=o$wf0wCFu6%lvNbvloaKZ%PZO2 zU6NB)I1R?2e1NIUds;aLE8>1ZU8_;pc z*)dr>t-PYBpi*99DyTr`n>5qAbW*-ao?ls(Q(BaVv)EzR-mVEn&@dRMY^%_Z<{gqP zJjOacP{+X`Il@skww9s%tJeH#2aCw3rk6;<2C2wlnWTn(bEOP2q#|aSV-2%h%BGv& ztXa0~^*3v3-b8#45d}#uU+UDzahy=C0>I?~q0^@4`_Fu<`vDsp1r{ESrO455Se5Q$md zO5w~b4;N$Q;qnZ$h7Bl<&VkC%IXGF{^I5M4S7-jgFtxqRZM6(z?j>q7cMz$Fyl=wx z3k_daxyiT!=)6~C4E?Pu{6Hiq6*WKglE%Y5<{VRuv!D-Cm<1ioSSBAc(36tgKe}KJ zI#cp?y)Qnolc>#Q{gqMBndr1Y9{BwHaL7a)hzvY&dN5SrF4?BMbfO=ejN_PfjtuiY zJ}I=1&)WVRj)R}d)cymeXuAbKD#VqTZkh^{FUyzJK7S{zV;$4Ct98(C;T=xMzTu<2 z3-H8pBDjK{Xi~w^6KOF-eJ~B;D2m(bLfQpsNJRT%isfC@n8)XJ$-?|3Osg?ngy}L& z*J8Q@)2}c+iRm>=8IJb27t{Wj`e3TYl)y9#(@B_CW4Z{_WtgtTbO%RWw_|#Vqiz>5 zZQ-ap(&BKq_rY{1rU97hIeO1>OuyvleM2xEjVU&s_oZOI5Yri$K85Man7)VUCzyVQ z=}}D2W7^Eoo=zMUiK@X|-_;;Ci>mpdyFIq|gWoqOjrzweP$a7funCfyAh0pNH#l(T zVBj3QAYq{X?+)BK7>(2%AOZsb=iy=tsN-5QWU_iW=uQ&2B>VHB3mil>)e_VdY?`sA z)#hrEnSmIUVcAD&dW$;3@9iS1TyORok1%kK_V7o#3CVKj7-nWPy+y;okXhp)oUy&5 zeS}X2m%P(6$<9a&_yc3k+M)KT?Rc*4VHUx;fA?6t^$p>VaT&v%`_2!}KXMn_=Lmn| zps9o!$KE(8P&CF7&!yRhqjdWi$Ff82&`sda5|pm)u|x#tz9Tn*KYLJ`JNMnZ3B5&l z_E{$*o|rI6QRDvf*@JH0-3$(I!vO0Du+fx>M52!%pkpqCbT%atX@PpYhfprhj- z5IQ#N2ul$;LO@GM27(t#-^EQjYFa7;wA4Xu`lFk4)E&3ANgw$)*`$wzP5KyL+ruBA z9oT~3=}mg?Ea)ujVI3EEFVTCJwE(EK43fQHwB~p0dSxOhgLbV_SeNjrv&ezvZ(d7i}~W?}VXwQVGLjEs`To`u|`pb{5fpYFZ{@_Q)6pehRC>1?=r? zw*Pk8!#Xx^S9{>WZ#~U}W^0PKVa75KZsW@2i!uEVrtf3Ak)tT)Z?-)ioBdw z^bn?}FsT*9H2Ki;+&O zb%e~jxxD=&yOBTEPWGqqe=q6*?tZ~Na}Y7rPG*j>_mIsyfb)1gSKG0V0r~bl4X*Pr z^Ps3B{PEFbZ-o8vJboKcx>|e`?s=`~;RC?6hr2T(CGFR@wuNmSJ1T2oZ^LLF6b%8a z!5R*Vr$EhC_;h$#xrTAB)jU2jPe^f{Qmb_4h{+9foUEbZETi;`0|J=<@ zH@Y@&(~Z)9eBv`e}^qNdW43;?JlCxvvJ%TZk!MBWU+{4k=@ROjoklz6uE5GCDI=sl<7|rpY?BM98dX8>6$a1_ksr7oDD#Tk)dlX9}TU9~95n!r@}MOhLX-}_JGd%o-x0$U^avj@)as$6d`D*tD`?4h)JLiGK>Ub|>|qHl z*%>27`XZiI6bw(W6eu1|d@fVZai-z%7L($4aXsRxNlcnJ-crcq3mCIkaE60Ks?$y zCr8Z_&u_`=FQ1?Da6jvu98e&hPd+LSe{%l({C?Is*?*86=48Ji$@4YVIoW@(+-vas zFgyt@^Uagz%^hH!lJZAJjp*M!CA+8O|HPEEghfO|Mzzn%iZH!CEF;W1E45*v5n;Np zFs*e~YKk_B4>DC~R)*@sHF#EPzK|Sj{X(q^eO7XiQCVjtAwAd}5fpGRKVXceeOgBB z7az2|)PK;y7ewAiNvk34wv)8N^mnwAY7cd#+Mte8$Ec&#dUd2a zT&+_FRf}rn)eagj^#C<2^2{F95{+``Fxq!yZ{^TTD)G^gy_7@sR9d2MFXb>jojL5* z#92>1)*P$XC)10>dV1$ZM?IbTq$^j?S$`w0xl*s6U%yMQkG@_FWl!zIWiI+lpMRj& zZ+@pCT0gmk$oca8)z5I`L~`R^!m?hI*NPfubf=?+9v&+BZ*XwzUttK?;Doel&<)6-+X;M`LEOZ zgjTa&f55i1;^OsWz5bgMtMpk+roOU%rM~7MZg&qoHMZfHSYQ7pGefUmedWg$&o(bC zy?!;;<+uB4SQOWtk#q+1s#>AWhkHSE-=*%mWSuYFbLmdaC6{P2?ms8$ubtjm-Zz@s zK%Q}ncF~ioNV2(sBwy{Tr`D5aob^nsSnm>f?mW3UHZpN;d1T~Ka{Id%8)}myBconC zcH>%IkvNi!T6nmj{>ZeWQnKj<5ZLzSg$t3tkS^h^XUWeeL`lOBA0?Yl);5y+!^78- zO?!`$*9*eK*O26uV-3%5xD1B5VeZ@SrSBjNA8yd(Pv3_`LEvVNpiUS5V=h*SCizl5|VsJ@}lJ_ zIV6&#a_UX;CyAUoM6Lyd;b+oCe({6JfdhuEBb&XU&b1)D( zB7a0Be}%l@B}VnuK)r)d@6gXA*#tjniyZ1*t^biE_sHdMk>ux_j*yGw@;6BG!Z+7u z$ty_m_`XmOLG}Jkx_BKbUVZFp-XfR zF)^Oejddh>Iwm@LENHDSdPPI_w&-Y2J+ntlw$0V+XNgJXi~IEFV)dZ*VYL?-xsf~- z8F`%C`o`r;Z^Ui5cJ|*+k(c+7jpSF6k)H$kA~N#zV^^9k@5~oRp2Vi&D7iIq{0HIT zACf1-!;h1I1cD}Pf~dqX{IlKU(PLy$9(n2zxi37t?x(t)m&o(6;Z}PAb(2r7(CIdi zCw01GY(nCXUI?1lP`Ql?$hbwb@Ah7lH^QeRal#Ggxq(6d^ad) zE%{SW(D&q?prF&__Mo7%@m^|j=8+KY`1zlI+qKMM+)|BIBg%twX=j0f|bn7nlC zb-8>!d0a04mRuv3A1B|J%YP@wx;br+=a)&&;ncP?cihU+h{a{Ca0Ruob zZ&{b9c8%;rM)rlmjl4s+pU=pC}nm0-Ev(q=bswy8bLe5szHjz6P{bE~n;Ks}6 zm#idDczGQr*LZoIAm8`$`k8#g(Tmzl9+G)o{fw;j@+v*iaBu=iRgy{v&hE(=7>_<52% zU-ztPU{K2xIob_y+X7C7w~Sf5<20=L2g+NT3edg**8eTA{znUUv7CM+7}oyV$&CZ$ z*T@^`yk$OHOoo!*ZravF{tJrh$gA-kt9exHMf$BdezpGl=LS_f47#Px?d};Cn6tZ` z=^irxS$5Gg9U$j4x$IN`1c@!wc^B&q_83@6hjkv|W4P>8k8p=m4iIGFw7-X=4l*Ffbo3|>#~|hutj|ul21!mi2GQOgj&jI= z;3|g6hNTY@ zbbc3!?(V&v+t%H^f9h!e-QC;S$KiHz+=&4qT+f}LMJo3JjVe#ofC0g!$%#|Ugk(S~ zu-LBx325CFOpqH#^^A2J)e12$-{3x)GI$!?Mz=!D%a3;-L&bZ>yNzjun3td69zZ2{Cb$K(Ld?rg zbPu8uJrmu6S|PT{4@UXoU`zVG=K2khl3;;Al6y#Fl4nv#NX)O-mab^qF9~S{mUo6^ znTpO$m8otddnT(?HB5<0^%^rptZD@wiM1;7i3VCiF-v98Zz(c0{lglWx+TRkMXmnf zoLEi8Gi$`^R^Z#EpXdRSX)-PS!&;fPCCxKUt83jY(bB&j5^Gz5wG~X4h0;H)lZD<$ z_e>8>U^c{_-5L7hX>n*P@O;Tu(KwyXAkL(J-YU+lkJjnNd5+ViGHWuJOS1p%w%!>~=dPsUJmcJytq}8080+rO4qku3;Ei$jqhdT`-27T0=E-+82_oIS zsYuUAH}6)6dGcLNCYXd2OglH>Y+Qe|2>W8nV9_#DpcO@ffl2SWlvL>h}%j7P+w=U~K8-Dkxp> zD=NK@Xi2#AilL&h#I>V*^A0i5^wl<0P8e$I46Xz{`;wTTKV88I{faJ8)s#l$UVnxa zT64(gM$oSu1exV~IT>45v)kzefg1J!HSFW*Ghl#!Qbbs4t`^iVu

7Wsi1_Ve^p zC`QC*W+w-O8U~J6J{r{UXwT80h9TzV2Z9<7^b7Z4ya+Fx*yGsw9x`J z9|~$X)H4*+FvPs`=s*qYJawRkA?D?WgBlL^3)?D%q;xC{V+z7l0a$ z@{CfeSA7U-IHvA7P{Y8ZW_75PXi&qep93`V=?& z$9j$pjjdZ8z2UXc)$2hG1J9Ri6~*XuG2&!;-Am%+`Y1?y#^~bfo=d9xShwd9Sif*J;vchB({0X1w`wqxs}P*B6RgGCFrAE`Itpi_ey zR(q;J4MWU3p$gP68od63!5ach#vv-ItAuHD()UfU39R_N6nCGy*eTU_y7;{I08V0WYdfjUHZ=;6$fg0}T z*>AvrVS%Ikf;7IMhJkIrQ?$qn)UcPQmqIagj9MM!18NvJUbzC)u)v#U%RP{XzYN>IZ}Pi06*XjN5aZgm-`VPJV@cofu0 zH0YEYk9t0;Qe~OrRH_WK0n`cbNX%9Zj|Medkq>GZtdm+@F$2_axY-117+b3jl@b7I zxWWW#7*;CU&^aZbhN~un`ojyC4#7ZB!xaUfhQY9fMw$yFW=ss7HXYP3Hgs0WR#A{n z7b%XRrx%N3>LYZzAkQFOw7DS0T&XLs1T_piYOswO4$x||oy_$KS}kfugt<^l=(TY6 zPVHzFjnQbL#YyzcGI7$)aE%5uiAD>})}UrHssjsi7Yo(pPtl;^0xKuu+3diI<$P{Y9T z?id~;poYUsXV1!4ff}||V_glp5uk=gc#Z%y3^DJ7-k^rj;Pn>_-r=BzhkFhOH4HIN zzN<+v1k~^l&mo|OA?C?>WHZK&2T5YS?!2_5n5A$Foo0 zzJoM@V>ClR4FlJHy>2ypJ8HPv0h|*>ZbA@&WOpS~s*@xH6D$^%vc_8gD_CFwpis<~ znc>A8K@qz)z$gQUHSl7LGH_S}FUBYXhc)nGj52Uo124uX1BW&6VvI6ySOYJ{CREzc~)$<%uNVNPyh}{w3d{N*=OinvAt5aPVfW;@Ps6^ zwV7eA{YzrE)J=Co08voDOLVQXo?P|)42j)RH|-4pP=VU!sHNt$O%U5HbJMigLKPIS zjv6YgZG+fekwn#|NlQ~pI{_D{FCA4>1if2qw@eb;7R4~3(oQ&r1&sw=7L0Z zpI66#46wXX#7ag}%P6C)icPc$mfl3OTiN@HNnaeh9UGcB<=6qIP}{lI3`+h z_SIk6kmQ(1Phf>}q#!(f=a#L~Z8x`W*}UoFEvxl9Jr;J@-Ikj7^D9LEif;}hzp0i@M4U( zaJUOE#)u1tyYONm;?l_O>nAPSv~&G@0AK`o3|mo%!Q5aWnWb+nc;c1aA85cN*+Mj| zJ?@0aSXiV1nWV?+3c(}^02_9ecLHW80A|1>71dRMNfLlIs0>V!EkHv73ns~sIC1@+ zR4_@MOTi=wpc)EzFiAV=>b8`FNwQN4CP@I-ASDJSsd&n>D+|FS*(n8+Bmiwt+rT7E z-aQ^nlASUzNw%;JTEKuw((PUZCaH4~GD-3A$Rr6s9MqR!l5~5Pf=RMd1|})2u1*6c zNr35C5U)*wQSeyZJTOUuVl)`QB!$=Q4gr&7i}Kt+Y*gJl&puI8_ems}B->&NSTHwP zb&J3x0p7!de;R=e2zg?~mR;+fjRYCnQpAEudVJ4VFi9*dB#gl%y|oxj5{nIWiZM3C zPB4BNV?!K5#EZL{t{5BQ5F%dO)wIXh5Qh-)VgWXUG!2-fxZE%>NvsmGqDHI^5+Fqs zAVpx3d~i`pBwKKaWZ59KpTw6L1}4e2lmZq^66|q1;3f;psbv~Q z2`0&=h}{xn^ohfucriwwI1GvxWAur`pm;GxpEwMP7i08^!=QLEMxQtgiWg(_iNm0H zu@HS~WHFB9(yBSra{!DIU{h>GAs%&ug{LM?%FiyYE?0v|vW2TCU}2XeK&LD$k4(~d za{`zoK`{k9?2-f^6*BE$l8ojwFiCdGz$Dp%RcIXvCMha9X}UQEOj74kFi8T~3at~s zB+WLPtJ1(E*(n8+B!I3c;K3v%rJ2eT!6ex!1(Sp>&I7Pe+rT8HRL6lyvQq{o$ri#w znh#8pwz>dJQs*KtN$SW*WRlpv>;SY-UxG=}R!;p312)(C7s zP}bC{In(kqAY)sKSTIQ$)mkt~EG{dI!6cRCgGpj>vQ9C^$vCu(7h{}^L(6z^SJM^a zWE@(?i|tH%TH$Gh$vCi#7YkrAq-ek*jn?>qMPhZ36+mNEkN`BJ05k)Oq)-DqZl|c* zBK=ocB(zEr51N8~9oe)@L5(seOa2$&x|xR? zukD*431%Uh;5Wf5<{MBz0LbthhB&Z}L+55PUq83xm(~Jt2+5*#Z!)iomoiUEZUxru zYY11VOw0#j70H5i%NecHt%14=@SG%xc}J|i$$AkjXQGnAJG&Gi6c58;+Eq-=_`F^9 zX<}_7g2%LPF&3uv(>RHJsIC!t5{1U>rWxksIE>AOzWU+9Mf;fVbmCiKb-Kv6YM*@W z-038-4qw52O&r{&-p!Q!22jT$bAdI? z@#kN?V#&wIoQ~fA{(C<#+a$LF>R4nhAaLH%rknc^lOvhL?5%c*|{feHE_2kJP@ zV~L(JXGYq?5?1+$2UrxwirLf0+Xw3!VY$+`?^tu8K50&Yq%X(zE2z#Pl1sb7>&yh> z=ZauCUxLmnapCYdAA%`xO{EHQ{d@@b6u`}ZAo$FOkR{PmO%N3+JUKv5mPpsV0MP`+ zLsm$SDUliJJwH2Qbv0#Sj%h4uEyNQpNG)iiU>P=7xq!_>`9kXQzk_WO`oS zzNLA2Nn!)eF7A~KP}c5+5aqLSC?gJK#L;z zGfHfsF0D?IUPYYZqB#7gP#rbgm9e2dt*Rbok^(fa!pAhf@h&Hb-JWkiy4T?k5SZGT7Y~2%y zX-l^zfayR`mG;THU3I%&25ikPq}GT)vrzrgJ#}?!jTl1QZBOvjSCiC{XqM%1*=ZwS?D6Lu{E)4?HX>ChFoLev021JkhjYZG`0?Srx-%*nerUL=U4vhxv$QHzj5!ZX2aCdFo7G@C z*kp&-mJRSV6HG^>ITuU^3wC9jLnF1FUANc=UL1s^HJP)cV@qb~!E_)u7JSADUZ!AjRwmBE3SZ`{ArKPnU;<$2e3R;46*-9xEInju*vWVQiu2t z0>i0H(I0g85!6W{MBtZ{uILzBBBjMCr>j0 zP$gg~6;u)6Q?{?O*>aQ?iY6kgs9BLd44B=+i)ePkAx|%`0T~{;6 zw}(%G9gvwpGp9$Wx6R?yKYTEZcvx8#Uc%MPVF1^ptWVwa+8Qc)N z-e-_+*ZU0eyPCmvz0W|tD@|b6`wZj-K4!M>=Gk(rW)e7=*}ki1%TWOaLS?8H?*zZI zK!9fg{d^QHeu04WApbJf+swO^MBZiu>tIIt-N$Bo9CUoQVtWi+)d`Qqn2iB3jlmh4{;jFv(LVPw6$ z6j0$p!|a#<^(4^6h$xLB7Br%+@>1mSZ)Oz#+`mJ@J z!umd8Cl@ZLB7~^~sFi@Flu)G;QYA#Lggo^q)avA>C3M>o^3-UkB}A(P;FW+y3$o5` zTtde!Ax{NDtxkxQ5Wy1iR1j*O&|T}dc(#Q?pDlq4mw=_lfX?j7qf6+;CFD`pcj?t7 zbmJ28ls{DN!mUdHX9-wp6x6iu)ny0HvO%|?;w`+optNhRF1R6fy}BUZu2&c2cQu3U zdUb)k9j`7{6X3TWc@ABW1M&jrEn8PETaMLC0`D!`cOz{%s!zB1xP9{>-HRTlO9=YX zg3-bhb!kDd_zHEMNdpfBEF^|Ed=73H34EjE8ci<%{)2B45Wi58-Ew6CK|FOa!;cfU zTv|Ys)K4A7ajlmYq@7#n&oOP67Q8}BE-|yKo#qmBke?&?K?IE=9D?WxlciJICQk?o z97(jrj4Yh!n9(+|aAb@xLFpA`&f};uh2D#xBQ>y68K@|APNPZ(5A=jh7o&D(sLP5H z=Txd>aENCpw8urN8-Fl1r8EE|BKZ6}*BRat^txs_>~_K9%M0 z3d$X`TORwe(QTp4E&+$}o!C^?R z-eKxlMwx0?JxtJR4@3VSURCYrM=-}F7LvM{Ctd@8)L$I>3K=A=i2_atsEJVcZ< zO*g!BDM3QC;FJsD9?JOKM_4kh6cH=7gBQ@n*`S$l3QEHm#eIas1_y%P^Cpyj`5Khs zlnYUf2EgM>dcI39F+WVSJ^tL3nfF$<&7AU_85;TGl!~5(RK=7R;l{r^qjv#4<6SNV zUH>k=>F-YO4V~W3P3sNa-Og3?hCc4#9CKT$p)1d?$%os(%a`Sll`Wg5o93VW4E23` zg>vZeL!V2sscEm~NTKuF3#Ll5sGQb?u5b(5^QK5MsX}J9D|Ee0UUuvAHhGg}8T8kO zBpFoM&KxP+nRekxvhidUSvx&<-np%^@${tjf-=W(Ei(@^TFxvRFB?afwda@iPNz$| zSWNbyn;0P%Q{IKRrc?6DRgQ_fi#U8*ZPbr zKd(fZO64*$T~q0jcDaet6e`WKz!l!NZO`0e_ry#$8oJMY+GQRrk zCXneUC~{0{DgUC;a{52X$epmg!i3)7OW4j8_68@yF1Ui;;7$mAM}>{g?io*Ij}L=E z5EU^l|6WsD{O%&4_32}q>DZ_FpIf$gcFxT{TqTqh_qp*gSE=KxeiP|`fCPoIg0E1`uM@h5exJ7Pp?|xh=k~_j-=tRe(C;4l=;QBy z!Ei)hdA*9h4Lfn)%fwq7=c8}KzIHqXzB63&8SImme#w0rmJ^wOf;cw?i8M7IFo4L* zUxLV6`0KHpNW!&6CkZ5SG5hB?K&0w2i0pcg_YvBO#M*2BVMUG+rA_5-L`(dIrG~1e zB2MNC+ZbYETLzXPUOu1dMzl^k+IZ$^?t{4h_wUr+#c%!o|J-;+F)|+wI6nm&`#E@o zz$ou3bPr7Q#qaK+`WJLU_rPSoF5f-Y{(`aghc59)1}6HV*rv@Nf3owNeFrvu{L#j> zYgX0f@V+QE{RVtdY>EYcQH%*h^6zZ>Xvef8p!vCXrqympvcgFJO`xT9Pai42GA#bwsa#LIuBE zDRCt#dH33bb6;FhxqZ{B4_2<&vLsxmL!T1JcO)#^cPHhZdmgxaB(5k1@mT%SJGOo? zt!mlVApHLNPvHCFkyi2ay6cx$7&^pd#Px9fTc2+Eu5SMaD?oUAj9ACSBI&%|=p9pZ z&La3ITuf-pe|CSk@%{H#tlRQQ418lP!U;-pd&knbtjq*QqA^MrQ?PPJ-S*udEr}BA z=&(3jkwPcpW~44u9~GS)79EZRV$sLva(c(W`>W_W@NqjP+C@i4#JLcZZ*qFiu~d4p zFDfxXMw~uOs-q)gT?s0{KN)~Tcw3b%gY`@t9UFHqL67l;&+Pf4cUTqq=+k?7D9VAz zHwB^qe-*)usdmv2>U{X;>3-n(r|iDn-M71YcK@%=Zv1|~6ZhVG?vah{Z>(FInk<*! zFiI0T-uy>z$2T`MUD%L}q(FDK>|Gxp|NP}tS-ka=0H9bJp6JPz8d~g98_<{lYLI8Z( z0DlJnQuu9uNO3RqSG!E%X~ph->+ZL|a=cO4ZQmq`ztB+f)DQ9JrapZvK4tw6$4^o5 zS@>X_k!!>NYplp&KDXD}Ro zxrf0a)7gN84SZq4+H0>P;ZL_2Qg_hFSoi@}5FQ4h1x3DufU{b`ld_-B9G-ged5<<>*CT+YZCOOAqwLF*hebA86Pz{-YT zS2t+Z`ee7jYKGvxzcI$wM`7^|APC=p>K@y9)3Uppy1NMt&^t4l;F+j~b6wm1TV|?H47i5{D${bMd2P8V zqXNOlY>bcNVA?0J+N~dN$igWfeaCGLRiofvMW?*uR~Z`MHkJyvxr%DooxO^C1mklr z(UN^5n;;G>1w{6<LHDTW>i=ZlsUH4sJkK8;751OXjZ)ISK2GrP&QICq3;zhju@oiYlXnG9sVD{C z2y|{wq;NUlWz8juoRb_pT$MNVpIoZHxK^!P`QAUF0=$OZQn2f4!xaxyw*Lc_Qvc;g zxC~yzZuxf8xI_~wqpwXCE6;^TDOaxk^z!KmO65~OojO{gJX@etwJugFPfT`%v%&k; zbi;2_@BzI z%4?6#`t;X^52KYUKT;|uUQ)ilPONM?Idj@RSFfIH&YFnsO_gSc3o}!b*!nUInFUChw@HNcN)v4eEgH0vK>5N0Qh*L0npVm+rLwO_h{`Nt=*%wTeot$ zvRk*_o^Gyo&?vnXw2$w-%HiyHhJEi*dZVul``n`(f&RA_5cE&HfS`{J13?c5?ora) zGKb^TceTUOLxOvh7qjz>J2roD^v6o0@%c07f1GMGR-dZ>q0*)O+bQtz;d_)~@bTh%l#QRCj^7H8L=u_Fdz!?T+8P$WdhA{+Dhp4mL1HqKy0z9|$Y%1^e*zCB zp1uI)xGd3?g<@HW3)_wxw$|qvYR(#F)E62|d(+dK{$+Ujy758u2m^lH=4@i?mi-q_ zRS?AUr++!Um>_1JzW&q9f1rmN@T21BEvtqia30dJD2<*!+ongL$H~yW=;5(9Q1qY} zx*59P+o#&)K4tg4aOV2D`(AX<3;w*gPo%8=t>F9&+P#cYICFa!zfxy*=Q1i4t&J!8 zW0HcnV*g}Biu{vU;+{k;*k24^iYnguv{TZ}1>cj+#}_3fU3k)!EuY|?*u0;)RFqhB ziP`U%*!1GfSMo9zHNBE}VV-LuIzgeku^GxP&4N%QGg9f-Uy&HE&6#aHKhIdW|J>Ov zF$XD

*#{A91>`>@}w_5?vDIjP*&S3@o0@nH!OBX{w{15IeGmjz0lHy zJWH1Stdtf)`4w%@B)3&Ja*Os^Y>+ z55Q?4qJQ$za0yl(<;!_#S6-iQ^3wOC zGz19*-f?_p2h-jKNDhwU8##LNFs7$4y@DypQ53h=h4c>cO9FkOP_+nD0>{;&u0 z`20Vd#e5@2f0SZ+Kc<5)^~W>}(?m@3F|ELK9;Qn$eH+t_nC`*!Fs5fQZRF@rQcUm1 zbP%Tgn1*4Rh-p5i6`0P$bP1+!W4aO3J(wQG^em>096c$;^nOeSVd{@*7^aDs=3`oc z={!uAVEQ(u8!_F(QIQBXsQkeE<-FJ|s_qDX{q=>vzoRXvUES^ZZ|k2PFrGRB!%L(5 zKc9DaTU6Zj6V6J_H=i6MM={T(g>W$NKpbv8Cc71xU(Nto}t0Y~{)FjiOn<@j z5~f!%ZNT&MlfkVT$kGnTIgn57PmdqJD(q%rHzxVCskI zqnHL_s=_oB(+EtXF^$7C0n=nm(=pA&G#AqXOp7rs!*nX9(=n~WbPlF-F`bX;Gng*K k^aYNxRs?Cwt^=n{yDmp{|7sadBOt9{KRCSohiCBr1F`}0x&QzG literal 0 HcmV?d00001 diff --git a/doc/img/Spectrum_Markers_dialog_hist.png b/doc/img/Spectrum_Markers_dialog_hist.png new file mode 100644 index 0000000000000000000000000000000000000000..bfc2ef8fa09a3de2ee9bc22c4cd71346b65cf179 GIT binary patch literal 15257 zcmdtJhdZ2K^e-v|iKr31B}gQC?=2BQ1WEMXiQY#y5s4BKK@dGU(d%IJ8eMe8%;>%M zGPv9KKIh)^d(MAwJkJ>3+4H`;thM%MtHLp$aa~dh zmr?cy=`Zpq^gMWL4@nXQS)Xx#|76$FlGgDjD7>PWxcJk72lKO+ zlI0q*b-YL%AeX*+G{F<4{79Q7g8p9Ki=fT(mg`whr=r%y&+!kGunc*=AMjzj?_l@W zE%-4>s2<$DBaNf&gD`f$5=hIMKoZ>8ST*2sj%AUjoliGAOG?f4{ZQrK!86#Y0aU_YV&hlvLho2NF|ZVLilBdih+#YkCXm?MHExetkWW%(Y_i z_?`ueDGB_}o$Zgx1t_iO@5;87?aH+5#NJ|J>gOG+j(m<@YgWFOmnS8g z5{WH+atmAOZ)(h<{lNvx@~Lt^EFg4zR0LSPw2ln zAZnQKYB0hM#Paa)@V81%QDqA@oplzi)cP74ijCYjx=%qNuc}JS9bYgQ;pyPOg{XGO zY-}`22eU|UDEm+re5O?p8yy`jEr8nF+lye7O8iDAGJkN!t3n>xHNw`3oO3pz#uH9b z(fP2rv0aHRB}H=ey*; zgdJ!_(0&3&CGp)cY;MC!YQgHQU?dxBrYy4&bo_Fm73z%*x1atkEmqj=9*Vbo$mMYc%QH3(ug?HH=TCy zlp?kyk0;G%d^U>oCYgsVB~Ci`5G&+z<4sLh4{+mW)Lq7a(PtQD<&ryO$z}QHdif2ZU zrwEDdnxOOZbCZ*wKOZm#oX%ODR3+so0jq?b^%|d+T%Yq4*YCe3xOdO{Y$<-K&ckk3 zL}5R5f2=^;ZQ+|pbaL_=dwb3toA+h4>+FNfLO>)Zwrd+*f|#r4Gi8G88L#{cqA+w=dm${iMyz%_C1m{g1}9-y{l# zO-|}aU!9s`2|JI6PBw&X;>s`;+F8@7}#@Nffg4+OAzWUE+auqZ)j5;SjEsG^q47 zW<{oU{ZGNClj`u$&w9xt7Cld8v{U@=eDtVwNqk1&e)l$ZUy?|P{KK`^CTm?TR5HL^K8OcRZqL3dFfsS+E@I`Tus{DA}SJ`IoF&!MX9Sh|Qs6 zwFj$P;=b>R7DBY_xJbjC^-tQ%y)sh|klGf+eUH_ho@@SQQUV{kt@9b%f(Bk}Plql- z#h{5r38YWzg2AqvLF;o}Rw5c=_(ds^jG4^L%ja1Fj++q6%Ll856$QLu3TUOV2+}Z8 zSpTaDQ~5gCT=4vt8ge_(n-I`{JBl|^!1}w<@d%Dk&|r?w>}e^{b#!IWoA3I;@0!FH zFUOI@1c%&7e9w{^G?7U{K?{DHoO=Sy9kiPYucBkR+wh!AGg4)nSvfl7UsR?X!F#8Y zwWmzaoJpX^hwk%+!j0T{tqUZA7nkYmBp1pX%s%J02-{4y!)UzfZ=nngCztkBI%@5c zp?-M!`y9Hkf_gO1HH)E<59o?lBfXF9WbpM$<>Nj@Z~n#0em9wRT61xHu5rebf&tS^ z_A|DZ43iND8&LM43xR^Mul-gVR=VW7A{z=tUF{3^c=@>~3vk(Iq_vjg%HVm`9nN|j zOxtr^;ttfoEvK8Olx>LWrr?a%#xK=mWPQvY{3GT-+(T|1!vxx#HXX}!#D%W>{m+=r z_L;dHJk^%8%qa4LCh3nAKp#zfUW6aQzlZLQG?$LbUCsPx5H%lkZ31mQCeGk*t(uH|N zjpqOqK5;H}VeBS;1dmb*Fq#%3EEs!bqx%76L`g?A*mP{=(!a~#oR`K~D0k*;;=6ht zFH8_!aiKuOK--GxGyYr*Rld>>ECvIU-O+!6lr1Wl_|)~pH*A_tURQV3NM27<_qmzb zee;-xOST~r`;d@9Lc{BTiYzFukFj}~PN@zjk{c28zq+)cdH6%*?Z$T1EDL5R6^7oP znhIOuNtv`gsjrumWK@ekUvd_8R^4uzqBxdqTDmW~!YXPdnDtn$if-QOGqjUBm~1$} zf+S*2du7SFZ|K&j?^-P>?{Rilv1QeHk|$e(#1(W7RKh z=_%ye9?8jJ8o%Si_%z|4=|N_@=QxYo1@qaD;giwY|4h%)QiMKns4Sa2!Ov(qLk=1-BS)9Y?T^k1Q~|oU1WP{J<|34_5K*@wVDi&Df;m7PaA3yYgcf z;nwLD!HrF!y$WaAVji+s(bRZ{o)@`vISa|e{9~Pclj%2E`yg9w{;m7{BT9*G4~i@* zheu0E+GV35+XmHB_W~IQx(*?gjf8Z<5nyWsDZmSv-SBI|z`wTbG~==-qiyK~lgane z3Ip|d@RNiv0@)2IYkv=QW{v`m6r()a;37m60RVh2;npOys<< zO^|xIhS(%1twcCb(P4}O7CEJW&;%WXldbGzPPGz)j;A>820;t(3qGQy|c6WPA149qE1l0 zCHjE@6CDeamGERXN{5|8;-jA~I8(-Yz037qO<*MB471kO-)2YmaCBi6h1#C0>C{(q z3*|d++it16H_#|(3eQ?o`ySb9xYM<8?>0PkLU1NzV=sZ>J2dktJXSP5WuKOW(Remb z_iFAbRIuy}`DUsqHOs#slzp&cK0oWpfkt%%{73z&Mfoekm;2+^a^#KZ%Za!a-6@RM zvB9gQh|amtjKjBg3$%(&_L`{3K4c2pzWN~02|!s)LxpOAsHo-Zt8*Rk_hr+R+)NGlF;jdlpGv%DpdHECBqnS&R-nzBa zAoPWSxQWjK)v)qlQ|q2|Q+Ih$hh*6Ye9bCUKm(>tvyqKoK%jP~@#52UHDas1tIKUI zJANJlXK`eTZCp;LM9xF5!(D{gugE~!5t~ai_h%#oyEHd1n2xG&#l+h`q|I~U!rRH? z5#n9}-^ZBFCvN9_L5j-mt_N;(i7Bp|PQ5Y)OTO*|zG&%U_;#JiH9($>$6E-hC{xsS zO9E`JuB2dDR#7byMzKoO504<)nAlz3xV{5Hq+W1cqsd7GTo+e&dXmUId!)p~5|SM2 z!a(-BpLUJOmEWo_FXwm1fgfH!*CUp6GkjSrKyXNmEiqupB3;+rt58U3mMn~y`w|}> ztKsu$!iof)F4k!GVD=!1Fx2Ho!e*;!1t<>~K|ktf?`#jriD6`#&ba=)6Mkjg&TpzH znLUOgE_oE1#*4 zn{?nNYUj$%_DyLn+=)bf^AN;_Id*iE@%1^VbpU^6Wo4~AMOwxi1|uC;mg0@K^(7vK zr+gxld6-!oeM{nnPMcuUMWw5i_2y}qr~s* z0C4DOyOOCELZjW*Ghy0qM{wwTdbtBdXCVAfkX>~i8`GTRcW!^0Fj%HfV=NpK>S3hr zPl&<8y}nF}7b$}%=$6`#1dRI9Irf(65*^2l?)F-bt7~mNBE4e*)5|+KPclS{)%$55 zE$=p+7VjaViJUvLp3gwfyaLYpe5$t!#F^W61`cs%+);{8i0GQfB1?#?-y6d-Bbv~z z^znmz^Lw5!Pw!JF|FZasC%ODCijrwiL;A82^vGODfuBOE|FN#H!}Mq&f+bRNcoWT* z?2Ij7)i-;LaO&FGk&FCUH96%r@Bg49%el3ui?5#IQDHhPpa(e@+GhoN;a=0{KZ~YilbiKjnI{#Q6hHy_ZURvG}F*&`omVc}D9q5_>hGBEKoE zLymF0nqv9O13B2K`qJVkajcCCMIT;+#QEJq#|jDW!_MzEiT3^10Sok`=DEMyk@i8N z^?shhlPr9g?4rQBEBSJu6;wF z9f_K1G8jMVQ%x2>)1Qw|QhBNM!b930_vjx~W7+i;#ri6bMcY4S<$d8Vgt z{w{vjRGa6#Vy?V&%6w;F=+7mc7N7O=!TvtPvo>w+7?cxS0j758ejIO-Xtip$GQu60 zu17DNBR|N*(EKt z^{*V1nY4VGRSrZ2Tx<<7{uMJj@9bvi@Vp2_O%LQWq}bR=EDkntKVj+a05IDG=9VKY z84++co}s!klp-87;V2UYUStKPj8SulBD}WunfjPz47mUwVGt6(fW_yWM04!j%ASA} z+gx5(KQHQ&R_k z{|>kfN>O3x-TL^*;DdXtjBV!$(2OVSaSK|Q*t~ho26uwl3*Ubv*BJD5W9KU0Ld|*I zSyr~S8BBs&$cGb#-1C)8^{F>9E?8n-Dz4Hj&?+|nzs&>~Vxlcz}{aVsv{hlE#t zXD^M6;}l**1bOFLRX`M;89Q*SmHK6N9>2Ky7&eGLAK)2ni~L@Gu+PO->a8Y*IMIHm zzG7~#B?+L-@>@$OC+ z?zZ6j=U~4}5|61GSzb8w{Z&36ut|YFTyHEY@=i{Jg~(j*Ida!HGkRXmsfp4Pe`NLRANjj`*?!+RyY5yOOIH#|dOwXT@h%k6C9xiJ`-#LZr@NI3}Aq~WH-WgsP5jdQwlm%{VV z=QqxS#g)BwbLf_1?2gFjLiy4`xrSmwmpX_x8f} z|NG++lHHT{CrjC?8W9t&&*J(wSrYlP?lyAWdMl5W@?`DxO7@;V_UYZHe|`TN7l7uB*n^$uJ^0oTiY2_uXVln~D$J=uPaNZP z&*8exL_h3XS_J!i)l49$UPGaXmnU}8qvK<)x~g~4l!)I=7Cx?!HU*)>xQK;w)SIwT zyH${UDkz^_Jr#LGexJWh7^5xx%H=3aJiue~c_#^Bf2K2xQva;Fy|W-G!^9OWDr$Qo zySmRM0nM*8lye>X*mN?P)Z$3^RG0}SCJL~{kyZ@>0H{*3t z#0KHaMXYet7iW{F!0vc`^r{Zi_Ri=9%jm?G1pT-vKmKyG7_Zib9f7!3~A_kybvr`%g>u-fC@`ntUQ}LZfXRva0r@ z1TlRUR0-Wgxm(zQvf%+Q=MR|#+_6SpJ$bLA)eFO=Xs_FhV4zn^9_hiiJMq*(@e&Rs zN2~fohhyexUl&NeoZ`%SQg_~dgN76u>p#DSiVI1xo)do;P@And%9q-nXb!}gYOtpn z_Heef#MqZ=natq6wk1bUjYGZQm*{jFF2GD(<)sI9+||3s+zbjx zFxm>AqU*>6t?vR=g%`)w?4W@#CyKgl{u|#-la_8R>S^CgD9a#iGy;_F9;`B_{8TR^ zQT_*!ycIJoJFzY5tV>8w8FUN6ksa1Xx7|ho$iovZj|J{bb_ZtMyWH$}8@DJ7$-oGH z{etn~O$1xtPLzDv@xC&pU2WTJrpBelfIC99k)lUX1(YVw&NoVKDT;5TqkQu^SL;gq z3ljIeHE^FW`fudwIfm0q1sWxZg|#N@KCrEYOx=~WAHHt>g)>JJh(zPpv6=8gHgyWM zI37QKY`CuC=O=02_1zNiKcYS^?%!IfOp~-V;avxoqxlNR^L$w8Ggk!jo%zY zh!~CP@X@14+q*F9eFq0)bMCL57}2Qtc{7mv=M@(dcRw^YH+Kd(SJ$q6aaDt%r z56CLdd>1`mq3zCBcJlJ_S!PZrFbCX&nOe7-vU+bESNN|)|C(jZ+6gtYJ0W!3A!<9n zp9i&i3cvI*#u1@@kT51HSFODB=j)PoLz;Q+t za8D#i!o$v;>5Hom3EEONveY(-!XGwQ?L!Y8wo+1J8cA32tJ~VFgNva}x*4)gtt$t( zcGkhBCW%juj*fJSv^F6Ul;PREmxaZ}+mMCIYC(reyfP%g;lS;yD9U1QO*&qeT7yRn zjx~VB6HYK93!9ORHzK=pn^z?!jGT?%=kUYu$Vi!9(a*TJa_d1xVaFLoZ*MWc+`g2T zH?7ixOGY@~UYrZ`2awcxKx_qT*0uH*AfIlyODqz}T`Y9Kg@aC)$V}Tf2UMOF6DWPm zS(Et<1U}@hn^a;ISFkB514BQN$jrxnE4ym9!M}eW3JAo+s7WUPP_)<0mNpB4yNJ?* zr+G-|L|U8*ULqxS>f1t?0`A;!lY==bNjGF)kPuw)PNj2y0gBoYD)W&2*|YTO>S|=g zt+KK*;0WX9czHlCV0ohjc>n%=Wd#{--J#>JNNT=MwQehbItDqbQ(X+nZR|H`(kZ|u zjJ%g9fZOo(2Vc~)_;;b)SB%uvsZU4U$i z*Y397^9FgmGaTvFfRZ-eh{&>Aos&*-si89R3QSC-4LB+=7TO2_spFJ&n&tJ?&cs6&DzHPU(h={<4f#hV?%O_W7@{qb#;lxn0cyx zh%IqQP`r^(nE%D`9s+$82O#Z!Cevjo`sx_=h-N#KjHTx4e53pC-`{`!d<8USP_}Y> zu;7qW%^cqP#s*+YT6mqrzp2=*=GcHj29202YY;w(6<`%dCniP`@>ZW_&Ck#Ol7UKR zDnxd!9PD*a8Skzalt3nb7YU3^j_+KZPc%Vvss%P)0qWiPN>fv_Ea1||$ZxO1QKZM# z$p{HSINS`V3C*;rWn?t)m4d_T@@OK-gJi5F66ttD1-4itM8;oBF{-tvcCT)^>|b1t zj*gO2P>h+%weIh`M#seD=jV&rVqeaMFj;}{!!@1>YHNw$BddYr?s{)ftc=VpE&XxB z%DSx#XkCoOqF+Pr9d7RJ+7nIEzg55Gy)g&f{^-BqGpY}`zZ#c?NkF)kBKEqN)cpPZ zOWp*J*)9P#+yc<|oJRG(0He1Lc;ToN2~WVk4lP_=_(^w0GDUWnr8hPU|~6R%d-%d5#`i1NXPkwh5Wp{ z)%R1MKi{5l6#4g$0bPJ;#vv)S>Zip5R$Oy5u73uI-JXR=85GAx=fzIbAV*34eaXz| zgoJJe&za|%niQb;-1Pq*;Tdyb%Qe+O@)@1v^JAP1V+x9Tyi@^6GSf0dEHsA;9!G9l?8gdaS-t!)aGN zV&zA*V@sRLH%?|m@Z1(!9IaOz9336g?zrcUD1oducl>%Bvn&!fR+m_a5YTA~kkZxFPseYEv=-h0OHpdUn9e$QOjtB>r3>F!Z-nha+tZJ{}cHxaN% zu=c4nH8obqfqT9yK{bkCojS~zu}^R z+U0a4?P|0r#m36YDm?MD(f@*4%+=zrm9Cy1tx<_cjk<09&Wvr<{K-Yqmsbm2Km>H> zHV|#7v^H5!l;ac8zI;eJ3oN!jM~H)?Kp}UAd`qiz3Wx|gwjiE1sFw-~3$C@)f*(ln z;iAS|l=q~KPmJM+*o~`TQ(pJgx4?=A5Kc|VOj#$p8eo6+Q{`XORmHyCl!y9~#bO3! zt|cb}fPkmk2|X|va9lC#X9RO(0M&7qiU4loM#&mt3Q9_#I2xYw($vx_cbY3zDckCtx86y^Q^HgV`Yx_1=Y;vzVYW72LEoBQEi71a)UcWn4r(Gi zlTA>lGa7RG?4Wb&a`(sfe=~c2964LO*AFj(-Xr$Ik@3D=9=Y~zhl8E{MsxwHVxJ$~$n_Vppjoop5CzlpnDlB*E zp!fpnAqw7IV!x+LYf4?;Q4O^0lY?X%w z=B1)TQ0}=9pl><&%Mw{xLu9!-+hX9-L1B-qt5TSlu(Pw%znuu?rur4h7r7APsKmst zgDY)Iu5<32vK7HD}av)?!Z%vH{np3|fOM*-Mh~MzCRUqB61wL{1j%p=6k=lcrptMN3YmXY`s4q>RVcvvZ!B z!dVA_ghR)U1lM{-)=Ju_HSlY(3`5D9&|z`1>)_zv4*A4TW@aWLfcVN3fU_ha-i90f z#>RZ1ZpCE1w}7Q3x~aT+Z%!j+3g)o{a}~UOt_u?q{87NU?otAlsSTj5X3$n|bCgz7 zTidOR!PEIhApMn;TlYimHd2R0_% z$`52TG&EV+*=43}c)>(;pswAuuqP<7g_>M_#?JmnnNCYzq7et580!ZBFc=95i6n3% zM#GD(?t|_mX_A?LEly0{i%}+-HE-88g$@DCa6O)~zA>9UFuljqR*NSWx^?c)v<*Ep z3nq$VqN7EfXKy5fqA98XXwnn5HP1PJwx@qVvG^^+j6CeC3M*fxFJcgcXSjjfV0ZW3 zHOa8s_#}*r%Sld-d_`DnX@30Slw7uDPQ3$HS1yQPQy>8BPjYIUS`R3#Xo?-Wdo7lQ z4j_Q}-?2vC%2fTLw9+;<*plqqs4pNKVxJ2EQlkR^0boWPoIJUOg%Jvj-k-e>mOU;K zPk@Lm2)(m%BMt)*2bg`1YRdF-QcIm*=aB79rUX=eCZal=l=Q^-!bwC){gjeORLzJj z24GWQ6=U-nI@Y!+MgBlKmIl2Ni#LSOfXyr`ixsSggPy)wqCsZ$chQv-Zr#%v#h@-0{}0OIuQSyW_PTwF9XHOUwl zkUUPh_ikhVjE%*WX?a_!LoIBt6aM-y5UkvY_&`3`0g`{vSpX8xz=VGchKJC~)n@`= zRg|vK)i(5ucMrcP%l;7t?f;0T5A;kFFq~(b6@$~4hCt<3Qom0CLkCDST^*IcXPQ|_ z@9YAk!wbFg3)qiId#k0@2~q+Yd!1qRZ&jNV99qtpy)Jb4S? zTTD_C!k%r-wRZR7vK~;IEi5i}cZ{meFb9J-Lb-kLzOd(Yx)`H&3LuVeLp6eFae28M zR9}mmu6(X`Lu3w5Wi#@7d7A2V9rE|Yg`4Az18Ds0w^OBj6AjsLPsd6EC@=uWP4zdz zH;Umtm%mpPZ=VBd>jn#VcXvBTKP%4331*Ohkdl+TX-H{2dG_qtsdQ-0@eXT-<{i&qZ8!vx*YS{fLE?!O#VI(+Hg|k&v z4g9pLbNpNvj7r33@+%$NhF&1ht>Puj{ZYTZ2jbwn?#@1M1epS4Cj%gwCr_R%=lO2h zDJk7`Tkf+tf`cV0HS74Q)db(FMu=bPuayKg&ZPuLy6b6ZJaB|1U0Q)-ar0bBb9TnP|fb`W>_D!nrIj87;kW6=zSrq>D}SrQ@#dg?jrDm{=D zj*gG-gQy%671fepo!SkmNlI_tWHmN2!jY<$mXB*|Yi~@T-*y=YjNQfaBW7(j!vj%N zD`Wq3qRDi(iI$u zqUoqz_T8GIh=tRuCprJIJvVjS6ouENw zJz*M9Vq|V#g$)k=utj!%6I5YBa4<*Fu4>aM__e+!4{2LOe6Pc`#cLxa#EJO6{7wRo zh_)RFZRKbw`#q;E4U5w~myesAKl_QUjJ+Fcw);W1K)6+N(dPQI(Lhe76-bC4JyKV$ z36U5Or2mK$O0tL5GmXrL6c?jXO6tM1F6C5QrSDeN%YAv+fl3pD?Y%3WSh2~6cGo^K1&MdvS7f6%{s33*K5 z9)&0W?-x@@@w;djyi40Ix2!?4&#e+GO-Q>1Ng!dWEc$FNwP}5Gk8F~!T&SMA4Kvr$ z+5!^TRYl2P{aItT2#}nL^eE?G`I}BCbljf(=^7D1@Fo{OQ<#9@KCkwZkMITkLbGG; zCssRVV}0M99XRsssq#0Nhzv>C&^f)2x4I9_7Dr{R9`jEdc<=grtctCe)}pOaeI zGn^JWKfHBt!1}bp)(F(_d|DpLbVeRDkL^N4a31DRc8;2za{W>!7mR#aXwo7)b^|bu6R7kTeGS^EFu-u zp`Jm}RPg5aH15THUe8XGz8U;+VMg`j`8H}_Qf$gTOW$y>C)))_Y|WNyl5Ii&A4D%`38zO;#HrW^93Q~Dpn^hI;{nZH<{7dhgY@W<0NNa zi5UxbUsIwBPsjHi#BKV}uM4u{g0EOD_^vAS`TTs$wc>9#V=jhvuB9qc(BBJgDPy_W zi5G@DPUa9e{9?*7H_!{>tE4B#t$RB3_-EBYI+28jn*zVa5$1tOQ+l~QTE0Tx-_|~Q{|~d{!f*B+EL|8 zHV#+~RfgH4LYOkCoHMFeO3?@Rg4j(yGWB?H83OZU-%Aa?-tja|*2QZhHl4;$YjRJ< zeXbQh&P$UIu9{=dtL3`8Pfx_q+b9vl_vbce)XC_XT$rwoN(`AXDl+?u6zltNRfJAL z?{}t#zN?65S@!?d(RLa2L>sD64IL79`_`XEJU3xI<)c^yM=Nsp7R&|;QwliFUL*ja-ziz{Vli>AEIZ0EHv_D;c`S!$2h#9);jyDlU z-f-RaH${mYNj*Z)%<$#x>P{b3XY=)9bDX;(Pn#%=cmCDXyXvqQY3Ro=d4%Hr_#EMB z_cL_YVQl1Fh4Af&j!cD!)eqTOG z*S{<^|GgXq+@<{wXQ?z!4n^}bZ|Yq7aTgYIj816` zB|Z%6FcjQ=z0RfeieVb}R>}Bvah*HQtN9b6yDEwGlex@z2TW5$P$~p3EZZzX?4yer zWAdq?xjGh%+$}b>@hO4(+Bdy(?K0{kITOEU?a-6bsGbjfB{3#)I|kNAT^40rmJc$O zJx$$qzHgqXZ?`M{Y2OdRxvfRq`m|C+@*?1cP*$vnAgAPq$ik@V$tmfD5(~#`k%4HB z+nZtZv|>pm!O5R-V^gQFnApt5CwX0opTF^MtC%6_Y+LDWK>gKtu<9xpa^AVR z{B7PxcP&(GRKGB5xg->mPyxZRh&6qpX^P2PaxhvR7t9l3w)3W?Y*eN1oEUMk-$N=P zWZE@rG&uY{>xFCVR9-hmv|;#F4^+UGpr*^YXvx_1P>smtY)Ag;lv0=P+KIaJuH_HC zZ)=5JyhMFHA134O*qJjs{v?7*Sr_^THn2byP3_q!M_3#F{ODs~x?gdE*29+vjFgmi z%8~i87`dqQPI#jm18nr`+0KK9+39#Q_^-r-p=9R!RD7=rlEFcz^Qht~VXN{mJRsSI}P@K(Z&A`Hv%*HAH%$Tc}*J-J}Q?XumnzM PVkyb1zAS!W^6CEp6js#= literal 0 HcmV?d00001 diff --git a/doc/img/Spectrum_Markers_dialog_hist.xcf b/doc/img/Spectrum_Markers_dialog_hist.xcf new file mode 100644 index 0000000000000000000000000000000000000000..6794faf3df4d07ab2aac220cb8e71e729acc95c0 GIT binary patch literal 72050 zcmeHw30zd=`u{M)03znvVq6tX2Qy7XQ9-t0+(yAOfS|atBPzJyN-1+QTh;2e=Pl8e zTc)M9DQ=WfS+w5j*Lu6%vc;_2fq`?*|NAcI%$dXBmKOGB_`L7;ectW7+j-9WJkNO# z1%*X(Lzd*^hb#&kFu-6i_?&7-GrKVN+Q8oV1vztO&zT?6n?k?&1=(34Vf1gnwMfqPk~|=U{*mM* zC3CZK3X2Ou284lH>Opa$-@@X;1tEpSIWzJ~NQpW5`6YP^LTG+Xm<2sElQwI9#;Y08 zDxP77B!*X#A;YiR5Qe?_GQ6Jj7r$^T!(oFM#vfv6f0*I8%NR}=&T#U(40AtZSeV9e z-ZX{_s~IlOV0hDA4A+p!#wd5lVl?-CuHgA8-2*%3w5)VH=IMNpxt~&|G zIpJf8z>#=TrWFqZ45TjJcf;Q_;Xq9|L=$eU2?uGyZ8YJw2;+J_vBQfk_G){XD!W}k0{bFpANlATu2WLNk|W@ zll(cwpi&oP73XHn&sAdjl@yldg$x+VGfiukR!LsJ+`{>JISUHs6o<@SFdvcn+aM(D`hf$&2S-Lks=pzK z8xkHlXutq9Dl!6b0|pHpGAP^~7fEi7nF~u66y`4tnVpxvfLwFVl72;Va`QrRmlkIg z73T0LdUL34pHYa$<8GoYCnKZz`DSVeWfk$+_r1|e8lrsfQ{qQBx)@BX5%t-Jx$nhpbnhkyq+3rhYTxm#jBqK>MI^;|^fg}VNBob+K7!4xIYobW4 zCIj=;d?52p22pUNnB0YZPz+JXeWrX~YV>ktIf=Ir4Kq2+Xt5uf=T95QD3ih0A*Ye9 zD&x?_Fv2mS0u*%^m7T^4KZDN>V}-m6`6|%wy}lJFy~A7~zl?fG%tm8{v;%>f9TgQj z_wKH!*g+C7BqY+OLf(Nv*;RqC8Y%9q*oi?w5<08NSxqCiYa*qcC@1b9*-xLbsVW;^ z+_jT7(?}a+HuzT<4f0NOXD?|Wp-t$X2{h@jG87n?iV+r2)L~$H87+PWIn-#8Lc1fQ zg)A0d3r41w*&_EuRS5f7#83-TYOb+ZLPL7OBk=)<$GBMJUKp3&p}IJXfJMFry>;gs zh@}#0>FJJ>LQ#Ry;*LO(Mw!S3yj0fcqOKmaaYj^0+U##J8vHDBh(ehRk_CO0uOppQ z`j64D^pNGsF3yHZS$1|YG&tR0Hq>8xL$&-J?xma$YJYm{N;6Bw2>F_1`8$l!jlQz< z*=4>;yvy{-%0XX#hk@!+cPc&LnqBhEJPnJ8#ET{JjTo$sC%@6fKP_L2!FgG}!o=!Q z^6!()h`&b;_l%d`aiOwXv=yU$wol) z3$(cjbqF|%4_wUBI$X;!f}_<-8AP?dmBqJykmJ8NzQXYhj{nE;2aYuigZw#O$uX4U z5RRibPT*L;aWThRIX=koUmRcI_y)taynk({FuFb8l(m0`(>pjG;P@HGpE%Yr?9hs1 zXNCqtS-U^jVH;JZb(i|}eXccJ;ppw*OpalAgkD(Zd5tpvN<$gBas{reai$7f^#VI> z{Z~||SGM5F8fUWL$`{ya>%YRHTv?94+}Yb8J1>_NpFC_TJ0Oxib%r%Npl#DOm~?@4 z2RDO{!BJ+8XGo`&##Qh*KHxOY!sGZ*7z}aFGJ{iwkMe4m*U=q&IJdj7i;*pEZT%!% z=Kmkk3qyBolHBgXFG#WpbJtJ8>Xoq}>8`p9zaYtm$6Y@OD_0J2%JE$cA#~Hje7+TG zeyb6?Gj*G$Z7}Hq?T(f^lW<$-AM1i1GL&f?o>VTlGXBI`28`q3SYS6Ez7nXY3``-B zB(^zig0NpRJO$?uM;_+qswp7KExo3IJj|QW6c~O{GzF2(MNt41z~Jzq5ktZ>Du58G zKD*FLfKGWB80Dv|3%-Nu^miozsH7p->0u?l%*SQuU*5rBq7FOu^`>%Z-J=k9$|13= zH-p#+VF*OSy7KbJjO(QG^^6wRmv4krf>=iTltUDzxz<56L!?i+&pOvX)~`n~77!KC% zmGl8kWy22a@yx+&s0;q*LDx5{+o(dJ6#P9zqc{DyXaphfe))wy0ll}#bJQsD{e~cn zbU^L9?x+vy5!Db-+YrEoIbXx6weptU{+7+M+(V6%uKCR*Hyqw6_vKM$Lrt%zf3B&# z?sd5njO<9zFHw zH+BSa<(1$GssMxMrdx&!Yj*5QxjD|4eG!GH=64mThyTO&Ygxn<()L{;?B3p<67jpW&ZbL&d~}PlWIoebAPXiSPq(kh%zem#Pi1K<#ryt^)kaT?7E0WI8<|64dFOz8Z5)7X5QzRa~ z*XquV@-$gV1JV6oN`d|k$Pd&(5=u5YV336AklI4+u!2%kBC&J2Qtac91i^w>En)J6 zsfklHNid+MrSVi$lVWzjHin##T3{u0$YWWt8W=krX=&VEN~;k_H8OlsEeoM?Y7)iv zp5)IY`IA@%IYqH%){S#G1pJYvDjk3z;^^$ESrjb z6Fs4pPWaTcNiI>hV=u}60y=^+@@}OuXlBI@SVt>pGnK}pD;51Mps2%;UgHZRBRT@3 zo!k@VI%-6O=+w(%>DjZp#nKDyK$_Ug5=v}vVdqGFbWk{0=eo8{4~!CCRT2Y<1N_akm;JJ|}lUXEzd`?u;<1 zl{D&ExxX59>K+sfK*3XiYMj*VFdDv8y-FCr*bUfxQyd!j*oKO z%yBozcNn(FiD%po>i3I=HHL1EZXV9$xDt<)Zg7`2diJZ#KLv6LdRu|sYMiM8y##%! zqqa}oU@qdlwV<~eXR@HTpf7dQ_Ng08RIIl!P(d#02I;s9BtAD%IsZx~_;q8Cv@033 zP|k}m5|!#$>t}+^5S=eQCpr_|2Haii{zxg7Th9%J$c%C*pSh&g|slYJ|BsRHq zB!(E%AbXUzqEr08#Gkju+Ak|=+JxS~2Ch@>^W546 zVv#(S6Bt0BFTXRXlsHPh8F5ZLe=wlWOFcM&kqmCw9l%mRIOPmZoR3h{?D&YXO3#;% zfca~G1BbgQy-+l82}>Ks%M2s$8Q?dpH$&p9We^^88;c)AwU3~m=Ja-sZ*u&Uc4%iN^1(k7Nvqol)xH3O8jx4d+!x+gJE6ijIvT;zxg&`X&wyG`k z$xzvj2`P+nwquWr7V57z67HE+=3hn1?a11=;C${<6qyE-r&)6nnQljWo`5^wtqwU@ zsTZ00A?}REzroE#WNKcCel;%tg)(zPz0n1koZ^ z2FDU{@NgHS*)c`XFd&ljQ{+lpUj)6EM4%-*{19!ziAvW_VlkwbKp(h~QfuQwANrBQ zg}r*B0I|&H!MCQCy|C_71r#=__pf*v3Z_PJi@0YW&f7fQ;IZ~!eu;_58gn}=3BXn||PC|E*3q@hHRLJ{;R~?9Ooj zM=QrN!%AL$pt z)^P!SUO9&YSAUeXXX_Mj54x68@v{HXm6Vxk%%wmHZtP;GmJ)|+r14#EZEf$l?h zu7}recz6R&%0Ui-J&%~>NT{{JM;8MrW?kK*8y~}IJAPcwzg&Kpl{evza|FyhWtxNu=S(Xk2s&s9qrPaqyzE`ST{d|mh&5rpfOZ$A85Ba2+ z<|lADgG8x@Ievc$To#xR!U|02%W)_};;Us4c5s=&!DR-=LYB|5lH>gxpXB%w$108= zb3DlLBtxie=r8$lj=eZWa2&xgjbUmO!|~kMm+oM6dM3xY9Gx8R=2*^g3&%>1?{oYw z$0H0UFfdGL#{<9rd5xOR>6x#8zMpIP-<#jt^$jl=g37}^+{HZA=6NmpSqstv87vyP+s)>F$ceU8+kDa?+Bvdl3{LW` zjq+H7e34w4j}MNkk)fnll5wSrN7Gmy zZTNFsnZHO!*(jq0e~MFD;Da{_qOo(4otKc0Hq-WBXjXbDifV(%gRmKiYCikXp6PJs zyIX3FvU+>qS&3?c{{IuzGWf^gFl3EHwTvY+mU)U82P)%kCEin1!|QjCm6uZiiM$QT zBr$(OJ!CZ&3;V~Ddx9DXlNZmpgb~d|C5xd;?`2 z%u3}5uT#Q!*^uY(7V<8#kryE$FVYtx3Hlp+DGehPM=&-pSds?ApBg42oez(P%t*h5 zLh_glfpBhAUe46xS&48M&&z+7@8)6{sAmqU6o%DtJWVArvh9D!8>FQ-ylimO7+?D@ zS8F0L#Fu@Wfp_=RBEAOck!LZ=?idVi=Kxur%Z*teLo_4?*`2j%;(X+{=Ejkf<$m5A ziF`I%^PBR4n^0vjUluo&%T2k@F*>(`NA$T+VR~$Hy2N&Q13Ey$^g+-kbb%A?iI{9e&RmI+geFaHjG$;Ie(d1urf1>_?do zw$r3{GJ20%tXG6n0e}nK}RxZMSqR8j}KZR~>6p4#( z3=7DjP<~1p=z^|Fr2DgtilwNjGh_hHYtYIyjx9be2=t7X(K)$Qca}SCO;wq0m(RK z(|=EW@kkH19V{BQs$KHAayw~5Izg52t^1Ymd8SSgXJdAD{zpdp2hVizg{aRl(coX?=6LjkU<77FSc65MB z*IvHlt)sP{-5xcoEOOPYL0yvV$XFkp6I8mQ~$I-UET|k=9dO;5$fSg za$5)}p>Tf<^z2`mPbx$+^tu|o);Lo&dJFomg4(`aiKha3P1Xx~PQJM>$A@AMeL3tK zZluCnTPOWCaVTTKZ5gys&Wk=0mFmdr=Y`D|oxwkvJLox#{`!}qED}z5dvlUSCZRo< zaOW$FkaFa~MYhz+zs@@=%TRbTkwx(TBmbxm;+HJKASpjZ-r%xG$v>0?ppu4Q$wnpK z(=tR}tHTHJ*KfoYoV-bg_xR)u*qkF=v%Y-Yx<{4w?Xb~BkfgXjVULqM8}UUA5(yg! zg6l{v{^VcAmHQ!tFGW1Iu6zT&ae>zaiP?#KQv(}ed|hJ$PBkglTgR?PuT8_=Ekb7! zos^gJP%{^qm<0)!r=QzEi(o}^Ta|ECe4<19<$U&1-&t9JAcVA=vFFEQACv~Ug^-7^ z)BksCb*Eo*>jUvuwMjfE&*V`U!wK>#H!)Vu4_s@ykdM+qt({K z12LFTz&QbzzxhL7{;3UQx#o-i^+ExXhRPxiebH4tU?p$CmqDK9m%!v|$@39Anj6O440cZUe2)>!@sE5;kVEbSU$+ZUCg)f^mTh)8?TIEGmI9=XpzQU%(wIOb!)F1 z2&)QfksRO3IS8BcR%jLT>Big-k(5(4_qX*<_a*d7(hF_ZNM~usscaH&xS|~YOigtc z`=j*Q`nA_3Ve(Jq%KXqG{Bt$8yVxJ7*VeDSE(t3wBGOxL1CiYnzXKE6F6EVhzVKcs zo#GuNFSU`W(2E{=(d1&&`s-hcqR#;Gd}&6akKrn`=l8r6M;@T(CHf3#CZf+DXohjl zBeTzcig?3!KF-xj0#Hdq(D|ei?>57j4Zc(s@WtC?L?%!W|Gb&f=Zp9U%T)GIP%BHg z%`o^>!aHvpt8ro2Kwv81A=k$0x8JR%1g`(P5Z6c5rfLPx+PI?pcV{kNpn3G4I;$lA zU(TGS|Bv}1hVhjK`VW@52%{sy`AR(oHx|7VW&m&dWf8I>*yJ=wq3Io|mFCgBfZSkM*O>6vl+n z9%DoEBVPT#)W5T=``@LJe`BVV7@JF2`S)sWBrCa3HE7kLt<}xVY1_xbjI&i5F8O~D zcM)|1r}^J=ozDCMMH_4twC-Zd@%s9;*CydhLaz6@8{4c$_*ScpBdcUVUT#QY*3!KB zB#!Jc$=+6m>rTRPPWT1`c~gkQL(ym;20~^3+d~unnE;UG;I!>8sa zpRXj>BX$qs&UcS)L|M)6F1}ADQR*O=Scbc1QuOH%!_m$$jinP`Eramgq~G|h-^Fkn z9Xx|!&rghgdp*PIHyD0Mv<>)uLi&l{e?MjTD?hy98bWCw<4T6UYZ(TlGHk=l5F?VWwvkvT(C+l`!)V+ zNvY4Adi{W~z&<_^k|n=yN<+S7@c6)KZfbl+pq*1y4j;HqpIY_Tj*EBWPMEujfe3}b^)PEjJR&w!y3M5q_(@~b` z9~G&N8;&PG@gA#6m+3c_DP!;9AOGWY%s=|ucof%+^Y0{b`wC(%W^FW?Pex2_JqkqM zoa+Q}eD;ta3n8WvB4b)mF3mPfxDe1r=x3nyv=JmjY?~e@VuNFQ^oTA>A3x72n0kmH z1AC|$tZghOt-)4{Wne++vf@#`77hh2kwMfCyiSd`x9Kn1gY7}o z5A3hTC$$+UCIu%2Q9p2?8t-T`M05l@f~X%jM2$~wGgM3tP7b1e;7~O_rA?%m5}Xo5 z{lG{yeter@;`re4LDUZ%riqUx@y2M^qysfawv94TGB8@rkk%&VL|SlKOibc0zpZ_y z;cZil2r@86&5&-6m9i(AV~?i?r^m*Y%d=x+ACc!7V@1$`u|5&?mR#YOWD@buH!v;} z%yH6}&za-uCInB2i~I7RF-}aDpMwuNFplS55r~`_W~=n&^JZ&ZMsSAJR=>|=m412O zXca*QTD2J`nG>Whx0n--PYRxtkRoqO`tH?)ul{FD5J3kfxO3JUCfjTd<231;oyKWL z#@K9=gD2Z2%Fj)e57|Eb$!HTn2iklh8c2ijdC|X{g?dAV)mrW^A6a0vl4c~!+pM!D zSy6kVcGMdt#>Y=LmPtPxFqWNi#K%tzo*17{|C%YDG&^1d85r+wjAS6=_QiAN*g86H z7>QU>kTu-T1(SOnd8|j%5OJNDYI3j2acv^Ralzw)sBemp-hatAqJ_J2j@-iCn+tdE z5ANPSxPOlxgVIJ0pO|e0cL%BA?&09>;lbe+%k|0AGSj2M-9c%%`w(#VA;Cky-QjDv zdla~PRB#lyJA4gyj|F$f^8?(SJVo5x-3soG=LWbtd3w0-qXcmGgx~~lcla9aZUc9> z1>3;g;cK}22ypii!6U%k;cK}2h&ItA2HZV)-QJ43hJ(A43~uf|65Jh+shF5i+bha$ z-&YRq4pPJ2M}bRCaDcmy3LX_3dsEf4*x2P&+2B&38*}&3;O?7OfxC|m9vv6A=>_oh z#H!WsL3?udG2rf-SA)Bc2_9ojcx^4X`}QZmRY7`k_p#vao7aH5j}0E1kW_W|m~D?F zY~Bj)4%(BuC)#X@#&l`R1IF|tqY(~Hv?W)qPOEy^hJWL06G1oP?qjUh75-I6@~l?U zjKr$DtJ9ez_%!2e>BV)%*{8LxJzJIKSyT^gM#|6iMyTb=}fBfzi?#@Jkmc6^WUH|jBxO?|t{Il$9hsMPX>ksY@O2geP;O>@S3%EOc4R^m5-2K|%Yr);&Yq)zq zaQA+}{lMMfYq)zDxO-S|7`Qup4R;>^?mi%R0JuAR4R;R*cgJ%E+?_ma+Kh z2Z6i8*Kqei;O>Y4cOO->tTZnk+?`}_bN2{v_lV$#n3#mp(rMXc#o+EBHQaqLxYQW1 zs^f!$2gk-vcaDpVo$7ReOM!08-G_j?FUSRV$3rhJZoy*k^%2fI_~aqzv3HLIcVCbP z?j9K&X-!x@8{EBgF1RX4PwpNC?!F)&+#S!$gi+3dk&9;~EL;TcPM)70d-q{B+bCnA zv}mR=@yJM9}DeV#VRkTsCgt?s20= z>A3qKaQBiNaCb~lbo6v*Vs!Kr=Qwb8(BSUzPG_tqcOMAuUXl&&J}`J-R8;)ZrIRw3 z7lXTl1b2^jmPKp0J6|~9?jwqp-H;m#zK#_HKhc?|;qD&m5!`)hnN`ExuLpO(KKOcY zclhA$#kt__K8A>{eWb7fT_d_q6UPr8&?Ta)UCbCC)+M5wU7FVC^x#Ue+ta1HjCSeO z7yazg#FedCnUhQH_FIm;X19+yT88*rU*+*XKWn$|c=Fg7``kJq>)juIeqqdlqo@8a zkQF-owcY-6{x@^&PrPlfe$GDOw_EH_C}0hhJ>6PnW0Jmmb+@ zFMo$OR2+NkGo$^;dU>(kzWMN1&)iwFrs(LeNv+O2B;7`{Q5z*q#o)zR;&RK3P9e_V zqgOZ7QtiXMvNV$*M;rC2Tp6KLLAan~sVf31Y_QlsVR(trxi^ChX8NDTet9sRc_{EANrF}-PX>Q#} zBTa~lEDYOpJO>|?Hy9fw6GfL>QKDCi94A_mB>BV58{U==CmHq0o>~*Sj5WHF6VSOu z#%=h&Bd%{YCxY>U)T9y;kxY})#9iaorMNXHOpoHJO=;oCe;7yhR1wrta$?qSlT{uB zj_jGr6$m=DfeVjeizU;XEsq9Ari4zTpwS~RZ36SL>(poxm_C8|*mr7l2~4BFeC#|m z+61OkU_SPq8hrxODli|rPmM-_=@pod{ijB!z%&cY#|~7lRX9O~);a68zq<7nu4HJe zbI@xVj#I_M+Q(O|cxd0V@!-f_6%maz4=yTE6OMdiRRK7%cg2KP6$ChPVbua~Wbf(; zZ^{U8WXJefTlY@{NA{|!@T!;qN8VdiRZ#+t>|JePJMKk20ggO#-klo@z>&SAQjY9J zO#zNPci$9nWG`uyBR5u6fFs-X-35-^IEiv(FFFfwWZV9=;K*Lm-1>}0+6xz17}hj! z*9NC)|KqLEu7i;wZ8tEUrSgQ%p$p7HQT3vuf0{~vE)dpyE0N}-1eSk&_0A7rz z2JHMw~_yZ~|m>bb3+g@OOT_;T`B=qWs<3t-_bt*IMA7H`YTG*OFlaB z+kqLTXeu~GuZPk5Lo5u4NnYe>CO|KdKUjU=_x1V4m|7}Oua_S+u9a7sPA^X%I5r|S zHcx)m7+Xu_=?${g|4g#>|7&-}y2;=Z zbpO7>w@Q8zd;$a(iq5he!^FD$swWqgzWCZC@Cho`t*CMu%kWw9(@S~lcG+TMGpg6Q`B%HopWuF|O(s@Cho_-CQ+doUKUJpaUy?AkX)zgGeoKDEIja>Q2yhSf;bub$= z_{4oxv%n`#C*oP}*_L3nro46+vqXbWOv0d?Uc`e$2R`vUGe(0?jIH{|=_NcE)4?aU zya+yl5eA=_j8Qx*0WW^<%hu@V6v%^YMZ`M5Ct}xDO*^B6M@71*o2s5&ylnfc6Sznh zzrXy}TQ^lb06uYA36F|&kx|9Z?AcpB34DS`c+so3?0NP1(x{6n;pzDV1P#I`VupcF zAPhcXi4O;#@GgK5>mv3O{o)6LPaq6F(I|nPZY>-(UxyAbWXhmUFqYZ01Pt3Gb4}2_jo0jGSHO zbS@lYN?_!)g2|JMo%7EidDuo|&&EhBwliZU*hHZ-9c;^|X}k@DrqCD~y6qBa@x}ZDl=;SLBqkdQn67`m&CcGSq^Nqz(Q1%z9dy zq?T*-&J*3(!-%IxSR5#6iNI#Nni*Elp4+V`THCfV|D>PFJM9?i^pK@rk8T%=61#ZY;N zr`VyBLUg3mpEOk`dw5DBo|F_$3V0Dqq#-21i}gv0A_-oMPmFJQ(V{c{Xria3L|T?H51rLXZ-vs+yv>Yxc$%Md z)+il`Czo;)HE&nF( zB+cu$iXlph!&SU;4^Lr4C!6R0B3(-o zG{zCdP$Kms30^e5QW#0lm_`&ci8O#DXe=X&m4xxMM&^;AVbUN{!kZ)lcA+h9TbO(NlEKTH`c)Mg%DB?!0vG;W5-$2jj}sr7TU^YK@98DCxE8TL@JN#bJbNO?uL$q(hX`{=guUq zwBrn^R2R3@ub^(ldna5!ZY?wyNK198OU(JT%jzmhXWnt}fH_}UqDx+E&XYboV9FDh zKAP{FCoOg-_a)a`?3*VR)c>QkM@lG3S>&557RfiY&XpFq%g{D1^vx0T>hEivBQ4aW zEHGzFAAVrU7EAW!`({fE8YO4dF0Xy9wBXk7cbl^sC71YR*4_B-3DQ*2no} z*Oj4PKRlO<@oAK5&Z=Enw|!CGE#JLC21Qr61Vi!uo2E>0;lo+J7!`LinZKvz`%V|L z>esZ!*tk>3{5>_#cbZrrFKdl4ai@^^qbZs7_jpN}Yo027@V;rPSo~_1?^J27uHYQ= zl-knT%0<~XAKYo4BF)hy7yC`FTT*?(_3gST=E+jAF14uRB&kS=WApcvI}Y;)Dud_H z&7XZ_d#g0kj`_1E&79x1q+$NdBs=DBJgkp-Ru)2^tse7do!b%J zQR3MAS?6>_AJjNHe_rEAzm`@XcYXitG}13!i6Zl)+LF4L=1%|nw^e3zu~Bk{^lp_Y zLoB|3k}vw_PA2nbE%MC}C)eN6+9QR`pLMqHL@`@l(t4sa+g*mtpLLe+1Tn+4s`UhE zmM&$cIbC}1T~oR^WA7y2bZKVeXQro(&|dyI^p`?Khw==QlYN! zjE>`_8A=?RKWjn9RH=Z*Vg57}=FewKL>rur56TrS5fQD0hTK0LTJ>>-38WdVu5$IE z0dwb5QQbRtW`u9&lYgtI2v({8WPVhtq;h_)?TQe|LL)VcXbeskKMa>*KU^K=Tc-?^$W?+PN+*c^pIo0iP`OhV`CS+ zTCsB%%d=yzQ8@YDE|N!ZR8~Q5kd_@X3exUp+6f28K3ch>qJm{CJ((e7AH9QSeD3|! zAVGTQ9pu~adOP9!u`li1zI_MFH|xNI!s9RALh?QG`2>73rTh(KTl+4_wtdITue{2$ z-SUNSa30BavZmUBY!AMRY>(60p4|1)-UB>a%5QglEs$(KWq$J*vX%afY_I(bjT>>x zo-OY;KqcnxiOpiCz{ALTdH^+?b#O zTW-vj8}l!AWA?+1N!~1{zUId4iyO0Va9`Y*@HIDPQ>WEKabt!ChvLSBuemXsI`xjz z^5m@*+?en+r{rvCMeB~*%>!x=its=y7Y{X8X6yp8xvH^joI?V z{Hr`MH5*vml;q=W%4Sxxg=HIAbvx_jl??jrnEpPRZ7kc&s$1IY+#iyIl?888G9O0- zHCtKSp5#M9%C^?aW)^R$<&d}Dy>4^8Y;JwKGz?wtOfh*$3hWZ+DD!zykfOp{S;B>e zsK}hz0Y%}07@nQqYGSw`S!M=KV32+}$<^K>g-1aVZYhK&VSb)5trIKMwy0rtPGlrL z3}=~P9xvgI#qpg`OHM)i46z_5oWvKHQ>Fd)7*lJG=%5@vf``M-FdG^Mm4q=FJLB zGVMCGvtq~gmn$~gZFZi`XJ5m_oNpfD*~G93lUpSkcb-JHS6+VUg-W|^ILU}{shikP zn3{U;Cw|bnph7WnPADY)?dh$r;NwoCl#G+Aj{f`%v9Tx_ z(@%X|_4c#RAm5%uqfJgC8FM<0lX7T9g@I|uUwHB9r=QtU@k%0wXC#@u?2buNHcg%p zIKFn{-l{$OUb=6z(IyQa=b2m(m|ABaJtlMbm=Pp3i7bz-j)_thEu0^i5@3@?j%x)i zfBK~MW5r47VRW2)lWV^d#jVN7_Tj!ZX;e~cI#p0rrVpe`KhM{3qOiC))8P<=apRK4 zwI$PoTP7@$_7VmeOHSZ8azA0k;4Y&p0!Zh3Ae+lFQ>Eae*}1d*JM*zw(CppW4xahg zE_iv-gMYkCvwvs1c;;iv;N`6m{vkBY9-i&wnU8IQmt8zK(m3*dz1RJ{mt8$LQdm}Y z0yvVF4Sx$qYT-yN9I5$nBvoVqFH*ylBdJ0Qc##^W97#P|tGqnIKe(k4T)>O8LX4L; zQTWHZG{OsbkyeoLBEEnl4IyvxdlhWFh%?|wmN&*)XBLh)7^jg3m-TKRY~1FiwC>1R$aj zfXFq$stD&V$WcZjzFe)B-=ZRoL<+guQ+f-}h9r@XSgpu53nD`vk5i+Z=!KqS)S8=}!A9(sNPf<)e`v&=|L zoID|nWLzN#IUn!k8EfCzHhz5C+#fcm84EHqu{Fo$o16xaZaPLX5;^pZt)^7zrTqvI zdCO%fuvo$(AtKOhH~&hqK_0DrV{1xEYRS)QA)4mXeEAkjc$7c8ZuTcHs`*?ePr817 zES2Z0v*gjaAPuZJdGg0?=_DieG@2vri-%CKmY#@=!YcSbJO+3J~brV@;Cj#)3^0F`GatM*)ez zRAy>u=3)>rU*MjOotQOcw1s_m8e~t~q|C` zYg!s=xy4&<@xSgZuF4Y4Pp%+_5Zu%KhsDJV>dC%74Dy=r=(w1Oreuo~)Kt59NwR7 z&#?i_nca^WNm>hXet)`2Z!HWU<_`ebJ_E>J9YFT#tp!t>clS1?tAN-{p0;pDyproGa(OkO~aGs&iu zACDEi^>E6QFWvTeO6ru9lm!hbnR0$g$|ny7q_{G-?cKXAliL?$3$iEwcx?8qUnU=% zf7@rt6SjW&`G3Xa>GG81(=S`zgu}|j2w^5jvtTBO8xEbuN}93=6Lp_ ziH=30W12kK;rQsmK!?w?03u1C*`s68Y?9US{BMtvth;wPChnEedDhEGwzdM&1^%i} z@i*UoH2>=#rpl89;iCs(x5_1hO5_ayu@Ja{sQfV>lloI7KSJsK=`IwZFiafwq2?s7 z`%tzfylCU47`B4(0Rae_8lRoI^rDN$krujw@ihaiU5(EUaC*_iv-2T3b-SnX-IHR6 zN_FaXPvyHOCG}~RE7&^d+*WY?z_m|2{`fhpVac-5_~=#W4mavlw4Se~cv4d5X1R(Zd!6Gd?$v$pph4%fify>U*|K8k+DPNrvs}foeg5QC zT;`W3NW;SY@K7B#5C_bq8FPK-HO!qcENXzzkT{@VmfzHdSp@?U!vxW8DGrz{7F+BV zp_N6v#!?iJAr|$z#$stDi$7at2TT-a_lmJ3P-}3zb)xsuC^Aj4TP3)hDYez+7Wc%hcWKS3vMJ&1f{d!6LqssiA z!HJ~{(Bj9%2Q)2yRJ_OHZt-(sxuyBFc#s&TX)zgpcZO~*Ok9d!Qw*rRIa zc8W>1MB~4D$AC-3gvUj+wDdxp6Z0I?GBf_;ST6qe7{>Qf39J0)oEQAXF2GK-le6t@ z!rM3FC)=gjPJ_YlCR`F6`Q^e{Xvkm)2Xd=GOE})f@ga^+bKK7HO^%;({E=fV!z%(f zUd6FD$DtfYbDYR=2FE2FZ{zq7MR;ZHJe+*dX{?Ykx4Zb))A!GPZPJcE|N3p6hBCL4 zHDMBe;pw1<3}tRd?l~7(27Em%1IF=iEU+63tE{GNYToR;oCSq*ibImJN@kH%cI&xW z4ylT<99A}f=Q&%J6T{U=gK`a zgHPvi94B$i*A!IBp!ikqvh=GyACA{^Bo7Y~KbT__$5@UD97l2-LlGXh m0=ZMXJ9Za&Pa|c{CY`Nxvf3NFpoe5Mfs7SdTIA<-mHt1|sib}Y literal 0 HcmV?d00001 diff --git a/doc/img/Spectrum_Markers_dialog_wat.png b/doc/img/Spectrum_Markers_dialog_wat.png new file mode 100644 index 0000000000000000000000000000000000000000..fb07641a61e24b0fe30cfed3a7cf38d89aa900be GIT binary patch literal 15694 zcmcJ$2T)W^+budUFo0x5az+u!C^=^o$vI~vXUS>EIlYLWBoPsiERu5)5Xnf+NKQjW z7~uB2|5xXpQ+4b7Rrl1P3TD`|XYbzKPd~k$wN}TdsVLyzqr3-!K=5C_kkx=d&=BDL z6*dg~HIaPC3l41lzfz99clN#g-F$97Pc9<%EH#qdL(p|@sjLO(4WTDBdX0$1L(u?AKsse zy1mt7_o^K0D7CWh*6ild9)D`u=U3{!xLT@hU+SrP+xf!8RDVOb%=}SR^y3#qc^@O6 zu~rfn8b9fg{xI}F{FY&gE9Dh~E=4>wZjp4@-c`rlvbRfF=b!L&l9v!;uEY}_^f!m- zgN-d)QlZFwXM158tqi4j^Hwk&ZvhNj^U&xz~P8 z5vWP9)rRRe+1y$)HB9B%5$4!o}W^1F?0DaGA!z4veq3=7QS zm4YmI1wVgfnu5U#j_V734+w;l3H6KSQy}354r0A|sVs-Jj*X3h$?!6f&<7l%cq6Cx zM#ja-$=dl1M8@6P{Ef90y|>*PTY80;%4)hH1Y{5hJ>;dVl$Ouz{(`TMrgkR`aq-&W zpMz_Yk0a7g4QDW{)X@|aFiBpMV0gZfC9-)iFMp&t;YQ&}?Mv;Zg+Wb2CP|^r#z-Hh z@!9$*rh)Bt>!H&>uUnSrYN`sH8Xqf_UKva)}49vNYP zS3FlnMn((x17c!gXjXJ|G@8o)a*(Gz=6^W|69gyzHwU{RPrx<*-!sXV!6pBvga6*= zzd86nwdmh7|C?t1|7kn#bU*B8ywdw;J^Py-w!EDdRUeCs`#HobG>XINvDH{ekj%s> zbC=tNx#Y`MONes>LNXvgREssuFyM8zO4P4kN?T`sk)S`I%@<0{4`kKDJIq`&hfCF1 z=&{k+NpV-#))>+h)%EqaNplx^d$E`l61$5v3on&X{e26!rDMU;vquvzJ9$|w-n@`E)Yc_ z5fsn{s~@$M_4D(~tjq!J{3kV)CkW|-9SVU&$Hj$d6oZe1rDbG}g6}SZeNF}ij?U*? zEZ2Xg5n-U=;Na*rxIboA$%-a3@d-�paHz91;?8xg17V>aAo5KIC^dF1L8VN7 z{1TnA&TLtJ-qk31gm6a7oJ;t4COBZ2hcye(`p)1<+ zc9HXgro-9=4u)-?URh;j{D8nfw?V$C<*m{D7s|@}$J6erXMY-ZVq@=tz_UbN9wET+ z_oqH#h-FmC5si8L>Ydre!2;;%E{WSC!st)Y>iP2Kqo3pu=jV|#j`Fgy7@S7+W@Y0v z6*5m4r_|L*WA~(8nv`99w4k442I|cymb3j49awo&yJf*xSXgT{Qzi}~m=*{VP z{q~nk*I}`Xk3~h;hppF~W8>r5=QEm`nmk^6dOrUCmUp)|kPoV^{#$ub+;(G+NXW?Y zOH1(-3+}6m9$}dHZ9m>s^HUZ7`-mzxdXKqjgo?0j&82R;<9&hwIpm7GbbG|i%*e?(B+RO(sri7@q&XTB zmvs5^Xbl2!adGLhdS=x421oK_1-{Z1B~z@0ZcB^zV_+bT4T_pc&|&1?la%CSc<{}x zDffG&zW)BI$hvBD?&r@Ru%_KBC@8RXbo?$JeEjF-e9-m&bfYI5d}Cq1$!ot8m#Z0m zb>;WDpkSrtVzDdmVj*gE)dKbJmrUnQ|HGCj@Jq&=8iTJQ>y@VlVJjb7`TDX3o3J#> zpja+{5;r~e1`+g4f*{w1gr}Wm53$lkE)gUOVj3^CAEQjiL5znTgs;F-z;p4-W$3)` zX7&v!oHP!TO{diM0(rhaR~2}D&Ohul^9|Fm*3s@{J_L$Yde?R`Br?+{YvnCqn%5gB zkZX66mFvy%kNhN~n-33vvk0ZX%Fl+6FH6XcUM#UIb3XP4krP-cWuby0UDLv z)t6ZS4i~vgQ9LRLvyE)~a3sPFPWxaUJ;)U!3WQ&;kIK68K z-Uh^bN+gB{BgU5dvR6eFX}L)f?h}_mZqwfrf_0 z{BgA@2!neOo%^9ioatQ_RqablbbNe#NPc2`cT)AR#>VnPLLFT!BNhzt#ft8#;1X{B zS|U%+V}imd(A{f`<=( zdJRkXy~23|d#W*X%~&l<&;W@~oLr0C?m=j6)A~0`?(_88z-}D@<4~n z_?F;jyz{xQ`=lm#(CoM)_yT`T`rn}_@!j2JnHM#V7?l}VJ~YNDmQ8{=adW1HTU@WG zS&(<;vX};rW$+!a z80PjBC|klG{5zVX7F{J(UU`9q3U~;WhuYmtg^%D4=qYg+l2)#yWZ{{yctg^U6fmvf z7wK95K$^d?y#=4*>NOGJK?G{H-bWZ=-zas;NdiPcTR%5j<3L7+p7Pw^HICGc;WUML zN0=A(M7Y4YA{Nhwl)0Lie0hk+KLGC@m0#V9PtD4G<-C?Y$rHi}(U8agME=byRUwR% zlYNtXuhm{i#ptV?pGjc)dbaUhXYmR`z2CL=BO0u_VDP$x5|5?a*?s#j7DS4u(#H=2 zPagO7Li1(aKnaNk^0oXU)}6B=XYO`eQsS*L$@;eY!eJDqU*1WV2oP?aA08f0cqeqk z2nxS>r@4~@<8G%|9%4#UWJvAz)7QZ;bAFDWEfwBj)e+6j_2RK)_GCC+wY_Vx(NuX( z;!lyLAg%(uP4mM0r_iiDB>lFr=5?>;}gJN`4|jLX*59brxI{=DOOeR_ene0bbWqi=+$ zo}?1l+-j_dSu4>Ap~L>;F7(IUmumnWo;S$*;aB@gDGY+Yzq764Ycth$QuvcIJ)Lb> z0;0ulfUr}PD?FQJ%~k&_BcD>9UmtG7NrTv5x?AthS>25#QcGPM5&n8(nX*oW10ko= zg6qrBcmyY`Z4a*%nH7rR67PjnV=b1K7O0M!`M$I9{Z7iM1m*-g?h6B83P*0k(a=cH?g zH(sjn);)cMcAu*jMMNWf3vIOgW(+#ag~|D6&c)A-M^Y)HV;tSK;mC7yBVixG=$i zYEkIC`d*MyV`gtI6%|#U7VAFgB1BDBH>$l|atmewPd9KVc?<7xQ6qW6CcOkP}SxJFV=Ozq82k3-CB2) zi`qu2X}?M5wI?&kz>G45`PwaI;K8LHEhhD1g~Si`Vk9`Cd+YOpMyLh_Gt@%_TALxt zsr}};9VTa{5>L@jn8Ph(>jj#%p1u9ezoI6OS-amfu|Isc_cvEan0%muHa3qThx-FZ zAFF-4zrTkob47XYS)1#WkI{RgctV0d7UNT7SOM1}B}g+%^~gN0X=N5dx+GRl$mx9{ z^voS1lOUMX=3IOrsx9qbJxRiDpQvwFk@2FhZF~NcP+o3Lmx;q7Aaj#a zG%Q(Uj};GxCeH18O3I>Wg)5u3l&dQeU*_dYoDUy9P+u?vU9+3H9rUNG;3GPP>1_|V zf+gzb|FX&1u>FX3<+43{O`^No*n(#4Zq19{{P42N&`vPh5EoK3PVRPC5ozgeM6bc6 zBk~5iICYhKIsD$M?VC#`P1x_Lr1tMAA%gDZV+EUc8`~+iBNSE6Cr`J6#SPCV3WSl@ zA^jN=40xNkRE^qgT8zs{xT{AqqtZyP*Gf@`6w&*K@yE5zcemG}hgTw3qH@}U5@zVl zVn#u?&_Gd;8_l`(ONW2k#}E1kD_PX-n(}hodlm!dN=lzK(uEmZi{vBCNP>`ZvW24f z!<|-rlpgdvTAkNpHsskgRFIFlm@`gfel|%AGLdErjh@rZzW-vZ=JQ#H`t^5)^eHbK z-+9QLYz)c(kW4@=xSWBY^$_Fs5JHUGNO~?V?}vztc?^q99i;oEx4mu@L{lINS21lv zKBA4GH+vCHsqnmH?rrh!47CL_Nyj3a%+3A4`zd0(ghyv^Y37a*HPzB)FLGI8LEUMy z>gMWAI*7`~g!xhY3#9WR_nw$G0bv7)x5;x1PXi_|I<9SG$q#U^vyF;%`76^fcWBYvQaN9uu zv0VP0ae4=5+VAF=HoBsa`dKjsA0<<>mV0vpE6z+og3ndHN6~L`;^i{i=N$fr(ls_W zhPS+!iV>%q_?2kEckB-u)(tY(v&HTpmDZ-T(l?^6y}xB#H~D1HAPwu3r895Pt!u8F ze+OSQo3nf!TQQS=%m~%a4*C%?c{{|qL?$OESHGF#Upj8s79@q-> z_jlK!#Zp+GPSW2*k%M+siJR~;!#aap5$^+P6Q32#cuKyn;|uFU2O(H6*eYT0B{T?m zq!0kgOeeo3x}Zyjo!#Ai)9r6lA(cMMW&TDMeCBZP`y-&Q118E~yUB{n#cvr{UpNJp ze_J#YtM&T}Q#v}C@nNpUmQck6ZB*-f1nN}zYD^Q>Ug!3G{k!VioTyou$P{7|^5@-H zzY~6NLVwMi5JKduqvzc@elfcKF?#qGWS7i`5u1nPaW#k8@}6NGum6H%T5o4BIN6fE zc;L}+g}kT<0)zY0^!AvHJmClb@ZYPeTK}c}x+Q`JqeKqF+InlBO_e3M`!K!I^${QT z58)`xdRfK}Q1Tp&z2d6zZvl0dwY4>W;kJ@|J~67|EK<*RMJ!8^J(qwri6{8nS0%!<{ScfmmI;%V^1qDn96J$obL{H%im@q^MI%|{HAZFIBll*MEY zrPhY3GA@p-O7hGP)%1!xpf1^x%K2!ZC~+^w@XK!)JbFJcaPgLD_ikk~_4j!W#zrDx zhsVH!qjtk5$@&u`TxS=rZ_$t3W9%;a z4tZAQt?oog0QcFJ#IkydMH?L~yHr;=n*fADM@I)JaJPR8Zz|ngFWps|b)e6C%{dXt zjk>iQKCMHOdBS(smVaH)tLhRYeiq#RBLdIW#&+SlL2EMlm33SEd^PebIf=Gi)!iHY zIzLHLi0`@q9^r{&b;!|$27S9>2-N0h(*iX01xENaTQI@LF9dCZiKT6WHYth>#E@XF zhQZ^ZoL~u;$Di+`-Omd=RAIyhlZYSWMJIxNvP0^r^705>S+kXg#PyqJmi2mLg7kAs z`s>%yWjbqBy|i5$gPAGW+50+kv|)FGSvj?&ucc!96-+`uZG67*zo01##JZZi zZ~D3+t>Sw);goW3)b1A<*616JHX~Luy`shif9D)p94R8#;?mKA&9<+3ILff+Uw&Xo zay~2_R=_GIdic4s=O0++dQwhBHX*zsW_4hDnFA%`^4n=1N z8H?Ss$tEJh#gqO#(Rp4z^kGiAxFmv&jw#I$Lz^D@GYh^%YaK8BR=I({Vz1+{QybP2 zvRig_{rjrchsTq14C8Qn@JD#xCll?5^}B@>eVtkxm-*`?9#t~fwrJMT8+GiuIj`63 zduJ68*i5b%K@wLHA34KrvAal}ncMbVK5pzotqoUQR=XZZFe~xLXbWH+a2bew$R-?c zyXb#db9=X2(dN~(ucD4b*Xq}X8%2C_$Z@&2nm$(QruFSMKik~cSWQu&?f7OrfB-a& zWS-i`@83yGgZ@4S1muE6(}MfjyN(~*XtaT0H-$4ZWGzi?8Y1q!Y!YuAF0^*-CU|#_ z+P|z_o^-0$``@~fE0G3d8^kA=TGf>FPV$UgVpnV=j2~H$D{ll9x0zVBUte>YD+bVm zR2O0JROV$3fk-e5fW_77+7;e^|K52Y%aQ2VS6%+JMc(RmF<_%VJGMU>%5k*%Gv(EE zn<)K7BzGwrHQN1d3^ooFsK&blUmNCn_g}oS#epZa1rMLY*+>AnTF%vUEG!cFUQ;7U z!dZB7_ZY&>FiA(M-u`IM- zZj>NT5d2QcltsPVlKtg*xNjd3CD|rr!bQ*XK|w;pLrR80Xk69HvXq%y`GPfAS{a;j^sr z@x0I0j?1r#ofpdS5IXVlOq^6(MGDr}&p@kv-#zj6`udD=?8=Vdy_fZYb9m0|(&jb> zpjgK`!dUu$QW6bhp)JPFI7aqAI&IurA4ep=N+DX0P&0ju-jdm?u;|}N-Sb;{f%VY- z%v6k-d{l}xtG`0QK&5N|6!r13$3Ob8xqM2jMyNRYDkfHBRXFgNU!|`Ou$)oBdMVYEQSr#%-rM?$)txC66hCFimvXcMSY@Wz; z!XB^4j+cH~7pHn=-rOZW|F~Q$*y`iGl#oHFy&n5JuW{F&zjOi*A1N>ApxjJwv!e@TWc&oOGA_H0Jp+k2<$7nWPr zcj)c?I!`o6wV`=3NFw3S*Xzc`x8of7f>)R3W1sV!dGCS{*syqr%3brC+1O`CbLNO8AgVb!&mq(n!<_!8;pMfw^7bbx- z9O<`-10A%XctjhN_0*h9q+j7mkI68O$OVPc|3h9mUYR_kxbf3SA}WB&r+qW;ciScJ zq<_{PgQhYxNuCv*FJmL*cr^~mNqdD-?{-4$={_0_u1f}zeZoZF5jjk&5ZbK#+9Pnk z9!aq*TiY}Bkl`b9;bFrz-CO|GOB*&_8RSqd{wqLO6NPX1cjJaa)JXtM540+V^Z|yH z=~ns|8Mv8f2g!8t#zxa~|lotT{bR9uWhOG}%qc+G9~B|DhgYgYjZ*gpd;EiE9j;Upv^h_P<2 zuVWTy#4MXFrWLZKrKOE*zu`}>N>3*P69))N_3eIC@K8vNLBj76T^Z(UYfZLTP>(PY zQBcINRW#p!`t<2^oeSM}0}hgVn4+Sh^$4I{9u!R4Mz*mq0+og}?Puda7278k>B8|9 zfb&?mxHd!g7@N_|b|#7eRYb#SsZKIFF%f=x`UW%$p`gyy<$sA-(QEeMQDWB2G~VJE z&?AupkE)a{{BU%16jcGe5vBn;pN8FL^{<;>MCC=8jFgZ9+D}rjJSohhcnMdX9vI*` z&k{Nq85tPRG>RuEc^B5#*22QWF|tH`@89G6N$`H~wQY?7>Y5tGB-+~A{OOxO19RP* z=E)e`Xx*Qsu>#s5=mJtMlXyT9IeavwUF0AHg5$`D8oT~C3=ywAxK2sLs4d{y>V~m2 z4C&|p!74g!L$<4dwxD(X_Jr&5c3U>v5kRww2;aQh$cZX5+g36*ra^~7N2jNwA!J!~ zfHOjFs|I&Q6Ejsh&U{l@+T7nyz@qhmDbYuhq$#`x{!k0?Uyj@n8)o!ig2_c$dhkTA^fEdK0bnz6{bmDQG}M=(Zu{qP44T8 zK#v77j~L?gv^x@?iaYo=0E|1<$>wk`klQK&&gbRlUk$;cZU33ZcJ!02f?imd@?yc8 zE+^>9&dS<)W!9mhCqGF%R=Y%}!;!zvu$45fz;K^Fmhs!SZ{*7opcuf$$B!^rIGR~x zPQ{6diAjF(Xk_<{CemA>1@Vq37eGfa1+8_`rN|YA>tC{s#rJyU;{V0Cn zg4?xF3I&nrO3z22x%l|_K=Ab}Sq4h45Avv&`2PJ+DwpP}@r$P<_kLyYKrfbpC4d?Y zgs)16Db8P+0)+nOdmY-k#u393ck{w`bO8vo#efrK7I69pK3p)1z@TD4P?aqz@_`cS zc6GW<(3RgL@fpmvy*d$Z2$(v(Km!#C3BYib zfZnOxR>~BrPLPEgb}4qK-45apYKXSz7q+Q_wrC6#Ntn!Bhsdd4%h@+ZLc%1k3MxDp zOWIGB0k1dw&!NpOTC8(6POl0yb_q)cGX=WF#~;kh%-Gu6wog}C0v&&pv)PcqfjmJT zsOgLn5=*U1B@m`Z_F}UEGFHs(QxFyoc;x;7#QR%JLXVo(>uT*Lvt1Qyxrax6Pu-B5 zHPZ-tS(wckOMBA;#KB^9Q$TvLM)CKY{(1LmLI*-cqUDtpv}~2BgPMVXb1MqdR?(A_ z6JI=}jeSkyfY?)zeaOWF1iw{R13HZP-&OiU$M-9GrO@eFxkk=b=D#*w{!KxwL zj4Z7Szuagl)^Ej!vSteWwMZoq+`?t3#EC9~g-VxI0Bgy--T-H7&Z1h6j%xW?f==U3 z$9IBN;E*r}ycp!e@Q8Te6)&h6#Z7?u;qW|imA2v22)r=vSPu1!0-9Fys@!yVeY`x6d6bg;OJi91zd}@2Wa>_4wLMlhs=6n zAENGJVQI>)LKO zf=)7*B#AJ9Z0=Cu^ZTndx$qknU?PcuVi7ey#+(sbuuim^rdj&{xTV-Q9D? zlK?#nY*XKb7|N_^oNg)<3qtGa1WbVS2GDw@!b9i6jww4>vQv_Hws2P&nd>uFCcKeq z&2C7W(KhDt;mMvrOVs(Z_TWjnd}<*kA}35An~segv;%vPP8*1*`?|WI24$pl!AKrb z4ukL%CWTM6wUd4x@7~eP0pJG0i92)9=j^xc+&)Lu-21zAjp(^3sSF)xIKQ;k4ns70 zw;x~fHsNQ#Z=>YP6Q);|Z}SgwiX9K>FanFy(NxMo){xFqlLXKUjG6)Z2GEQvb?<=17^hio}QlU zNHcGtD~ytYSLuvgT*H*haikofqqg7wHlW--AS6rA~v+1c4;NCXFELI7FMsgflavbD8!Zu(5B zJ@*c210oBRNe+(=*1Ef8A?+aR;zR0PSI~ivgBzs9fXkIAFMXpj1tEJJ2g9Zj5vujR zi3I)X-_Z1db(GKT77-=UZp#a111XB;1a3H^fb0FbmV*$H*+$P@qK6M7&UUBPx(Fp? z6&3F}H>{J2-d?UjK2&Jmtxk6Y*e$|LEyAFwy{w-OUMIOZ8IznVyL|J%AQ*I4K}mp^--q_m?`lT z&tbb$6^Dp3=Nr=P4qLUB-_L%k4;;CqDPjf(2XDO*eo>&IrK3~Zpq!sCFQmbmwof4U zotAIF7$wvUwoH_K{fZi1r5sTTo1v_Zp=^=4=DYa@4{{;*&w~(!FZV6J{9{PU=q|V! z&)~gcxLgs_ey)&t7i@u5d3MphIn3Ke`+SJ=cK|2{G6X9A%0HVj^1yGsK4|hfi~&*? zAQ06|O_vJS)`YXm=kle_bhNb}e%2^HbLR#nEKrVC*ODaU!P?c?)m3J`APlTh>qSjs zh(ubBli90=cfK{+L%++%&reQdoSe$e4#oV>&466?FBou~3GJozFCCmgfOtWioUG}D zMZ??(o1ZT=qa!z5;8B6)P>CRe!Jy;kjaqV-e+B-USGbM?J7Ul*~;*zqr zmm4?vY3oW!p}k|*AKPe{WO{j~y{n!G_@jV;07MU&DLo@2y1BWzpzrY`P#?h>j24~0 z=O@Yw?Knq!f42Ty3M$OqJ4*|Tmj zr-4@ReOOtFQy<}^+b=Eg>>M8-pFJ=0p8zIIX=i6n!06_U+Nv2D#hZ6U>YH9%T)2!| z)x9aU-~*SUg2R0?<1#el#CN-t5JGJ^<^1wVcH0;3XemUVNhGTvA_R!;p@Cc zIk`M=%ZP}G$yYx)HxCb!E-!sRE*&*Djr$bq^XDywYl$ob{-3(%+HZWp&#n@7xk&|g z&_R=7hUB2Z>Ct$94^D*ypXxRlVTluY^8Qp;Q6a#Ps-%5>Fv+5@umu-!;7-kHuBZSG zi%O486?Vhg(t(sAR4zx&e}Wd6XyGGB#op=*bK~OD(r}f+YTumj|3OU6dx=kRVlakX z2e)iHxA5vgk$=iJu+E~()Dw|6H%($cQ`nYDirW;Ju7Pxp0QukIV7`IIqyPc|ZensV zKVDk@J)ZJ#PNGE!x984;${`hCLO@fJb8-@a9XHWV1q5BTiBE96JFi~kgNJg&K%M?R zc#$ROhW3mBfag z=meHfMRlmMCjHQ+!b(|1r+RUUYk>t^GuY#lMFEt7Q(b;uUz)lC-9=rmGylIRomxX! zJ&IkAQb><_1|~0vPV5Bv=eAH$&R^k$y3}C?`sRT{hQ_f^^A(9{o>3FFrec@nFy->J zi##C368%2aI?e zUV-Fb8OZs+V`grx-;{p2a^Iq2RW~|pq&j^^@7$pEO=LHWCARP9Pxl#!?!QEhXP@Bt zKsjrKfqqEV>geTR2zeHl7QJ|9w6*T0rH>WtpqUlVCFlW$1>BpR`^#S`0wAw|mXX|( zYeG}GdiKTjzX*LlzS6=nlfVJj+!h=}0+j%xs3^7M$+`)!%~EKgiQ5cOqgXkhngL-I zHL;zYoii`yUAyoBJ823)3ZDZhz#hr(-{U|oH!@u+eU2=E>3H>Yd%O(2`97A;dx$nS zy@8QCg%*E?>$=0N^Ie~VuPQ*yf7}}eYMp$2g(3-P>@T+EqqL+*3VaSySBmUyZ;5mL zUWSyeu1tSBU}XM52E}uQ`9vM8mcPFxIGMaVPs@)w+p|->YS_%Fb`Ia&-Nn*&@t-JC zN8wP~pi6U-hY!sG4eGN~T!;WDr***pLP1`>cFuV58A53n!evJUS)ibRstPF(f1Z6(fjguAm3 z@OkR4JjaOrT;uqgQ|r3d>&n27a-GoTtFQo-PI} z*C}Zd1<-enFv8p_o-0z}wb{*ayy+y44CHt~fr0st=mae(5p@3XXJ0vp39;jE@o2mu z_Z?+w^5uPP9i7$Xju?{~Q=eA>pqu;!m{mhRiHV&f&UpuxIQhkS{_7w{N6k-<>#$ zK9a7ekT^W>+&EwXW_iOp=bi_uqNs&EwLd&t#JjdhdKoYv&bqbr_2e$iN3h9KeJH?z zf7aJm09SOVLpZ4oMRrEulJi(#09sq5L;?vv9d>$-KtM(U_&VF%tzM=BcsviaC3$U5G?T__^j5_fS%dQXxAwTC zCh8FsmWfg%k1wA^L{S0##p~Y^4zMT^E`iy;6lCl0jbE>*7h+_Ic-WEblkCEC2Q^|6 zgY6+6telU)o+*JL*>ZUoQpAC-hui)i>2TOvNs`Ab#dy6r6E6ZhJ5zCi$^jkS+@%rF znEzxW+$LW(D?wV>Weu<8Ol6`}YJG9mNmiT>iC^tBgO8i3YY`^_7j=^|7k@KlN{2rG zQYx_GUdj{9S}&STEvH_W5&{irr|Ms)^*~Tl^%Q5gW(Aiw)=(=f7wkEbUD(i0SsB5d z2848dvR(Vd#D2qOq*yRa5Z`s>_Vv7{GKG8(N!Kgw2$(U^kWp-RZ3_d7%iirxh$wFA zihhQuZMvm=`AK=l6K_LR;U8iGc&?wEsc7uFE$g!3b&cIuS-H9luM;`KM|o-P=4m=3 zCY1XNv%K-e8zc;$MBE1oMm83y5jYcLov-Th^m;|`LxFTrE`@+fS)|Ueb}VYY8t3Nh zk%26RF}(IExoT@}^jPD@!aBb7v*vTTL%+o)=i7PnTyG%JPTQh3p?wf+{!6<$__9j@t6WY!J229fJ^PYdBl6c) zKoVR%j?J6PvWsZ+Bhw1w$DE=mRy0V|xPrMttiVsI$1Gn{Ee3*uvxaD)G2L*SD&?DV zU&a!yD)s1SG1pJ!Myy9a!ij5_nC_jIaIx<{W><2!3(#I=rA}-kU}#vX{?BOv9-UaQ zRKnMZVo5!^y!3tJV*$FgB@W!qmlPR;il41Tg0fbSMa9u$zom_vqY|WL_d*_c7JOF; ztw>U7?|hF{wK^N9=jJpw)g~t1Ri2*iDNE(Xar_%CBjtvMD*WFNI$I11o^Yt>XpZ@d zea;1gXidm2w-?(6Aj6WncDbm$@U1>!-jaD&^Pom^;(>@pa~0ELVo8g(Nr&@z`PXXH z@;aN6U)FIPWqEyoP}-2Tvl90){RQ!d;Bee9)Ey40Y88{ogeVwb_{}E`*ICgpfdlD6 zf}TiS%YEr7f2F*4mhHxRve$487{tAjD;GeO*xj`Fkjic!BJA@tmv4*W!5 zC>yZ1+~acex7>64|IkF8pmhOy>-gsEbpVPdqeid>EXV zjP)u+fHPkQUb`y31-%$K*%-085nz>|2f>ok714u6xLz^s@xp PY9TM>RAkGg%|iblyjb+= literal 0 HcmV?d00001 diff --git a/doc/img/Spectrum_Markers_dialog_wat.xcf b/doc/img/Spectrum_Markers_dialog_wat.xcf new file mode 100644 index 0000000000000000000000000000000000000000..b08c77ed9b6889fd57509d0d661b17b4c0e7f596 GIT binary patch literal 65457 zcmeHw349bq_Wxui2S>u;v4|0kC=&@s!Wj}mGLr#9LPAeM0tC5o6Cm8T;*8h2D(brK zdhSX%cBMjG8hbgC$XtVV7~_ux+D1cVRJD;2OE-D!0!?Se}vyr zU~yLNQiLjmkRlvNwWmKNlPttehPJ1i+V&CYVV@uI~gIYk8n ziWV2=tEIPGw`A$6c?Drh7R)Qo@7w9R!lJwd^A;=)yN<(v#YMR}VNv{VP+w%{`p6y> z#{XFM$|VbP@`~pdg$;^=w8~2&VZgHa#Y@AA=jY8XSi<&LP*}L6U}+dHuZfGOcVSj% z&Cl3L2!Z7SyNncgNtD1V4hg(EL}0%z0wXsIjDAsI+;sxSQ2CU}LeBV1;N(1kGyWwo zZ?wR!YJo|+qsBu;eH6E;qv+hyTyCRPB`7H$g4S_X{<+0=Up*X;B zEsHDo0k6@-wIlX&mX5&MhY{Mn-i(t?#s2h7V^Rj?RIyeq!Hj-`e54vPuvjZsm! zU_OM2OLOMu=Pb@wQwA(4UR@A2Xap7Dq8K3yi&qxRW5X$D{?g){dBr(P!dCI&H7{p= z(K3wru-*mp2P|8{j+C3Tq+mdP@#2EKrNs;8hs|5M7>W8pk0Bj3a72{%Zzx77%FbD~ zWNC5Xs<3$lg-hA-7OWglvLL@8EPvJfoRZ=^O5(%ZU9Z{2s2J`GUMtpU&CfqVBdFtp zE{OkFA9+afpP{BlDi;|{JOmg5l>o&34IL1)XjF^_Bc-vpNip&Kj$Rmm{aAV@%J1af zM?GE;KYoBAKnX+{^}H7uB9+KWNIV1>lz06rmHntmr9a|&qQiCDlm+f2*Sn3uXk&-k!=9w~8j#f3p|-Ju zN!|18W6HMcg3MbLrMEjxzUG8UX*|A5=}$>$b)Tn?)s**pL%EWY(C}`xRVKIOx=q>Y zK2eS7<+$L>ow94VJ4x{mP?QDKf&fGP*w;?|ust!*tEFD;MrSDHJ#dS=pID5wI!nt7 z7USlf8O7PF4Y8JbOSGD`*mG6$3)<%q++9F7W>AgD>%wkW{JJm}WEd%sZQc7I-jN;> z9jScBa8a&fG+`3qWWplC6@+&XK18^Ya693fgdY+fA*>PDDTuHKVK`y5z|QnA?A##a zkQIb?5I#h>k#IZVn}i<{9wDp|*d<7y!Qkk2fdig#4sH0Yoj>q1!&S;vUcsb{1o5^$ zcpuMUvKSofER{HmCYUO5hF=i0$GWPLpM~{3&ZJnbbY5jpoL8bO1Z(|XHXot+d1-{;%SwXrfFBXA;IY|I2CMB-W{iXB9u!Ep3wShC$78p zSS;=^Wua%w4H1=)+~Jp9Ka=}7>M{9Q_%$py^mQthOALMSbX2!y@yxuy7Z0bW6RY*0 zI-!2<`fBfaEf=tO7w3fyojx--Gy%L=i?D|H@kU@g#Ti~j@g6{r!Z3oRwxLI^ADhA7 zjKFA~JsN+mqQDdey`nGxY0W4Ke%)0?VMH5I6ru(W88mo!%&-{uFB+;t^x&AGg9f>i zVum4Y(9jXlLkD}(V$O#$(QO4fPyMN5hvqEZ9#AuYY96B9o>9{y?U=^%fN6ZX(e9G$ zc1)PobxkAWMj@bi3VT@FS@v&2CT6%A#BxCL_SESXjvbgKSUt zw=3htZZ(oU-JX?&o!y6#*kKfG*;zyk2TvFBSyp})E1xD3l#C$0D`w{t>~;x%tg31Q zFsZjkmOI%9j#pkaA|@o0K`x`L`dwvZJ2t-} z^3axD{$=vcJ**&#ZemFE*!nf>@Zg~eP&QDmka}O*2qL7u> z)XJcmWjlAFqP&`F<pN+&C0cCh#3vJHqfkuCMU~o{6)yhBINv_$-G+eXqK5?hiTzU4b$iPciD0fm4sxo4qQE?r2`g>Al zeT9^U&D}k_r73%dbi8bt>os?h>sh528oQZo`3wc^FXu_mD3R`@v-e}i4%qQ*CwH2B z)p1mOmHTvcmp}OLn$!EUsbyY@7O9&*rf;=1@>f}-)#QJ%`v^R8=@5_X)}4sEE-eyy zS8O7DnQ*Uk0T`0aeICtEM$Fse_?xAOGGf)F&{riK|Ln*RL62S4MbmE zjAskZbB=;~Ou7!@Jp4-FJZ7Bd9EE;#Oo0x59(IK<=5$*gjtl;4XogN-$_%fKs2puE zAX%09Q1l1-8SEsNIfPtBvYBKvlM}eA2vpTZq>15r?gom9hQE3n3_#7^ryJqi4HFaH zSn3Tn0PV$D_$_ws28oGoS#ex;`?9l$+qUnoqkQ@rA0QE`jmjyaii~Agg@2S`ev2Au zG<)8(A&u0q9`#|9gCK;~XrvcmKfOlU5oyh6qz1R^D!Q#`q|h~o4<0crI!dE!hH>}p zxprM$`87I5{q;bESt@A8c9ox+0aWu4y{f00&U`MBrO*s`fZM7R8V7>FP6pSy(seAQ zlfkbPIz(wlmO?SVQspt0*1_QVdub`WIfxlK1{oTa($dW=Dahd3P>L;!VTZ?AEYRRu zU%I}7h~aQ7rd9#UNvX%5ruU<%{xDRB`@uTGKZYtODxw11FQy{S#^oTSv$%?Zka1Sz z3B=jSmlmlss~SPmyDd;rzIAIRTwG5g`l>`3?uzB0KbSCVoY(wILC9r~Qyn*{V^Xya=gL=FU}l1)Nul$$okBkCw^ zEswBO9hzTnOfS`+Ub*Q#TI56=B|IyzA9J14&%F=iYcfURHTi^m@dwgxBl*vSPZGXF zxS#L?!mkLc1zvjz;kATA2}cuV66O;wCA>{w1mjKoBFLj15leCk;Z(vogsTbfB7Br^ zGhrFw+l2oSXlVK<)lc5fwcHwIpzCLb%fL4dhPc}qDwf}2GJyCmtzRqZa}{c1b_Y{w zH!zZCUb4#_w!wv z!`W_aNrAi_^?4SdwG%%!(YqYMAAwEae)8JK;cY~Lynt&T2ax$2-Q-acS;yfwAWKaHR);_Wz%6j4=Up;Oh z);_ZRwK|(;?PH)pe*d7UQh5uf#X>{na3EjEh#e{$_Z&V%OC1{zS604*N$ho{`XP%9hQJo z<3Q{%fd;>WewBU)Srt%c3O};kG0;%g{jQH|KDwog+j}Kn^(mJ7u5`<_`;^MK4wtVk zrW8QD@=dpnf=uUt?5Q-XnihRZ%gRlfrfhNjWcK7 z$fUEkMmcSB9Hrg?t>vh$>H!2sZS}}*MU!sBsLw@S^nHYn5pMLc50VC*66u4<{xg^q z;lWo^`XE9pVLD+B;UdDD3GXLdPxw6HUc&bXKPNmTaEKpacY(wA5WYkBDd7o1MPM}b zO*E~*iH@Z71VV{$CgB1?hrr*6a{5aZQ0@LdR~a>04PnZaUcsbX23oxm;eP|Y+vf9QfOg4w z-g~0l-ShhEBCePF3Tp56pBvY+!-Z5(*tyPyk}`~Ods|Xcn4{%Bgw{>~*t>A8Qc{Ms z5hVpLC0-~mSIj|lmiki_5t<#v98oiXY9697ikhzXaw+Qqxep7oeRqs`x}XV^VrHz3 ztv8h_>o9dkIt>td?x(Ye&}Vt=hJ)sp|K z8z%MNx>X3pTXc0({^(XXyoB0r%4grz{PU&`Uc1U~b#yBqHC;C+bp2h{r^<3lLYMYe z_LI=rAfNt^uM8}>ynRh%@THlbDl6Q_QvMpC$Ok&P<=RVDRh~Zc&T94StotsD2(3y$|B?G#ihn*?2r7NtV8j@HxUg zgzpf3N_c`$5tz`G@G8Pc!URHza3%;UfauwGVDoH7+!CEsgXFrcx|Ox&XoZyp8}1=D(-BziQH!6b9GZ&Pb_EE*S3kZlDC-aAh-^GhSE5L z@d5;gpQ%*zH9xC@vtEFJv(go|(9*&E#>+cTgoUDzaT>c6he^-N5)g7 z>o&NB^`!<9@Y>k=(!Z$^94=3mmKr>wyuK8lp`fxRgYrZN936h5QpDG(ajX=i624-- zHAJ?Gk$J;Z3^Mq0S@n{^`-CsVh*gpSKsC<1aekBz6_tOTgdN9Z=!D=wb!DX<@<-D8AZ_~EEumZORatHkJ=^_A!BDNd;T3~NM*k*%f4L?BUEJkM%*Z`O@I{bE zpFuBsQqZ|QJ1ELR@=8Gr(}?I~PtoRu)~JhZjgi#h-fBkb>^=gIjCcEgHL4$(W5(Yr z%4WPu_!i*@0@+rpE~Y*%(o>nkAHUSygog?LAK?##vcR-1gjW&{B#bAVKsbYNKH*IQ z?UMwiQ$5owN&bZJIANo}493d%Wn4knpD>Ovm2ev2Ji;3Z*Ao7faEm~Ykp7{3zU5$? zQyb3r`PlIT=NK+6?dugxrCq?XeZhil6TR!}FqVr}C}@Qym=x3=a$7}hT`yJCR^S5? z&c2w$UV@s7EmuFgaBZcpP(izJrRD#$P_A}}wx?L%@qT3YkpDKQNdqrna2ONBSrwf1 zf(7?kEjX+50tE{{>xEz^?K!l}$l||6XBmHuyDh0i58z?P?6s{`i8^85RyoUtv=No) z0lY6eivLo}Tz5 zeMMY8^f48Bqml}tf_z6DLQ%hhXsoP%@2!Ja#wX5&v(ncKU56{#5#RY)>-eCh7*n=< zcJ|{(dwYCeaqv%_?&tPT)r{Dj8rmV^Y2{&$i>tTt6bWclK%WiDBofhGvVFY0;VG7|?!a0Pi3GWg(eFGuYbNcHfzfbrD;c0<0jD!~v z_9h%mm`IpGm`k{r@D{>95pEEOr>*u$d?Dicr~UapXW&G9NjYHhS0F zVf+j9A+)9vtM@-?xk2L=RvL^brlQc!i9>| zRY1GsY@sL0-F>F-QPI=9?=SRysK3wk=kMaYurvR2u|$ruZ(Ex6v&YUaafC2!;c^7_ zI5i^tj!j@`cr52G!Mz?q-FrsJVbMPtLfg35VmN|gr#Yl)s=67 zrOF0Oh_QODW_{_pb$?S=vtde!D9d4Ah*03i7@#i${i{}24%DOIt0FI z@c6pY4fq}d7U3~33;X^9rkwZ^#0I>RgD6{-r>qm_^Td5SKz>X~QJ22q$Xr?RI7-B) zA@Gq3g?$=Ab)w*`^tzI|L{8vOa(H06-);8pZ%@CsI@mMae;9M>e{^=wscY_d&~jNw z>etE~NK z5GZoZ$6xfp4lEA`8+P>LD{(L(DckTBi>K)n=xnXnnhAbeV;yx!w|aul7*zAiPx1)* z34ZQ$T$sJIm zU+`J`pSQ2}yezKP2> z{i%77=l4<*Jr0imgFn{={PBqarW2^x0Sly<+*(vWU7(^?m+&||@P+I{2aT0D5qu9Y z%2TXU$4R}qV-ZYyeUfG@DIXI z)xEvfYb~aARf}m|)nZy#wV2jbExuRmxA<|wX9;%`9u~Na8Hey&VJCc;@H2s{A0pgH zxSf#dx%xwrj}X=fydj9N2Vpp2G+`3qWWplC6@+&XK18^YaJ#@Zpu+gC!HS~`^FqV9 zJCDNvwS?8Y5;gx#1zvMD2}A8&7N@gKzszx=UNk{Jh%0KrB5J>1{nd=7uZQ4OBSllg zN|b100X3}jj4kzrA*DRw*M7Cc*ZIri)i0&<`{wF@#q_at9pk7yRS5^RutEek{&w&Kc2y{{W^~ zdKk>m+12ee!bSR<*4fWZ<$D;YFVwD~ZbFy2yRaqgUay(ARQ7!2>CgY0unhRE#YF8v znyiJ`bC$6G#GR`CtK+t0BVe8r7J?A`5w1Y!Lvg^5{)=bk64m@}Jn0eKonR7aYpxYo zOAdkBKal)qANwF_%_k!L-p2&4W3~+Zp7}xGj$HzGmJ8f7PvGl!2|W0fz<+-z@QW&e zM=AZ3Q^==C8#r^Xkk3{UQn|B@Law28i#7d89xc#dh#DqG4GcC%4s%6CPY*714I2~{ z5z!DeXyEi&!5OYW!!sjhG-eJr7lvfGqB651k{h!#nIK2Z=%4JGp^X-Vq^qgTL_4O} z-&~WG9xh=nHrQV-?3Me=#<{@ z_H&v7C&e*Ro;&jO$4i%+mfI39IQajV-=ETE2a}? zPx1N`oxMNXm@KP>I@=pR`x8|<$2j%W0$wP2#s2D#mb;IHLR3e z^%SBy-Mc+4dHLy-(nF$wI8sKHr_c#e@r>7w9r^DK$t9_fK+7XVFNr+&?cwQp^MM<=HckWzHYiE$3-o4{WCS@*i zN~Yc}h{3%n+Ztj%V-2;M%|nV-ubH3ZZ+1ZnF)MuEu_66jV?)OV)0TEg4vBOnhb9Np zmQvF~hPcu~(}HPBsrHa)mp#-TOj}A#4;kS~4^0oIEv06J#JDm-GlFSLshJ@oU74Yo z!L)U!#<5gmT>aDoH78$4GI23DjkJjdDQ@9!1%c0^;S| zDFN|SlR_uO$CoPe;^QAx78&DRkb~nJ%=yx3+2q3if#{?$t+s}JCae7YyGE-EVzAXyY-&KF{K>X} z#Hy*GQxh|k7t+4koA}=^jEOGD!HH_Q2E+9>o834={(P5l#>o_$?fTH`ZBvwIrz=No z|NhQsb3qQaHL{B0iRtHE1wQu~=pr9rBR_ zM#ovZ#WE#yiY2k(b(4iv*W!X0Y*DKr8(2?${A@sc9q%c$KHeoMTSZTq;u|g5*$Mul z!+0lT2E@r9Zw-j6!nKKuD^-f);vQBO8u`UB#WjvoltlkH&(S9a#L6FU35czp7&OE!C{Q4&puFd>V+{TK1#4%pu89mLxsFXn5Z$Ca7^FNnbg3sUA=N{t68Gq$HKrCLGCV0n---%@HKNI5Yy5v0twlxhPh+d^$1Wxl1A7id;|)WIZX511WD^3sN2zIxZn$%Zs4n)QWqsg{&pz6p-@PdqB!5 zp()nH*B=8Z?|2fF2vJMQ<3Y+>?*%E34;`PFR`JJ_7amRA`aDP(vX+!nZMIb7BzfC| z#z`l~As(7)ORu;mtKtbmNEsI+F7B3!X>oCDDsn)|kU`3$D=I8nQXUOb-f|a6d35OL*w`^UcC5br_4OcS zh#=)L75hfHNtp%*NZGz_&#wCtLB|*+*v_oD&rQl+qYb2d^ZxN}QceIVCxj+|l(7XV zKk-L5DaV79+0dme4Y5%m z)~qhDfRtIbO3K4P%ELm3jT)7>di9K4$9#}7L^ml92i2s2Z>ole4v&wY>6{QBKiz2u z)j-yhax_SJX+B69&!vQfr7J+kW1I!pvged$rW^xOURnTBjtPyiCf+m;q`Z0|C=sHT zlw(24OAA5Dc%UUFIg7@wn47q4IY^m3;50Mkkv3bBF;!kZ$C!F@tj#tubfhiCS(xfv zWm~ceqzqX%Q;xA(X9YP=W>~GP0%M&;Rw>zvBkO9=U>ISsq!_d0mGg~RXUAA9BSJ@5 ztf;PqRoCKzsGTXZo?4O*QszB{*2lX>JM%?Pfs_-HlDtTHC`frp9!MD%BQ9>HGc_)5 znsWk388S#2zKD1&DGvcDFUbWd4+$L-8*5p$YU-?;=7W?Wf|M;zN1U6KX>fp)$CRwO zAwM2;j8TGZsLTxf0r>2d)}^n%9p>GGeA>GJ;V zf$8$hyE==^n)J1&_NS+3oW2dIYxh&?_vx>0s>(=TQ9pV1yWbw)p0WD$*^fGj9ezkZ zKJSYa=}#X@Km0=aj1zaKKdtWc!~A3EFK$f#_oL~PC#9#q{iG)6@m=;?KfK;mWOuyt z$wyUB*`MCm+0Lu@L*?D}DYf^0X`j+?yWM_Rn{#TK-TuKR&)Ex9x4t*+Y|XB(})@`9~(O^pnJ z7T4&;7mOAkS-}=x)`q}%*I0RNQ{LaY z;h=In&Df%if(=iNWuh8lOu~i_Pu73FH5J6`oy$Zda=V4sec--7RLh0OULmX&UbYLZ z#lkDDu~}&C7wxQkAz9g5xv%Bol$_Bft1=XB*Z&g&EXpvjvJYc`>@fjo%>h~?fLB~&2GE)Ww1xn$xW*KqH4A8s z0bX&Y>3bOkglRx$5UA!l=%kX>H!aU0`#=+OfzC)!#cc+27v#^H<5-daQubv-&>Icv zxoXTvd73i=r0mnC;LCadQl99{1}XcrEcmb^fRx9jWG#25f|Pw(8GP9kK+0>J&eho< zWuF#@Dj${wkaAXb!IDgnvX5MlvJVRbNO`hj0!Z0M9!R;Vl>ww|brgb>n`VKOeV7|S z%2vl*kg|_Fw<$tzc&KNCAYmLxd75)3NSTj`X6zC=D?}ADN?4r>^JbPfS6M;IEf^`- z@YI+lsv*XVoVj@QP0R8u;9u`tCL)m=F1+pox1mfmU3d%@pkux9LTj?{iffD(S_6h7 z&@wE+oIn~jqj6(z*EP!*2KKIE>UBTo-0aLE=ZgN#=-2G=VKloEoauq)YNlQHoiu;d znkCl{H2p8=*DF7$K5<|+h6z-wfH>EFl0J=$%^vn^(OAFHzw9^`!I33vav*Ib{DiM{Q^GiMJLfe)ZS2gKlVKOy28&HGoi1UdeG={Z6FL#p82}5lV;4yPVNW7V4{~B_&2Be6>fa3Y~A%B2~6`r7=Nv} zUBsK{eL~SItaxhK>X%-h3c`S*2g1nNi#=M>`qsT_i;vH)xC?{{$#zo;?~UU$9821?=1);<<*kRiaS6UzVyCo zrr9MXKeoT3;<(xQ*?jw-xrztpI0$3g zOCSu^yGw#9&Q6Yx|54@7vgZ|RTwDe;#kdBh?Tt4T9A8}VaKY%fxR#VZuIy-veT;P$f-jb@1YfY;of70c zn-U-Yqk2jFnw1H0aY;~O;u@GDGTLN6KG`|PZi$O)NiX4wNbC&flI&@#Zb}AUKoJ37 zjCRfhU$mfHK~zi*h{n@OJyPB*|73 z_j2{Ibz1%8u`IcX*H2Dn$(p6VA}*(~WVcU4T>?Dw_I570o2P_`sUu1 z&Gcs%KZ78&L1~)64SpeAjBziPN&q8>m-M^fFcW zUem1FcmRWk$rIS&**)jIa+%+AO&ox7DoSgo97G}BZYZ5sN+-&Z zIEJ@2(vl<(W)*DWqmrXpauXMo9LtiMc&IpSH8sWydTQdIk`viMFx6Ans*1R5W679h zdV8njF_f%RLz)-)9y;e!%OWRCy%zFgvYe!jxZ0ySAC%rFrI+P#o?X3F5}k&k6Xg_j zztcx`{wTeFN-xXfJ-h1MQhGO)UdE_ow-)CRoqtNNv*=~2Fy|0&PnFJbr4#X}a+9o1 zXVE*b^s?u&oIa{kS@ixZy^Mk5<`8eCMW@5)M0qp~Xpn|>eQooi80&72m3x6Vf1bP7 z*{W_UIe{IY-F4n8ZP{c&>!T8Jc@&a;kchX}MCU}&iSm^=hPQ^&k|bZvYNAH}R*)gIF@=f9l#w5f^lZsi(I$MIOpZBN?or zU0vC{$oJ4WOIjA?VK|3gHTf}F9yM&W)mXtLSBUB;S>jh|Zs)S7h`uRhW~Aw`WD?XwixC2(`AgM|Fyf z-r=H`J(uP5QJo&6_qXU}3>-I!cxy5`#YQK}Luf#QHMHwNn-|4cZ{~(^yH->;i#(7W zU$Z7u#Km3L#J?i8P^C;kVy48GGUeI&+m17 zbM6N$ufRXuHL?B=e5J2@zn;Bz^1J}Me5lf7cjdjB>CcwZQg=k4R_Uxjet=ZFpk~+H ztkqw>jQ2S6wFP*!^8=;2`EOO#eg3fBE8oQXB=6!0a-O;m^>OaSX>u-4>y8t6OF0*( z$~m|?uBb^{-j= ztB{OCvFLm6z8!ArS;wLue6ZSI#2$Gq+`lJ3VGx0+g|B8h+Ixboe|t};cLKcxOtzGJ>MmaJ*>@)fOY*~qP;SE;-K1~Ezr1V5j-5MS zW##4`cu0EUrQfr1kN#&ez9v%oCW<|Fm=)Wx^Ofy;-#@^M{r+R=>qV^CnVL#W@a2aN zqu3MtXivTR@}2`r7V}~mC+_=HV#U6j_4(r{w)z-~y}l8Z8}s|!+YUMJd5Ra4o;&eZ zUhE^O4 zVK+Xfw}_roMJcf$su$Mbj;J(>Hb8duCO*KbUubwoNBi0li&$&Pu-$UKEU4xrLplM8SYECwQ`eNeqeuvgE}D{#h(O z8+N9OKlx{}II}M8zu$i%ix&oD$ov23pK-Cb5IemIndiq6PMl@J< z%mqgK*{OMX2Oi7I%d*=q(wNm)F6>0|zI*+p`qZ@4G2_xO7a~g=%ghUyz%nP)?%1{I z&Z#M5Qt_oPWDD~fOhCbDHT(DN+rR&w@rmQ0FS)I7vN)o*WY`JUJIZBDf%b z0-1#lNh6{)z7xuANofiGvlF-Y{*ov+P!1TGn+S+{dtVd#_A1gUH$HTS-D@|4~ZG` zxT&;bN!7e{@1fk&&u_;Ed6LwUQ!7p#+r$j!tfUxmwFjTsgkrl>jW#8Xl~XNzd?5Ng zU9)Lh+4fX)!B}=VRO24YoK#=2d*91{9*3#n=n3AL#lcw(DYHhWjA40c>?#X8AZz{X z;LJdqJa$4yjZKiH7X?rBx5-Iqoh5dyyU%h>8WO{MJvhT}f}A#?E4#L^2S>%QTEYg} z_?64`yeXaC00sW`#^U+&XPN9w;<`;<@B|jeP-K8%hg*z~Fd!dGgTz>I_*r~--pLoQ znS^R5q)i?8l)@Zjn(3$-Z#owRp-jjf4MOoTOKmzg1))UcCkqbovfLiM9*|q4=2CH=WLdP|OMVl9SJwemkMG z6G}Uwv@t?yvb^}*syyOl&ia%^H?7FN&eXe}o0c>Doyr{FN)KBc->cVnXHjz2O@*dj zwOq90LtSZ#wRyd#T!J@$l;naJKTdKL<_^Yo@*MNEk}LPUGl9~HX>Xl8zRfP#pF4K) zz%*&n9P>3&nkPMq=CU!=c$F>~Uc*QKmtmX$ke`I!Lehpa}tpmYB}rGNfO zlJXm}GH09nNHWWj=hYddhUHb#sb#>r`4fxWIZLaMvY;NWaA4K)j6!$LT_hYPm;tWT>8_OIaw92p(3c@S5MV9 zDE0NZx1NzCG4qz}&m2FwFI$?BXGYW0a@jUDhi%~)#}-rGI0-Y{AgS)I={WzP2QW>) z<&&&Pss78kl2rN@rrEDNKT!H%pYrX!lTVjO(+?i`_RuV8)|uRa(g|g*^oP$SY13Xw zT93YX_tAmU8KiC7iE4jy>tvH8Z`>=2m2bkqA9%m!t$bv?cP+atK~e+CmT&Tx>ZX>z zA(GjJLf_;Zma;R>{ovTQ@8Tl7^C)s~K{2(TTs1dH za-m*G!G(l=xV|Dt@_V6=30=)`x+x9@-wL_%p zfLDjx?_}z5g6#!;_HsY?Dk~3|b8Mhao_1pY&m5IJp zfNDO^AC;Rva`Y^_saG8rt+}QIWq9*SK>OX*es{IayX!p9hMD;qcUR=dgi%AU#ofiH zz=QlPN{sLMa5Xn?53oe{ACwS{hZh$kEs@57N<{Nh;`ZCC{q|~`w^x(7p?LMIi5(nk z^|2J;B6WAmaxh=L>dHJ_(zgFa&RD)d5#<+ z`HhrkFZ5s3xN!E!*g;Zb>Y$>zfzuo377a?h4wHeetNybX&+TOM{0`T<=9`nlrRsTS zmfIgZnjuNa=8_KCu9802gq!6}yD>5NZVgME*I|llUY}9niSqvSNId)~OPteTl50+% zgz(XFHF2JWI=~?S3w_~ zy{h=kO8evAq)Sq2)YKV)Qsay%k*uP3eOdj_5)%xu!?JVz^BQv}4~fNuWJF|OA2~AC zVfvai*l3PHYa3@TT(oF}XsB^|AhoeSZ=>mYm$Y&gszPnVv?s}8HoLAdmzc6$(!QIK zz*;JQx5g+b3E_(Cn0cOQ3gxio%7-6Cj+y7oF-@vHQCD^1sKnYVzlk;~7M?TPl&S86 zMEM=;Bae&cCo00)Reqv6YJNXfvjS5(rBeI*p#kWCj@0r2Qax*VUS2F~w6PEVGrEuY zm3XzOiG3A|$T38lc|WR&b(Js4_BNrmB#C#myiBOx232oKj%XjEKX-^)hc-7vT|*vw zvdD66HuDCK z#!e?}cKm%EZ^K+ml6V`)%LVUk!Sj~np6$c*=MK}DKFto(K35O#Gt?F3dzjkzZoY>*@Tpe$|fB<%vpUfOMR#pnLV; zTf4L_Rb-A<;A1?7WplefdU(q}v3SnoOzj|DK9=oz46EfnSaHj;b(P3-yHPu^lSbjB z$HqVP*7J^yPp^3I6^#cqLOOjtScIlkVtXiqzzuiCWtZhP;ZyO+6WE!KH` z*+enN=O>u|7tiDfFh~h#+l9sp!2zA}gU{m&gPs;#$n)U;RKI~Rp8j8T9+3TyZ}uC6 za1oCg{Mi5Qu1D}g=$x37H@9fFU#rQk*kNPdqRz&#f3Bw6_^oatfT#10zo~ECf#*F!xHQ;__;gSd-)=dpc$O1>EB PY_vBGoj&_XqxAm+;C;g6 literal 0 HcmV?d00001 diff --git a/sdrgui/readme.md b/sdrgui/readme.md index 1d8f2a790..02523a4e2 100644 --- a/sdrgui/readme.md +++ b/sdrgui/readme.md @@ -487,6 +487,10 @@ The server only sends data. Control including FFT details is done via the REST A +

3B.7. Spectrum markers dialog

+ +Opens the [spectrum markers dialog](spectrummarkers.md) +

3C. Spectrum display control line 3

![Spectrum GUI C](../doc/img/MainWindow_spectrum_gui_C.png) @@ -951,6 +955,10 @@ A status line is displayed at the left of the top margin. It displays the follow ![Spectrum Markers](../doc/img/Spectrum_Markers.png) +Note that spectrum markers appear only when spectrum display is active (shoes data) + +The spectrum markers can be set either with the mouse as explained next or with the [spectrum markers dialog](spectrummarkers.md) that can be opened with the markers button (3B.7). + Use Shift and mouse left click to set a new marker. There is a maximum of two markers with a different status: - The first marker will display frequency (2) and power (1) or time (5) on the scale side of the view. Frequency units are the same as displayed in the frequency scale. - The second marker will display frequency difference (3 or 6) and power difference (4) or time difference (7) from the first marker on the opposite side of the scales. Difference values may be suffixed with a multiplier character. @@ -969,8 +977,6 @@ Values may be suffixed by a multiplier character: Use mouse right click anywhere in the view to remove the last entered marker. Use shift and mouse right click to remove all markers. -Any change in the spectrum settings is not reflected in the markers. You have to clear them and make a new measurement if any critical setting of the spectrum is changed. -

Mouse scroll wheel

![Spectrum Mousewheel](../doc/img/MainWindow_spectrum_mousewheel.png) diff --git a/sdrgui/spectrummarkers.md b/sdrgui/spectrummarkers.md new file mode 100644 index 000000000..5e81659cc --- /dev/null +++ b/sdrgui/spectrummarkers.md @@ -0,0 +1,111 @@ +

Spectrum markers management

+ +The spectrum markers are controlled with this dialog. Note that spectrum markers will effectively show on the spectrum display only when it is active (shows some data). + +

General interface

+ +![Spectrum Markers dialog](../doc/img/Spectrum_Markers_dialog.png) + +

1. Sub-dialog selection

+ +The complete dialog is split into tabs: + + - **Hist** for histogram (spectrum line) markers + - **Wat** for waterfall markers + +

2. Markers set selection

+ +This lets you selects which markers are displayed + + - **None**: no markers are displayed + - **Spec**: spectrum markers are displayed + +

Histogram markers tab

+ +![Spectrum Markers histogram dialog](../doc/img/Spectrum_Markers_dialog_hist.png) + +

1. Marker frequency

+ +Use this frequency dial to set the marker frequency in Hz + +

2. Center marker frequency

+ +Push this button to move the marker at the center of the spectrum + +

3. Marker color

+ +The marker color is displayed here. You can click on the square to open a standard color selection dialog to select the marker color. Note that it selects the color of the text display of the marker the crosshairs remain in white color. + +

4. Marker show

+ +Toggles marker display on the spectrum + +

5. Marker selection

+ +Turn the dial button to select the marker being set up. The index of the marker appears at left. + +

6. Swap marker with reference marker (index 0)

+ +Push this button to swap the current marker with marker at index 0. The marker at index 0 is the reference marker. Note that the colors are not swapped so that the marker color is associated to its index and not the marker itself. + +

7. Add/Remove marker

+ + - Press the "+" button to add a new marker with a maximum of 4 markers. + - Press the "-" button to remove the current marker. When reference marker (index 0) is removed the marker at index 1 if it exists replaces it and thus is made the reference marker. + +

8. Power hold reset

+ +When the power max hold is selected (9) this button resets the max hold + +

9. Marker type

+ +This combo lets you select the type of marker: + + - **Man**: manual power set. The value is set with the next control (10) + - **Cur**: current power. The marker will move according to the current power at the marker frequency + - **Max**: max hold. The marker will move according to the maximum power at the marker frequency. Use button (8) to reset. + +

10. Manual (fixed) power

+ +Use this slider to adjust the power position of the marker. The units are in dB irrespective of the linear or log set of the spectrum display. + +

Waterfall markers tab

+ +![Spectrum Markers waterfall dialog](../doc/img/Spectrum_Markers_dialog_wat.png) + +

1. Marker frequency

+ +Use this frequency dial to set the marker frequency in Hz + +

2. Center marker frequency

+ +Push this button to move the marker at the center of the spectrum + +

3. Marker color

+ +The marker color is displayed here. You can click on the square to open a standard color selection dialog to select the marker color. Note that it selects the color of the text display of the marker the crosshairs remain in white color. + +

4. Marker show

+ +Toggles marker display on the spectrum + +

5. Marker selection

+ +Turn the dial button to select the marker being set up. The index of the marker appears at left. + +

6. Swap marker with reference marker (index 0)

+ +Push this button to swap the current marker with marker at index 0. The marker at index 0 is the reference marker. Note that the colors are not swapped so that the marker color is associated to its index and not the marker itself. + +

7. Add/Remove marker

+ + - Press the "+" button to add a new marker with a maximum of 4 markers. + - Press the "-" button to remove the current marker. When reference marker (index 0) is removed the marker at index 1 if it exists replaces it and thus is made the reference marker. + +

8. Time mantissa

+ +The time mark of the marker is given in seconds by mantissa M and exponent E so that t(s) = M × 10E. This part controls the mantissa component M that appears on the left. The slider lets you control the decimal part from .000 to .999 and the dial button the integer part from 0 to 9. + +

9. Time exponent

+ +This slider lets you control the exponent part E of the time mark so that t(s) = M × 10E. The value in exponential notation appears on the left.