From dd2f9ebf1244b3c892d806d4f376020055e4008f Mon Sep 17 00:00:00 2001 From: Chris Date: Fri, 22 Dec 2023 08:42:05 +1000 Subject: [PATCH 01/15] docs/esp32/img: Add image of supported ESP32_CAM board. Signed-off-by: Chris --- docs/esp32/img/esp32_cam.jpg | Bin 0 -> 218306 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 docs/esp32/img/esp32_cam.jpg diff --git a/docs/esp32/img/esp32_cam.jpg b/docs/esp32/img/esp32_cam.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b7786031b63a78d57893e82e516f0b27ee8fc672 GIT binary patch literal 218306 zcmX_ncTiK?7jCdzMFgaYbm<)gq$eQKq<1htg7gkjLJL7JDj*=emw=Sedv8HSYJkvt zkrF}(h$IvN@jiZU-n@POIWv3C%sy+cz1O$C^{xLF{`&!VpsS^$1)!h+04S~>z<BEI6yjwTl%=4gy#2qgDJdDanQ2&g*zZ3Q<`H7$;p5?95#tf!=3(UJVddgt zWo3WF&cn*b$4kS)$IUFs!ph9UEy%^pC-UDn0Nvf&E4Te_Qt$w7&{5o^qxkPTK=ywN zyz{@M{{L~~=B?ZR+__6ZN%8;2|DOR+{NK6%mH_u}QUGo|xcT6EYQO%z+py5@ch3u+ zRsUfSI&{5t$wB10`8#-Y{KoO&`ML^zeuA=Bl~?888ImAEi&g~^W&82%Z1#-OX$e-S zK5MiU2p#uQyr41#FC+h~XWHrD!zVHja@phu2#q-0!bSYKze)+(<~Kq&yye$L&bf<9 z*;mp8!Zf_*_@ahu#LlQH^{-)KbzQ0n*UQawMZyV!^lo}@-%jXCrC#q`Pagq}+it&D zv?58%5Z`UbT&$dPu$*+Qr=$zcaYdMpt5m9Z`!du#T6^)o|9lc4Jpn9xZ>6P+Po#-X z%-7bs99PL_pHr*ZaA6UpTyC|MaSIcxT(yPe=d(FVGGUBVc!r;>Ev%{yTTJY z<r;swhl*CTw+?Q}d<+)N9M9L{}l*qI@;wK|uX!@2zd z!XO$~?K)$vVp@osu5tRaoW5Kzm4)^WLx@(Mr*9*a!oUBzK%|FfjAKjp-#i99cqBPa z{M#l{RFbC`<<#*E01z-Y8E^7H{BwSE%q-8*{32VtmdI1xW-?waJ~xt^?V4=I1Ip#m zH1YgYn#%4Nd3OBC2ssfvmbJ<3j8PztW3v3>TDlWN$?d|#SdL^LMc(n@<1KA_LxrvJ z={kilm8lfJ5>T-TyAiV&MxkwO;MW!*|0BhSoG;2wk@)Zo>vjj3$eAdh$3$m#@1ya( z+S+XgMCe&$*Ua@!6rY#QbUT}dpMAMLMlCHW*iSZ1u&Bj>Qzp$;ZS3hbC;5BAN|;tv zE-~|s)hn<(O=q8(H^l5XobK~kNvv>$%ZanNfz{~Q^5fa3J$|h`Gi#QMnN_DgvdE)B z2S0o<*6?U=gZR~-VCYVG!-X2&w0}f<5ez_ znkvp$GRpz2tN5l|9cF(tN!ClayK95^hQ%MCfM-~%TgI1kni4#G{2%WwzR~`x7t9!_ zz#8X6R{Ks1N@wH=eDHt~0MO5rm8+XbM80`m`n7y#DC12lao9Ju@w=LZ$d2Ria}Ten z@Fn-b=G4;6P~%}C{2(RxqthIzQ^aOzac3Eo9>jJK%hMieVEXFxlPCi9t8+@{SAm}V z)P`Hf)(0mH;!EVc80y}BMAxtqR;uqX?H+k@8+_~byY+AY1-^KEY)g!wQh06L&A*EAup@m{GVIj+y5qF&(?X$m3*@<95IBk_QQsdRsNpn`M!B1vqb6+I_c=b z#UE?oUk5cZT*+0pIzS_&cOA&XyZEP2_#Y%U2bVTjo2(L75WE(37V`LP(CczvB^5|g z*sXRI>+kMqo;dkMarkcj-F&1AVJbIQepd*%LsN(&$7;DzJi7saAB4);y1*yd4;p3s zy;qCd!W$dly=97lnTmm9I@N9F{YAE2*%r<{iz6K=TfFJ`blku^y9GA(eeSkwvYKB5 zRF}mfsImjKRJ;%#`8l2aR^T+R@Lu_zk)XCmMx{{|-zW(szBV&4_Z#=OXr~6B_?aXE zJT=)+9p;??0PderEa0b~yan804lvRSfR@}a22k8G{Rm%I_bs1T*0%ET@)RsDOT7MH z1>E2vsb56F;2?Di>^pw8C+^({>+SlwZG73m1l$$qL|(n>4L=O#gtK#Le?6@4cS7gx zMC6k$0%#QW$DgEdg@^ry-x_v@?T&}+CUR8gcB#dq)?*3p>TZHu;e;ON!r#V99!%?k$d?J zK??}PqT#zylDs8HVogls)9GY2=HlqGX7c*2*Bachbe+wu`zmkm&r1a!O6O^vviF48 zK@Ip(U!DsyN`d7b+B(pA=T*;K-otri`a>J?V%lC-Nj;yO2xCTQiW%!?LnfB@FbkXeWh!f&J8#%$Sxs0i-%qeh^K?N^KT8W1HJC}(F?(h) zoS-J)i^ljjtXDg0HrC!-`ExnZ&0FohK#CK$>8>aPf<$o8?T1FU0RYglb(NR)WWy@} z#dllsWFF3`z7TMW9yQGGOU>54W%N^Axt-iynNgHGygQ+xa>zbxa&EJSuOt599G%nR zKLj~XI+!xcp3$8)-Nza_bz*@?cz48wIY@ETW`1n#%E&VsyGGO#F*nyk#nw_eOXGpP zlePrnkrsLFH`&*Nqi?X)QO&>kJZzUX9R8>AO7!#2S1!!O)4cP^m94{HcWir(ksy+i zD)UGKmnuT-Fj4z%zvf+@_aKr~$J4bd!(7T`egY3`Z^%Vye{?>HdBrCAY#;y~lGyAYOI8DglShiQ z(N;AH=h|{ce729voxVbiCswzU)DI|B*kCIy{i;Ll%c3K&h+iRhyMLiI9@B>Z`gAvL$a!WVJO+-hU(Sj1_a|m8 z);&7QZ2btl;IxBk1nMschSwEK=5{LQ_51}K6d>N~XEI7nF0|Uc554qwfg2=jJOKa_ zhlYY5=B=7uQ9S6A0ftX2;oYqkN8q^@&gXN^kw3D9b9Pq?s>VWY4g2x?hW?V*mvkUh zlc8GY=l=oL{{yIqjgb@HtP*$1#(@dOi(sGBxmC7cLiF)aydT_+wwY`1f?JJUFg*xF3T05c(t1ldT}pc%{LRLmD=gEycteh{2eui zK{x>mT8;_E006r(7Qrxns{RA5&iI(_-H1nygBD?G87t1)CM&Q!eu@?>_yINibFys$ z|C4g{@qw19H=s%wDN`-tH3Z^(EWsd+(9Ad8zCm9h2f812ow=lMZXBCaG55@weg% zKc!bIEKNhit6;W%Sxz}ccXxq$X8JDyxuR2L)rx{{f}VstbqMBIFOo#%oE5CTd$ra+ zDqZV8@q>+K%7ta1e3@?hKY&9k+obd*YdmS-ZA$R}nJ zB|TP&+Qvlc>{{R|Ok>#ZLSd8)PPK=tgru*JH z*dik*B&8G2yO649BG6r(%i`R-RP`a_$X+rdx0D;=-L>FsKBZ~4(P~FO&2)Pv^S=MR zVR!%0oYtiaW-g*13e+QFwf~2JMfgJ0+fP2s%Krn$=CU7N zn~_}>yL_l-g$4ish=EJ^3DlQu3(NDJg-jI0qfZ`O8O}s%hmD-N;uT=GjjcI<1P& zVRH{ZZ4NZ&`^;A0Ym}pXs|9;iz?l6J4cX9rxoY< z)0NFlPs(NK?mqu=!3XBLZ8hH%n@dOfr5&s*e=<-W>DAagJ-5&?CMC5vwZ?tsnKyY7 zYcS0qse&y9XTUpnHI3+TR#Rd*%IWB#+P`CBoWtcdPAs>x<(UOwbs~EK>?#saU>)LZ z!#Te3-%VV04xzc8)PLNzQ)aBw8niC7&$|2N+Nf+r!Kk>;l=K?>x;Z?7^tg0myP*4> zHZZ7kxM#k>uxtQHOwP8m)~keag-@RWf0wq{{ge4s(iDX!CBKN&2}cka-3RaSxGi zIah4-IVr8-qQO@`jUTI)Wrxe#fsN!6q*d+T=L@)Oi}>X&JLzFx9$E(sC)fmBfvcy3 zd;hs&!%f?`EF!c)o+pQ#IeBPYrovh}f!e!!Bd@pXsjp9vGsH)}`*=q!I;(jlB^BIU z6n%YN1!l?zmh{x~jyX3qs9QTc?JA#!g^7wvEUILD&J1OD?kM?wP!!P~98)v>U@Wkqte1B0=BsL#rlW)VXU-qeM5Ro_n&qI?} zbt1Iyg`CZ5Zd%%WbU|%mq*t9;P~shKFa^<;kgA#|a~$*7^AA3sp8@XN+FivJyWe`v zNU93EQ0Q7as8CRmNZIKeI~~YgkzSWqm4~4vRZQDxIhSlC79U{^!yF3y`y}#xLcFGv zeuA~KE0)OyYP{a&sKV4%mz*M>2~>^eKT|m#Msl-i<-E(OpTq+er>S|Jb{AU%ZU?Iy zLR}I|cocK3&)NiS55&!CFC@XUmV0CAvOlZWe$($k=f-#251)Q%>f*|5t^K^vc{#jS zJ#@Hu=A-bFHu&9i-*b2IGwd3gO&(5`3<&87aTSIQvcdmkOQ?qTnSPOdaQOp37nmt` zjP~`Qu;KJK#F6maaY$XfwM&#m}XV6oOoJRdmW`}N4W%qsb) z<=W|BnX)I;jtPv2!9fU7pm<5nma|LpI&)Ew*YN#Br(;&aA^Fx@UjeTJ|69g7^g0_H zL>^1pU-<^1?Px_S;twMOq3_q)J2Gw;{NQNErIv;@j2M;+?b!qKnM?U$OeO;Qi??2n z@yP)5OfA*unD`FwbqbqY1IPA^i*`Z!isBTtv1lzd;&|iAJrK z*WMjeP!+zq8^qbXj7G9zod^dF5<`pKWz#HkEGa~Na-x3M-LYR0d8E)OJ>slkaRFbB zmNsn)t=nGtNJV4!0(lN`L}P2u=DUJuRSo1d>#NtGzaac}7Y>;>7cO$A`>X^01Ly?=7bgr5kly=; z%#CFqm1UB9(6)nlO3~ROE9%v60Srn78DUNGb%3edI@`R#v~!JspOBmrwE?7;rwEbS zbDkaZ@R5KnFNw?R_&7!{{YvD#;20}!`664(e6!eJh% zEWVcVp#OGLaS1Znw!ECGAZZ1oUzt5=ad6wh*eIRlRqyhafNoKxh zyDuAAyS(b*?-pc{otwkVmx^)gpc(E{D`e(iA?c@0z^CMbLEx*a;+VYA(XPDn{&|+Q zJ-wttz|DW3W*km2rpu|#B(F+kVVd)Mh4DRBZGN`%q4@KT)=6mSB1E}5BzQVs4?DjT zCP~?|q!ci;k zrjEcMtZ8;WC(JH;+ORvfdo-CKLO?x3ABfvqNjmK02~XReH6rFGH}S|HFKYq|s9{{H zFnn)&RpI$`$ReAnu`{QnPj{Plx6$m*;DIvRKXcx%`)LXR6f%_^HdO&(2OFS<^(P;; z#49q_M8W&x+!+2{R)n^x&$!uA_c-z^Jve~-6w7nBn;(skI!`?wfxxK6=Y)i7)83ob z1>WWd&849_tp&3=kcuB<4LW!0)g*_xlP#o6)pUXw&0JFi)q!PYxi26kBUOn4I?3jl zv3iSfGkp{mYH}&`5{xI*11Y$NEKPAG&EtoY@PWQ1OY77O#8L5-F%Z7NWfVZOpv3D$ ze%Plw_PI=E_s12n;=)w+lspY8wc@@G2Df*6Hj1kj9Nw=g-^uUtluzQ`SJaXjy-Fna zffqn@qvuFi+vyvQ<5t+aJ$;PC#`-H8>H7h7?2S$z)A0>q`XvQ`s7Hy;Gp0#uayn*4 zDmvR0NIvK=k~dY>xsY=_RhFlK_I(u$Mqz@n$<2l3xd1B%E7b4PUGsB=Q3TgCyUv1y zO2xxUubg*}aMLA{z)XLoD&svcBy`@OxQg(JI1!FnZe$%+?ppg_p>IvP4{>=^_ zxv-agE4=;PtS~0Q_I0wEsTC46F3cOHRB?K@2xCX>B;qDuQF|n9Va&eBb`cSuO3tEMc6C%S*KYszIN@N*f{~6UZeE#9AR(Y7aE4`0 z)T?dYS1D?%Ui$v3YEs}hci-gaPFe^|6=Y8QU;HbOGm+Cboxx<^z=rarDrxF)fD8bd zl!~

C2{(aCYJQyHpYg;5UUo|B5)K(rKK^*pUxi+;b*dx@_F?MhO(7Fa+1O8ze4g z2!ilhYL?!xJV=+e{f7+C&y8CliLzwAvKa&i;tEeyK94D!%>`Xu#a<|Qve*wNWeyv5lz_r1w*ffxy8V7(-I)xjTGFDm4IePQ2wqq)>)L6678M_D!Z+YVK3YC2Q9 zQy2XQcqLL;SyE>_%h;y(w2|_dq*oN%+-xg;MxQQcuVZE9^wgz$j36shh2%(MuVIwB zhGi7^vP4aCv7*OjzIMTpq&i^MpY>vBg*Qli6v z$;#jK{lfIJ?@#Hse>c%%zQ-Etf7b=W@G8Y(VqT9=Q<^@5D*B`PfwvTU#Uy=kE?+WO zt}wSUD50tL-!F1L<*w;)?U=5D=rXa5gRPP)s8^ikvAhB!o%+wFL-gGqTJE!Nt~MlX zJC~D}>;-eIzs?s^U}HUscTkOalYtSnyE(8O4#?DnxEKi$?l>II_}<|+S3%7#Me=gMCR>q*v>{vvz#z!|ZmgM-^c*=jZh z45cHf78zA76cCY09q-~E1Fqj(rQD z6(Pv!BjzLFA4V?DpwHn9NY zLH2)uMkPn9?ErFzAFWa}U}IhPR9g-vA)E0(qpus~isVZG7aK4YcEZK)Gi4^FZ5K7g z^`(tmFvq7{;oRBU!02^nKB&RlI4qTtt^W(qDZSU-n2J5&kr)JzIrhb_#KR&KO5@1L zN*}#)0HZXiBLfZF1~}3-=7Bc#vIAepVK9!i>L;{D1zKaf$k4fP^13X~t%zHXbLaoO zSpfGK{!_E`^4Q}ZFzDnZa(MR9a29?^ZkkO-`^6XWC`rUyV3d&Qqm5kWWrFg&vR%60z+KNJM-Q_H5fw><*@!q29c-xyof_fs~9@me0Z z;S@o^1efjF*&kGLoH#ty_T26sEpk;78}hSn0wRk)%ynN~{oJGC)f!m7(^Fnn{+M(( zW_$E)IjTS?Erd7nNi4mtJ^%SWDaE6@I9tMf&s$1_R%0+_Xu5>?-o2dXUeKx3ioKG% zWxAkDi^ow;oM{JyG>5 zgqKU|pdtV+?wcG)GOq4kmh4A~h-eYNwDSeswsp-LB* zRSRmd#bruIO2fXb2kL2yXiO0tYu~poRPBD^io;tMgNFjd0}v4I9c?w|TH&cm zE`eLwjiqG4fpB>P!!&e0mE)8pm5jvSAL#Z`OpqjV(5m?d06+!d-qT-JfiEs?uH7#B z1o{w^sN@O}{SN8tyByHTo;Zm2gy;}f7!nbJGuCmh2NouJXtAL79TiM@4haS%*&(%_ zMfxdeyiWO%D|WPhTcf@Eak7f8p*n?cb1W%FH@Epn2_~-8@Chggav&p^} zM!VyFGr9NuPYTrbjkTWLy_Ao?l$0-f+q5R8)!7F9C6n2L+sSLY%$vqU^S+Jc0)avR zUL1(7v5uJ2))>X;$NTN$4qbsX$k;B(IHiX}aUH0l{dsG-fZ+!N)S*))TRw}4cb7F~ zli+h>OOfHgmS!9^1OiA^p+8brOMQeJnGdBGKhZchb$u{iR3frHYp&TUQlO`my~{Qh zFgG_Aq*W%j-e^!?AoD~b3ct#)mtgWEjp7GLAgGNVqfGSwlYc>zyA1%`!BXqBoEXs3 zd?*aqD)La%q9ubptA1{2J5}Pr7t1A4 zF&D6B$MPmBD*V<6Te|c}O%xSRy7c=3uEru4jId`kL~^nEo}SQt}u61Us47NlFL|Qu$E`p^`akB zuDFy*n`V?q3h|^_(bLMxwt0c_If_+di;qCq62?czq#^>WU)jhKt8N1^? zFU8fe#=eMRM)y5<#Y+0C?xzF^ZlsRS!v^f+DcZ9&;w5yD7LJ_E%dOohUR6H)UNR%2 z_uP@{kp|KiKW)}=&Ra_{EjzzaFP&TD{-}rcZY$k{&r=8dg}*hg@WZ6hQXP4=dquu# zpF8QhZ&M$bsh4v4hU%2!8rA^9f6XlWn#?zDrgCH^LSa(i>PB{H&)SgaE(Kk@+nPih z*u5H#N{xhtwRJZbX&3F^48a`O1Q(yW@#GOjfG+cDF%tmHBLN9_Sr@L*-_ z!;NMC{sh(KMShOFp4L#CS7SavY@Tpxm_KL#9Nlgjpu7?2v7MW0YrQ?Q_7-2+R4DV4 zD=jEDu2`8>qjNAjvum1klUNT-tV(P0i4L3UKSJCXrvVO&7FqF( z9C1u0`|LJb_mr`B7on)^;9irNoswNTRo+3Fm+be^I2Ts9-;dQt-S2W=A)aQ9f84LN zgp}15S2s2}5fVM2TOF1{dT-MxL8*ffei!?)I=KLZ4G9QUxNK5%{9GKoZt+`Jf~RO zd)UWQk*dTUDU|3mNWmzSE+MSl^f*uL)@weeO$!SkdC0Ry7; z0tlY+D4#+hQCX3i2qkPu?k)A#h56>wKVk~htayZvfY@v9J$@y}42)kdR+YcF8I4HA zKB~rAQGXu2EHE5avNcIr#+9(mWmHMrb;j8T5RamFl+_#tjo7|m)-4K zT^qDI=9Nz)3v)3?%p9xOzLH=0W`@3hGPCw*kdq6mIuk)xf?CemE`@J*vA%=59-C{-c@QsI9|30Ul$Jxl6VzQV6nx|xa3vW_8M3b^9QR!PQM4}iuZr=0;)TC ztgT4D+=xGAft7MKeA8siNrA}f-^$v^Qr|X7xlUV>Ew=Y6npciA(1VHbWuww0=vEC% z9BpYGvnMRTAY94MblmcMU1o@1lttD_R3RdClzWC+WrU4|k#be*iIa*=)|d zry0B^#x{%Tk>bf}Okw;Xyx8zUz&!wf?n}&8I!k@IZ&>vQUZe8rB#Uwd;HJgzJ3z=%X$I?$=(Tp`2Xmal}QZK&xQ8zrd9my-eo* z1E?z5rHQpZuF61O`u*&C3xU8DP%6`nc?iiSf5%uEpS1~8M%j}2spmb!P9AjE8DBu}p*3epXk5Ml~_%&9Wb7=dRp}^Y%>RwfYClbsyw|84`(tew`PQ^p9 zc;EJrfKF!kd;dcd&ztyD?`G-mxbdQ8;bPG7*rT+Z*|7L;S9sL$bFFT%?oBOx1Q$WrpeO^KBY zUpG(rmHFJp`$T%enkm8NX+!|3Rl}S3R~5n{{&Ut2CF-=4lQMexA7BK0zujkh2l#z= zMXN5ac|A-IM*g0)pZ?clJ!s79)G-4zS-CkMp1U%4Bp*fuoeOAP=Tvbmw61pN$Jd2L zkA;i4kdChgN;{2p9CaB*I6ceOy$jvnpJUrt27ZE^F+EiIKRE(Y9IOXiqA`Lx&oWhS zXe>BAFxSsE9?92a648wmQA!SLou9M>-MVq<_qjrx@r3cVp}KuL<6WQI?75{BkXOAg zsq(#}1;n42Uzmnky%4cts#jC8)&#R>yG!Nea#fD`wzXXNuk1(lD)*Oq?K`5RbmM9| zvdVoj#sr)!trrR&`kCeL0bB8j#D@~Q8wop*pDYgNn(3jbd?j6|&QiQ#wk%G*edJUX z3#q8knSPvLh5Qy47P@;~>!F$o5dz#n4IQXFUDlBE@;|bXx7??Ov1oQZ{a$ny<&txz z+jE3EL)xi+KKsIqRXcoV?*oyUkaL9E!+b5XWzUk34-Xa#^#~g7B3M?l$x4KyXFojf&6Yi;Sg?W6kkdrqEmMh(Nh=;7 zMH{N`MRR_4ZbNO zy_!SSkieWh>G4%ESJF#+{IbAh&m-F2(krBBA$)qW)jrIzNO7PQX9pM^Lt##E5X6hk z9?|gH^c`sK_N90mn~l<9C^V_+(_q=IlcoB^%Hg{3tC3$0$& z$`s6pCaJj+@ER2o=hbN=LAD%O3{;p|o4}1%ZLP1|RQ;m-dQ6Du=AqPS-CSB#a$H$a z!mu+HVy>4-X`!EFTWVM;0xCvYf<5qyKI!3QLO!PM&biwcHyTq=YWL4&Vnb6ZJ&Ixx zl=~GavE?P-%*{p;1hij>Y&Bb^`c=PsD2FasVDGD_D%(!RTM9`NBaS|;Z_hrINW)d1 zVr(Do1}5a7Y`w!XUmkd^Qm%FjuI1#ucRpkZ@{zQY^m?NqOF3dDBWXsRDA$5Xyi`ex zmqcpbfFCozRo-lY3fG_1*pl+>9)1GR98Q*uEU~RLlZvZ!7=y#4(U_&huYF)EPlt3e zHiUp)0L^s3P{Y~mtV*_V!4B*gi0DYJQE>uwHnoGAoT*I>wKq2lrC%XNNo;Futb-ho z(YVI}cl8e#_%ZK{B06D8hhFRBsr6cW=9LMr$H%`NQ|F2@VXOGR{LF*2P{*v>rsQ{! z@6?^&G+jSL;(a+eXND?teLt(J29Vv(XzvE8rT&kGz{PrfVz3f%m)X4gqqL^2M)I{5 zZx*;V*`;c*(6)+iuFcj{=1>yJ^<1jUvS2wZ^W~`99^oO%d@)^e>0cg65la8cVG#p+ zrzz~)ib&TAJ-MQ!Q*`c>2aNl^EcAgXq~;ss+nRn9>PDV4oFD1ZEzpB{?K*f5F_cE)+?vDaP9wDhNQ zi@j|voGSq7I=}z3W2>TlFw~bFqq_RTZ5KZzAvKKD);9B%Myz97&D_DigiPD)J=r(H zd=jocx>^!~JZj+$&Yf|df&G}wi>~KfYKm4B-eFi)=fHG}LjTp}O^X1|-=%VI*b!{! zL#ELE+ze0p$`SEl_1e=Dlx)J!;$_*&%Yqo?_+f|ZSdvu#Gr5&a7*@zsCkr(B71fl? zx!1|D;JlY+1LHLR_J>%%tW`kCCI>U$51Nyg2XD8dkFuwXifz)pYimR{Pt&r^JUbrf z#lA(K3*5TqDQfIbMQ$A2ZtUPUpRi0nbt|#>mQdtQtzflv2Dh;4Y3ujC?cLtJK%qI<}bP!#B#&!IHdPqH-$s#7|lRU`s8tdhRwTRS;tTsg9mwmfGl$~u=` z)LCMBOq|T~oiSx}_#SF3+ko=g3hjDJi@GRi3(1@#hbU&1(#y!lrX+fKbkbkeRh3ps zf-;8#oQs><`#P&$ns|ll;StJj#9^+&7va~8m`C_UTbM%Nz~A!?BuXlM3#?=H4##L> z@xkS#b8;x)tVU6?_HLz@d_n%_xZj=8%ThxJY%}89MeNq z54-+`T`}RhZ!#?8;LCuWi?2(qFS@*r^OY8X)%m_TMxln#haFDmDL+I@)paH1Uc~0a zQudE5AKZZKEIV0@Cy1y&ZW`Q>i}Ffov{gwSCS|qHSPL>eHFMMJHgDR@sbsmW*%`=} zXZ56?by-QK@EFnMc5w|k?8hq4VA_1EQa^awRM_)bGls57AC`aGo74;-y?3H zU#-s_7>{U#mvkWe&mB`O=IQcjOgH2DLCuTGrH?$=HZ{M}lMnmh{p(y5m# zmYuv6dSl}9;G3W|sahW^5XAW+02Os%_2r-9y@NZma*o+9{}$uXNw`rw2j5OsLfu@D z3vtJ=YB}0RjzH38jGd}PAwyN>gBn>L$~Je#LLC~;%$dl&5=%-8yWvH3sg7ZgP_}Y` z>M$$#-hpel-v^?X-a==Pm|n=_*;SPa6k4)3JWx0dihEi#fnXlKT^Zi&rMR?o)1H`Z zW{|qbrA+>CfN1!J*fG4ukmSspV~1Kfb*UWc93XSMU;FE}=3o?aKF;Y{JiJ&b@*lw2 z5gPm-fF711Z&7}FT1do-rL%&k2|t}1osA1?A{+Sh+Rf z60he1KR7StDwm~ZFDNJ*`=v>US2dT9fKG*{e=86pknlpTq zhdYm0gRg7NPv85u<<=GKkM5tkVOF8ClqO5;CZ=9qv~|)lvHl64>n+xNA1-A!ozurc zl8Q7sEBrFUK=baO><#iZufP&Rsuk=>ylDon z{uUI_2SqPvG)wo>mPfG^nJ;WjuZIiPf$lnpoSiAt%o9|Td(BISkQ&#bm)o&+Ee0S` zC_fWL>k}Yy_ED6TW3QH=3ew&#-!n+bi@I%`1CE8?wES5kiVkI=ZmQhfqbe!YlP)U# z^;zzgj(qp;rhd{fRTm@C`#M2x@`vb zG#k>Y3q%drv_&OhN#9gr|Lk7~d3~lq?20{XQN4MV!RGRA59vj$s2069PIgQHEBGfJb%%=Nevy+gkE-+CsVgIQd{|>8_wlDY zC2p2*Jd#d7^}sb(J?||aNyPJ*?)MrW#Eg6R;jBdo)*`ReUO)$v`@+=PF||migbgaKn#~FRDAJh##tqDZJS!Yh(t|vP-($Re z;|xRyPueE!c*Gyr3OkM(J^B9W-8e)YYw4yp3u{x3&E#u7?r-f+am!t_b zsSxd}9RATr9t{inL3Egzxn54=pTuwX!eTWl`=!G*mcn>H2SQP0{pB7~or@uHbz*fa zq;06Um3NFg;e@d>yUxPzCbA2Y=sP)Ab^#&F5L#Th9}*KeS%L=6(_c3&8vnlaS&fzx z*&~?SrgPFgn@Vx86z_>2RW>p@={F!UfbUn$+`g`?=>*hUgcx>MSAOKQnw+UPE_Ui7$!vH1y)o1);zaYehY?rO+Bi&3`8rtXqrhrAwLLyXf!Ja27P;|corlY64F!HiY zU-(CDDKOnc?BDxScX4{4tR#yUQ9O#Yw0`<^^zwJ44ih})VEx}<#T*iW^0{veg9vMS zddx4#J&@Fyrl4E_r|i4dj@p&8W*4&iF8m4f5AR4Rf2?i#XDpa6Kt6YA z)FZ~>127KjKF|JPNnwtdOWS=mqO|4YnXpjZ2C1F%WXlJANtWm=68285WztdFO30*S z=zeTshjD&-&V$K2?+H zD?X{M)R}Y&d1zzF2KzgNxY;>1A9^v0Lzew8*s>dqa@D^ePvX(Ez%)4H#bR)eTCgpRvW&N5 z<$m6>cWr}zAmtock)f~F*&nD4#cmM>?WT`@>?`I4Q!WMqOQpg#?byEO!_9_`^KIml zwv^`AbmNIx=eL4xCSECBj;kYK-+_G|N@yB`<2^!m_EREdckh0W1dgR;rolgra<=); z`gRY$(dZK^L+~*Zt4|M`mD|j)SH=<&-cf!u-aaJePpn2S?3kqzccqz%n{k#m;#@s} zQM#(7Z^Zw8-oX`hZ;gN7Vo1jsBvD9neqJ1|xD-u2V(L zr>BLMkrzhT(3?mt+e0l{Bj0+%XU%KR`@Y`NLePw%^78MW1Fdi5VG&VsV+HnLLpwsg z&V+kueSS-%#NsqUu+XbdJ}Q6jUGjBGJE}NBa7OQe_d}`QUZ~>q6F?ql2tw`rL$|?4 zN${zXk#4(8b883%Xl_f6(_^8vL?}s&!oh?;VVh0<;orvW)jChov;I_H3Uy4mmBPLU+qj}k;$Ot% zGs>8f<6J{{`U+mbHJ`A^R~bl{!}|B2YIE%H*ZKJJ4#DvK0#md#!dO4XD$iz#-Q54U z{T|Kn z&Fo%ftWc3xU}a_Uon#9EyB3%8<>NZGrneRvitu0?R8(=d*RnBkFiI~9Gd2naB&G` zsvG$d-^R}EP}4c?-LbV(2OoW8#5KFcd5)Me{Qh|p>BHEUK8ewxwk_sKt(OR$Yl}o< zs&TudQD2Sig#oi}sBb%TaJ-{9*u5sddR_AOs*A6xulJqqmOLZHL6UIon_Xzonx(aW z?EOklq&#Qx;_$&=Og0A9RO4Q-=+!emGN$8N*}E8L*OccppL?18BU1_W9jK&g@hVO- zrTRQQ>!3Ek)No`TFPQF)u!r`P>W~CJtFh5J1u4);#Yot)s(q4$G z1O2iv9dKsO&`iS0BlPOL}c2{3l0ZH|X7oxQxX%Hcd;Hb{}`P z>6+if2COFFCRTeiH@@qtGpH7#s~fjeJ`6+8&kh^R*iKJjFq3^u+_8+;3cvTpqpgqr z#Bz5T>*D@$>h$%-0mtG{k7wM#e*iFA|H`#0OPevMmm#m?zHwZC z0k=GwVy070W=-jWNXl!%Db!+{#Ai5ggL)6kh&~oV7sVN!mC!^|AkrpRI>()nfe$0i zk_2W{(SRS;bN0N?6#^wNsqZgJF{8hzaiQtLew}i0^TI_?&oh}G42qG25Ws8^-i7B* zPrm6t=RDt#;p}~8SQgjc7`}N8E3E27>cTzXRyr9Ny&#Mnr|rD=g)G^lHkjRax8-%q za@altGUDG?ibuQGy`OmsG-~lP#^c9D(CU9M`R`mv)86_FK*N^_`m4`R(szixL5$h2 zb+V7iw%QH2oTK_p+38n5Sd-?Li!wSB?q(n1TkvSe-0Yz*+1%3#xd({l;t{MDgHqy4 z0XNt0)ZMx6U!bKm{s!Ng#1}mhc&^@XGGImT)wH_@JMBvr3)wMp)$?(Va`xY}vs5v? zdNtNg#cs0n=Y{|I#!sX8Fj!4y)*)+w#$P{8kJy9sDi6o*z<-jneGN$w-tnbfLGBV| znZ>2yTl;J9rA9yR58@SIua8qbb(N3y9CW!nZZ$97`tAJ8LR)Ue3a+jXDK0FPgxXNO zK4a?03_0Lr{uo5y-=y-8OxX{pBp%edgAY2>&|*a=)EtTdr;%zwq*V>O!c135vY$S1 zEFx%s47OZQ7p^rk8>-QPUYN6(I*rEAl0`{GelR8T~kQi6c= zCcT4zfOP3Kp!AOP8miI-0jYt|d+$9+mtI2;ks3OZ1d!@K?>XoFzWaafJ?}kt@jQEW z*vW6!%6kY@lhmRxUUhExd#lPQwe(I_qXX&c;wgy?9mA95XesY#R4t6V&w*z`6 zYMp(-2*qri6!JI=qr4764Iv4a%nw#X3cV(|IE}?v(xzR}n{(R~_n0tSk4{D)BlmNv zU;Oghc&C@`v;7Oe%C^0EajU1Jp+HM+e5caF&bkQ_Vr~?z=U_45%0`1IVqMsB-bOJ> zd#Y`1vI!#=$LW^vdBDc!(kID=*$LhQ_07$jKfcaf*w#;Z#ohJl1ag1)*~%0(%&dpT zKE0+qFVlMDMLS-V(<#0Y=WJ(cpUZ^F@5!Y`-NtEPUO3Y{YuV3~PKTKFNPkG-tY}>A z?{D4#N2{0_hkEW@CgqARi-vG`UQ+1bO6jIuIja-7UxT({mWZ$v z?b71jzW^vY9}0{!*hSoOMd*avNwy+fFnN9l z5Ap6yUkmvN;0t={QW)3qwfdL{9Dghe%;W|-^Yu*TqohR1VJ&r|!Bu5Q0)WP>#(=+@ z(Cc@^E-1^#xe>9WPWrLQIS6B@qY*yuNz`RJ&a+R<;X(tw-rDSbHtx@K$vwr& zw=>o_tCxArO<~g7zxA=f%S4Nr{_WnNHT}?D)Wc0hu=P8aO;f`eY7eQ(jSN5LTIM23 z*(IIf?rFaemo9YM-gL0UQAs%968lgCbJNy_O>%Qom=NLl4g}-TO3_`A3Bo*Ou}WWY zyRPipaw=Kk?=Q%Os{#%myMpc#RmXk6dQ`nU$!J^R>2afltRxt#4__#hqFH#;zF4Fd z?fSQeV$D&g38|v)``>XJBk@rt`yLwjHH?I1ndS53l)fjuwJOdGF&b;N(Ct;|(s`il zFlc}reZl+u_n4xtN*q;{{ma5AAug3!qj25pDv0^83*i{b!OdD8zGtE_vs_b`@8dfGjH03E%%sDEwaQKVkv)q#pf zLtJ_lyv@ad1Q=V_?IJ<%4x2hZ$;zbD8+-O)LOgVyGL65!HI80| zFPkbMOvL6QTv8Y6LiFGV=Y3eOy^kTn4A}sn!c+Tz?sC*z5a*n)Nov_ZExW`hqjgeW zINd-k-_xl3F_4S6D56BzmbXXw6`gEA?riTvmdo)(7rmXx9Q$ht+thS@{IHe*QN3W8 zW5r>}u7rqbVRImCVV<%`?nA=pHUD~CB}3ze36dcjPnkM0mSc%pFW8q zLEhH=HQ6>KVA;vsueA3iB!AG0sdf(ta+gfFAjS+B6kp__vvxP*3B=b-me%;vasUdl-{`F^%K zH@V-`i9Er#Syla$)4-~yM>$Qjp&-La#I-&Tv?Q3_*rS~VNv zY|Z|Ghow|?UvaG3&FC~(BvezmVMm0wQ>%ml6$3QsjsvX~9&eT-$y<7zJdtW)g_CDw z2yFXRUEZ!wT#smXn*z;P3lC`-ll0WQpi=?b!9x;0(;5Qu;&MkQIeRtcN0ah&l`z+O zqv{z_ORHn6MeKjoxNGZdCGnezE7k%R35H zq`&AQH)?z~6j9KK;ysqJ@re$;V#c|~iq7ypq}(a+mSI`0M=V;n^tgc!KHZiD^>mi7 zN+$|fC-~5P$(}5bVd{Hu4KOLRgLQAh-e-?gb6quPOZHedT?Mk6bPVkBySMo%o^bP8 z>gAuAd|UZzOz@Brz+QOF>k@lh2SX~uIKndxom%y_E;Rad(tqC!gb9Cg&Z zWoKhK-_RNbhS>c9l)6z@9}rC%U!TC7L{D6{G-CEeDh?tnMNnMd+GXdA!E+f$CeD(l zCiNpe?hTtd9#7Gn$uQ(x#*dOF!nSpqhLzt()H4#MxxUtNe(QxyviJ!D)TPmk7R_VM znO<8pu)Viay32u%RT^tpLrZ>>#c4=2;v&x$11qfFKD6I!-~9u~^P=Fk7ZiOyWoK%6 zoTZ^4X39GXvgmD1IwouX;j5R>6l>^(b38UJIB5_zh-WPb0$3w?iEcocV<7 zYCI%8JwB|PNr91Le#6^K+n?^%*LND~ylTJCJDb)$T;LbuEe)`!EA$0T)L;5a*no4g zVcIi|F28}1ln*pI2;eWvqJLX~bHYo~JHT z4vIV1R8oj1l_43rF%Pqcz=b$SI0ux**!BWASJ>K95sJ(#`h}pZYvL%|gZ?os6^cIFl*-b&2Z+r$m!!Grr0km1%IQ!k) zEBfv>Sod7(M34LRn%dGD+g6KKfgbdYVt^bm0g_0<*XPd19;8IJ#3{~6YG6})h381Q zkA}8HPZ0TVrS1!8*Ku2bIc#-UWbD~KLM(r+0wE>mM>Q3$>f?xRBK!51&m^W-4sUuC zk>62)srdK@KtvaH|JL3V1x}uedKM9k$~l7O;G*(Ci-GMV9Vxp`>N&Ut3M^s>*X6FT z>YYmp$qcs$%hS=dBGRFny_fsf;2QEKo*9UThzZW1b2KLtQ_eb>*wRY5a~a2ak!dLw zPv2C-F5E)X)IakTDoy(IGQqsTpabDC1EI}Qq`o^X4xh^y{5EYzlt(MvW)D407PFg* z%y*oeJ`xbJ=Wjl`oXr_OKb#(dn5wyt@$jl3wuqQVlhZBxKNbBrc1RF2W&D{&@IFgl zt?x&3x^Xl1@|$R8md&Ouaoo(Zg3WHGn7p~Gz0H2g#1$r48={IHGq&S!;hJ9(q$$FM zcM`zYL(`gQTx*{joV>*{M2H1OutPRRLvl`OHJ^3-LO*NA`AlHu-v-^#2LwIu!2crO`x7Eao!l-!c6Aj#7zxsio~pfqMZtz zwugIeZRIGnha4&)PYC$6xkrCg%D`5uwC0OkW%=%KpvZgF2e%?MSC1Ce(#AaU1cYxB z>9IACVnKbVC+RjQHJR*mtTqy zM6%ew=%VIzEcKxzmB{dqY@pwRBdCvcF<9bPIJE5hEmZdL>QVKDFJ^k29zS#-Z(IT` zPKwilXX8UdxFsY2K-v8lSTwf;F#K!Z{aZ&x&<^pluDwYj*g262@bjrdm8LL|SZ>wJ zYa%cU`T9N1ZZ3RyABmq+%KTMMPOj0>y0y;MY>TgzztQl01L=8ijLv?B80Yx69qL5r z>85ArAjVaV>4?(>Nu7y5G4{B2>{pa6`f>I&q)bs+&PZL*kkx}YpMS2*um`tW?aaEG z#luPFT4}GyCE}@;->T8QW8XqPQb#s~P5f9hlw$8DKQlAyISXB;jH-@Uk0X~$*!jqD z#ujL%>c~iwq64edXH<}IOmq_Luu5Jqk}Xm8s#{(IIa-#7S(G(299RM>>46$-{Nh7dh5>&^;ta%{#j3a6pF-~3!RX~Z4>wt`=LIWcuU|{U;gwJM|0x> zkpM&C-X}m`EhGS^x>_ZIU3g=?eSJ1$?nrNoW4+IC2F^jgzjA<|PPY9v;7Ez%uFNf* zc1GgYxw(CZ{+{hYg+?PT9>gMF{W+CE{@^P_hg)G)vhr5l7wzep{)xm#VNR%&_x#6M z^A2zU&z3bk{y}WGjQ)PtVKXSX30PK!Al4{7^{ikcMO*5 zPHz$?0SJ#HQdbB1iUWY>+W`DfhhIu+F#_4A#sQCXaAcBuiGHidgpih+~CS8c3y5zlB+LiYI=Ny2tGlvU~#Vm%$D;s`|SY&FLeTH z)W*Ao`#C>}QSsisxbQYWs4rF=d$OVM2`9D5X~uh35x-=)iU1blP2#k9*%-JtZtEK# z+O_@p$uDf^1mNuZt<}Bn65+Uz2itGL=vmrNMJd|6)!R66E2?vQ$tcQB!^7DKh=1=E z2L*@IwAF0RZ1qbcjEo1lP{?puacxvoZKzK?y?-q-)og)?3lf*+8<*^BUR)EclQ_CW z_?=IjyGeYA;4{sT9Q7TKMkJf%)cDT9;`klC8AB#Al7pJ0nOD(CEy883OWbhlVV;o{ z1T|*X3AnUge5eZ-ael6yip`xRFut+SJCtBZaSE@5%f#7ATqV` zdZL3OIT3k&ueO4B9Fq?B^Nb^nn$>tQ*Qt7Aek~kS^zcmYLB{bN&HYDDdWT45NZ)lG zwaOmBh$OIsO_{@1mZg?HAE)M`tbd2Nt&FTt^>8UfXXiC#dMLO~DgY(J7wm)fvlMDc zHfJ&*4V$ZM>P4r$=VhA##;vDPdHjQz(afJot2^rpcLpVhr(H0ffl~)$#t9)BqPS>< zkpXV%7sNw_If)EA#F&D#4{##b@Z+G?0l}VqeoKB${T0x#dP^+ZTiIkT&O_xG;&boL zehShB*2#|19z>4~01(DP^lj#?2eVTq-K0ndUQU@CdWoy;DG#EgMcN&(IN!P;;v z!7^O4MOMrpw^+NJ!dU7Rs-tfR~PnE=&nyIH+V<5r?=n+Y7eUN5^|NuV6>bV52b! zkbUKr#Z$9+_EV;=(M^M7%QW}VO+gc9beH5vx2f{#)V2*rQypW?i9olBem8nq-TRoA zF;Qwh;rUmUm%Z91-Mn$drknuGqk z$A18m4)!q@d!IilEvA`@9LbgkID?taODxS)c*Jr<26mXVgzY#e^4mSepGiX&TV;(s zVjNBAhTUTe#}A3pI+!!VZF(i4`xi^RFk4UO)lU?V+H%gj7=akU(Ntm*w+jeae6 zJ!l*ZSgo~YU7|LHqm1n;QkIu}VZ-+zVnzp}vMFkwM^8^@y8wU`Uc8Muq<{pn^)X*1 zs|a;wPQaSxk3C7zW_VM@xlp-?v*FK6f?H+1%bxYkQ`0g^^ZL3UqT!3yKA70!N~`@Z zf{x|4PdW?cu8JB2tW|tAG&d8cjRzKfuNWlmvbDGw>55QVa+omKfKJ}lyH+W$d5lXI zI!n?sL&NLx{d9PtPmgqcuMiC&pzOFOCv)9NWnG#vnuS1~DrP3FP|+2)Mc!%0mGDa9 zxZIax^FYe4-lI1^00_jrMn4ey{2U6%_3ya&%px1=HxM%BPZ>Hr0DJujw@!-l2cGZj zyRL~QviBdx=ag1|w$}XELT0}BjO>k5MqK8Lx}B}KWzkE)=zHD#Ohr1oU-WiVeK9*T zb0Y!RbIerrmeb(I&<|LM;yQoN#d-*4_fX1v#L+4Id=)-9Bz$J=;yRYd4IYs4+{9TK zF|peAu$x)=QrVRI&AmOGIXCF6XVknV`RNc)I67DFk$f&JxMwqJ8NWrFNN#^3;AyGG zsI+)uGwyMtqm$?=V)n!3ijsL+J-$iJbMC1p;tt@pHj*e&voWlyZXS$ozy0i*k?BIy zgNWZrKc^ANWw64yc_Y3@l$EHY;4ho00wS-1?3wW3D9&NI~~Buk>w)wp0!8 z*S9pCxzJs88580^)vkU{L*>_m83qa825%o9MWbMHCK5pUV^NYan1zxgQ~`t6d+{aM z|CFYx17_IWsg=cXEe0(6!c5hpFqjIYH+4BC{Cp8@bB>gAOY!s?cfcMV_>IO_p09l= zE0;y;f>eMCUi*QmDcTnd8ko|-LZ6uoWv?%hCD4R3=$ABZN2kEl1^L3x^3VxJMCRST zwnv#_T5J!>(Kw+okyqRf&)U9JF#(REywRxsxaYq9Vbf6ZSyj;)qSd^yd7v&R2!Ka) z-gzSXv?}#cMd_1B6Tj_G*r*5ba@_XEgJ?@B; zi^Sth*o6JlmBl4~(ZzM~65-@g;#@{)+&VS9-c}T9`UcSDRz;NXEl^&VN||s$viFEl zn(@t3f98C76-ADXX2rqVMMEw+WY5ac@nm*#x_xrQdXMQC8;=srI#ZoCoU|IYxi;sFg^1&3w$0b7;Pza$3xIg-A`~CSU!*;D{CJ&gDR;&~jT7KT6 zh|Tw(0kd*fn zKk;l&|D2U*c3wTfxk4lBWyi(5q+-uWYs|ScEqu^=hy_-@Oa#4lZg_%QFXWX|&b=Ir zj~yE97g0?nlun6JBK-bd^Ys3#YPvv5+berp2&uh|;CuLrBVEbQC3B6Qrm!rhTZN2H zeKxab%I7fo2xoVj;-=KekIpXHI~T;p2kd#_0zOkcDTvo10gv;jYlyV-k}@?#4B!mk z)_0R!KQ~n?P!H~R_0{^lcX>(EE(~7A3;%h@|M3dcE*u8@NzG|<0jQ)pAjrnC_y0)v z4>IS^BPp+i{Re4Pvv|ehiipy*;tGz^pXg09k1Uj68!&o3J4RbsMN>_qS?c*!kkB2f ztt;!vxiLDd2j(l7%6})~g5`Yh0qzr&VY?1xp)g4?wu+U*4Ale#?V;UvZ!+^IecUdG5z|};Mz>cI6(Itv}3u%xOjnL9aaIo~7^OAH( zd_c!R%qIEw)cREW=edq%2aVn1pnq=K`2f}p^`MgO^sgHe002U}iRpm90k`(Lp5Qm2 za(HWFOYL{dP5gLqx{p`;1Ej*!_(QM8nVX6lJiBJEE|>VOLA3%yJuxPG7p`@Pf+b9O z8O6}CP2oa%pk1m2nPp*}z#e6C?wpaNq1bgE{i{eXdyP~>*AZWi2;R?4TJVcxedqb( zjMX$4ee>A&@2A0Wt1X$es3%8iWQS_)bv)acp#Na(zdf9HVFR~vLZuZsD$sG=O^eTl zI$8S6B6N#C+l6;`NbeYDM^tD$gg?O@=8M7X61mv&s84$53OjlSL}f+?6a^lj^h(JZ zg*%?ODqbxQKb7=d;!3HMIEB$9uTi&}8F^_qf;c?c51Anzp^MjMZL}>0k$(8M=b0WU zc+_oe@e7H0dNHA#6W~DS&`r5EV7vKFJr86>%o4&imsUGt$k1QumVwWn6H~k3(&rI< z4?ay5-zLbOu)x-(YgqsN;cdwW`!Ux>Aqg=CBEcB1N;C#}b_i1LmyB(vm^A`+%~ z>KW_XBc>w$k}u2FAb~?FD-s7*Ri%dtYj+eH! zj4(7tX-9$=$~QH$UWcX4>skinZl!!BulBP{H$Wnmx`cUp7_5`nK_uE>PlAYLkFgb60H*MysU}1m}yG8X_)T+G$Zpa7S*HuYSmy#Fq zZ<>RLm5LC=BOMHgh~&xY8%!q0uv42vMFVvw(CHaipsGn<;{+3XlIbxz+EiP5|9rty zDs>8toigG|(lW6~KVwBoAanf0GQg6`$-jGAP&7C-V=huKAZag5S3(jOUFV;OmLHaU zHEJySFwLTh`KgTar+nlCn^ii$)iv(7>gwXByv8PPWUxMa)8pWX@VT64;Yc%maE$D} z%Mp!vtfW) zDsP1~eekuo5EIWT-{j!BL=NT!Bwrn0a3Tl3#_4_gr`F-*>ib^4rkUEE3e%=Sj9?a8 zflV+cEnoYEW(~>SCa4*i zbn?5Y68D+lC3!P*xO_>HWPOb{4vQN-|4cL^R1`OS-l^6RmqrX0pyji_i4U564FHg{ z@8@l*92G!69${3maf4Nms(i%&N6Glt{_BZY>nE#o39hP}6)<)9tgDbeh_Cd;7>4jj z;inPG))?LJ_;=;23WW~LG6K(U9$Qn&>E|TbGJ`p#Q8uh%A8d3P(uE#`?_7Cw18i(1WCYP5$0ieq*34j9QiF&5bu>)YbYoU^>4I)!Tujk9$W4} zZGK%I`}z(^g`U}dNPFDiw7lq~ZhYXMR(R9-W65_AgqApMN&6OAVd}k<9_s0yHr0;U zICuoAU-o^>E%E2K%7ax$7mpH3tnnd1-+X?aB!_@s|u}H zms@Fg!TE4rz}zrV4d&lyf201NN_;VQbqQ~YW{O<}C^ad0CotpG8sPd(0f)nz%4V$f)I zDNECd#vZRczjTbVjosL4YCeA?g|Y0#KrPqwgL%-K}{#hrz2jP zmnicf`s2>%aF*mIZROR(yT2I+Ja7~LLR8wATy_<=jS+r#+(;PGrB|_fe#%{2S@STG z#Aho-94_|A(OEoUG+{lB+cp@ri1|R)L5ySMG~g{b4*D;se>@SH%WauPUDg12PIP@w1ds@0(A?7BG@kFqNdu19H@~UzE?; zi)-htkf486B1_slanWS6oAu)+P9R<~CAoaAjO)#8<+5+<(Oku%L(yYhY7Ei*UbMHO z%^*)kVttp}wO=(sxGbjg4LB=t`;u1tUs(Tm;@0`btuq`Eu~b$piNAtYxd7XRvi0#* zULAK7lyWTfTY~#AWBxyDmg|TD;~w@17M85;WZjt$@T#R5C=y~HZw}w<#KqYAn;vLc z_J4*yZ+w0(HDy&_SJHku?o$Il@}AwEYAMq!P>F`}93*{m6z+QBYskytMtATE99-G> zzf5J=ywo^rCPb(MjaQbOkPdo4>-y;1|NJHH zxWi?8eskrEaBcGEZp|w#Kr{_7IUMLXcDz$DEqV)y^&I{JJ#Y*|*|hHIBwU+68Z7jZ zZ(s5bX!>8pig-z=iF^~Nf;RbDQe8n5GS1@s`{2aoprF{ZpNV4sVZ8%2yS3S>r1azD z@@SgQ(^M{SkhR8hdcC96k_TU*mSc7jli#MN z*f>y5$9yHX%{--`B6d;v7)IlMpilt{yo9?+dQ5|g+D3hS zwx?^D6#GDz%HDBUz`#=YWck@DiA^S8+A4+0s46|{iW;v22zHJS z+m}9Fh!xSSNtk^6?_2P%E4Lner}T5R9R;@2p$oH*oeHCNjlR5TRGbUsXgdFR%z13e zI&5jdn8k)P)s|f01dz1u#f#|)<%Il=@XUE^<)#rcHwGIVvZ`r><0`r(FmPSWD@CZm2FY#MD|_W7C>Qj^SYyOq@4x5TzhVFP5=bj`a&K=IPHtYcH<()&;H znn*V6Ak^I$1$H#xOu_2&E*h;3D8_Bt+80-&%BtK3NmO%1U2rZ(|5tjtr6f#5v9w5C z2;X>z4OkLM8KKE}V}9j;NUs z+@i~!oBD;lps%9G|5p}qUwE6PHm{5j5Frm>UOE_eSeZ4EZE`j~yc>v1qgn1M{ESpb- z$WCuW9dG?5dH)A}c=p&M=Dg#Xnj#Ie;z6rek02GCQ`&t1jII%HqHeR+X(T8Js3~{- zZChA57bEq8^NfvhKFUPHikE|YQ$e?)tI8G6?Qw=o(0}3mLxB&CrJr1K)3(*KtIV>o;;cUDkC*!Ya7_E^%IczNFI>O1c)+3tr|U)&BBkA1e;Hc}XDe(?a8 z*vV5MGx~3!N47DRf&m~Nf)$!-rfN#@guJ);5^vmJm_pU*3ul4$;=}wlqYd~|lk@j4 zaOsr9eI+0pEpKfWJG_wNO-Ckdf`*i-wRN;W>Thu2EPP%z&yI9snitHQp8O5<4<&AJNhhh= zly*4zrW{_WGRxhuC2DXliXF1QT!c~3l{sG))ml)bFi8ig!yA1`+ zF2`$Z2(D?nHGoQfBIhcaDlZGE`}tJbc9Xo|yhp8;$Zy5# z+Ff2JY)6Z7$eCcTYx<)_9ptw%*p41pv+}$Ebfl!`r^R(r2-;C;8%y)bxbD*F#qaW7gkEJTX3RuQ3BScfIFJ%)PVIUFG>YYwC#6`=inMurMad$ zGO&_hu-5I1+`A`oxZh`j)zx4{xK7dfyHgBxP8iuP5|Y4jZ(-R5rthY5;xuz&wYV+| zLFY>`inc=!|fVw=u4f2@N0{z z@t47Wk!$m1~`=kqp}G6VgQ{aP1avT7e(wr7;+Y<((9J+wa`V%M%7 zywjK3l#hV_V5bk#m6M(Ai@A9NV;Noj^rAd=FDbRGoF8$dzAO3c5wuS?U=`{1voogL zF^D%Kjs{ z#WFjsKY#{5g2MW5d-G3@KKIz|6GKQ4)k%nWGDJM-$Kn}%i#tK4;WE>kj-<9T?-FD@ zsEvcOV=8-|uuRCe5!zxko@UBYY1x{|Cy=t_spa4LNEt&2%1l=M+^7{{rly1&^G0X^7x0S zQUl=;AO3+u`I@RhNH5Zg?2b?GXRpK*?+&kE(9g}KA)uAO9^syEpcEmEgAQ~@lkcTC z&Nb5!)Zn?P%KdCXMCS&=d1(V`=31n1e8`uXHr>MEyjX~35lw9jN8^{&B`w>Ga3SK< zy9xsLKbj8O#Vx4|s?BieKIoH2a-Q8vyY~m62X|!@nNtEVd|cel{|6A$dn0FVJ*+12@Nr?e9~!Khb=By+SY^jO|Fh^s zMZ?c_F$h|xU%}}tDY7Ks{%u zGdxlzyovzR6rJUrJPf&BVA_ASSP+pE=UVh@6*e*iL74SRF*!d<`Aw${MW{z+;j|n+ zKQdRtE27Huk_>+1^@LuAdrq7TtQKBbF}vy`f8$!ySdo2|r3JWZZaUCwBHI60v{7uH z%o?E%82VxN(ji{P`Bz4b{9=Lv2cXrKdTPw>O;?Wb*WCxB9Ye52&6rYEN=TlT>R6TO zLkXusa{4LBgNMZE45y5DENJOPj)iZPYuuj@w>w{Mf_u3?|EjZkW3!gd$1p0qh3COl zb2r*vY0o>jmgy#$;{4Txn8sn>E*W0uaU50khl^zTnm>RWsqjaqR@y83_Rp?L+8JrB z?kY@btvRoESFgAhdB5K?D+YS8A-k-@ADj^z$sH>E)v_X2{W+Gu#;GFjDt`ApZ5sJ? z+3D(aEcwU@A;B{*g!wzEiu{_bDp#4_e!J)7!)-_H+zA;@p;6Rx=m-6)BC0<~Z{9-X zZlPKe6_}}PQu!YY@J0Pr5meA&-AL!sNd}Sl6m6$2eY#tj56~~%jT^#GUdup`)jbJo zk{u$0SCTuFrYt>l@fDf4j(sYnLzLG5+&9V5_ygEo4*A~sl3rKphUhVQKre2*zL@<1 zBngGhshwtP!{F+X&|am`jN;$69sO+3CY)JtS6t7hlgy>R8dmTh4Z{r?p;Se*Ezzz* zNl<`=-)UJc?fe9lI%Pkl-y1qP99N+!&1poJ#A-sy+}Mk*n4Zq?vw2|)zi2I#S_LaPX;+{NX(+In zzoHXi58vP0$zr47W4fwJsCu7yU8-)wmXna4O?OGnjLyM&=B-4}u!cy#Q6ji=6%;jq zWBe!k!&B?O$Bj;EDOmGTNAhXzmA5XcFJ0UMd-^3jOO5gGB_IOCQykjdkdVCcjvge~~Zg#j*FyYny!JMm8S)SXoA= zeC^4kgwkc$LM%GREcbbc?VR%Y%;LAD`9=By(FL9b`5MA8p~O|wAKG4?#f|%_9+@5j zaV(JBtqk!}2|oG z4%gf6%z~Gs=kUV`Xw5wCeu`lydtS=Oz>2h($ngJKYHC;1J3jFF9hHmI>S`{nM^xUj zZ*Sl|?#INlo0jz^`vH{d{rAhgG9<$&{r8RJ&aGz_j)txN^Y)}YCoY#;C^gq%{sUmV z{Sni_r`Z^#to6FD_7C7I&MwlneIGKbv8$%=t`@>_{&{Ez_73tZol84owv@->K&iUc z5f-2wCB+q`mGkiB^*h14rko)@Xb~Cc(hIznYK*GTNM}V)x(kLBp=AB7MKOCSZt-FL zO>B-(?<@uVlCQpC!^aCT)uXx@Hi?e|FRIl8JtsVRR(sTUwvg{@1uX5Vb?sV%}m)Dkg=Y^abuggAQVE;&r0Ro3?DK|kCZ!FcM(C_YY#~-OBcRBKPJ}eOqBQ3ZuBC-lTrx(*IUYr_3moUywg8pO&Rid z6*uki^FnTnC1a5A3DJy|yVA7ck@W`UHI!Db zUpt3|;LmKv5WbKNc*#GdL;ET_Oz_-3e3uTljM!h*Q8*OmXvvspOqwgPG2#u>#6IfY zz`m@HlAkXm930TiqX{+;&k1~zYX^%A7T%@c4I$q$wZ9!gJ>9^*!7L_|(*l>=OPyMd zO3{8a`;OE&ih8K^{PlL4Ju?+~UMVFr&BOfDkdVxRPo>oc3=yUIYg&yTSkP3y&vlYb zlX`~yf2~$RM)Gsrm`Qh|?}5qQs0HTK-&{(_gmy}&cs@9)`dkm(Fl}jVZS9P{N8um6 z=|}++=g*4i)txwz-TtuH5p5I5vr;&IW8rt4@p^SlD6X`i^;=)mGzEt+uc%#gyA-<& z-GDSdw`D|Fc7tvkAI4i|Vcy*huB`Ai{jpDC3z?zh6{GSWKuxf&UzLidUc)eDsm#+$}>^Vw$F2XiN0I`u75vt^r-}JDV1#qGIlI!YHxy^V@f++)l3b&-FLpsXA z0DC)rFKDYlYvb|d?=pHo>Q3IM0zv4osKc5-z^athLA|0?e;fGp`zt%A;6mo+T?$lJB~x=)@0nhu?{d zSoh)`dpF$kvQd9uS_eB?6#I(jzOWqZNu$rto+P!q4Y?FTY8$$Aj0F*eI*p~U+e1x; zKGF|iU&VCe9Us%0Y~O#F8kxR^pT<`bxR`jU*Q|CrbGv60m|`3fS7J1hiEbfa!sbe9 zJ5tM3*k}89(H`8&{Y1-4X{GQwVv=gXKL}DVAuKv=u7IR+O~4cjb11nkP(NtU zjuVecO`b#q1{G)X3XDB>?+hw=RY~!vBFEm%K)dJrN4r>|6nibj)8Qof3VjLxxu3j} zQ)?AMPsLZlrZ4wT9{vGbyLr72IRS7{E^@m{{pNVy#9N3VunA=7QU1Lz=Sb8-n-gZY z#Sw_1-F2A1*1aeLy1Q3TXr;6=Yp&IZlou9)U!va>m2&QSC@+OXiPq^^&rOf@Q&GQVAy>ex*b2HXSN!x|WaHteE!FOlIYV z&Jd4I3|bll77d8ah($l6)%GybP|dU%CHlqk_Nay~HRholAtH6^xmOuZBx;fAE%)}^ zXV9TnWzeI8+ZC>9=!$Qp&bC@u0i7lT9hOn~&h9ii8#bvH&|%+Rcj&2K=g*`1~=c8oix0eBUVBl`zn_(cDC!)t}~MQ)F* zU;08KS|SaOL>u0=QZ$gpxaGKs?$*?rqmjg!g}9-Bb1E->=E-9^e}#Mbu_4TFx9!YI z(ruC2xZp%8zFflZRF)4$zfeyhVE1DBhadGcTZrhW81X-Z22hWw2QIlhi*GUO`Mg^K z_j4V>-ko@`o=};6n|!7mZ>dZ+pGz9g>hq{S6Iz2{H>^y5BuSNU^|Bu?^%nxKM%}9Z0J{B$Ko9TjE+;~^OvyD} zwV^{nF~Pb@1o;gD%4S7%2R1|zrM|UGhDv$b9C>Rs`5dA(2WYl(rGp<<-D?*6jQi{ifX0b`W)9T znx}q8IP^IIgTy*z-(H&jX$w@Gy(F6EYEVKo?W)LmQAi}d-)ON&J*lrnOOZgtAPTm3 z%`=X>a*WroT4TCS7j(qfbIYUVY{%;d6rBXtS!w1($`h5Qy=Gap{V zOvKjojsD&9%20RK!XZg7b$B1YD{F@y$E3on1{?6(D5GlvpCtgDz1&vejxuK0GG*Jz z(?NIcC?Q3MEyNd#qRVVzlNHj%im&s}sdt*K8Pd;6Om;+zPUB)o2Miw8UA5_IZRQn6 z$G%LYDRF#r=w>sI2@59qrLn+YXdn7veA`j54mW!zYb=z=X3ZQ?dye3CjgXLDK<&qd zrk1={x7Jx3f0CGU!A|)EW>vY{(GNz4VK%?t+%$!7Qx9Vg$T4W*IkBqSzGPb);d_kp5lH+53%~INIHk527appfC@3t(* zC~uouLvfQ!76mTEf5<$DbF8>mkgwO@E#qNMU3RQCP5My?U=E^@fd#ugTx1x1&FL{B zOjiojMRaDF?f(sD_N$rD3W_Jb6 zeBUjS?#&T)#Jfvr-Hr^I^xt9mLkJy}?CRhhE4HENr|Cfkomw-ut1~nWceA8&>a!A% z@3Q$cwC=2$1^#UL{{WUiX}_r@beg*hriv!^ii1_&l6mSd+gQL_+)gtTlE&y>Snbi$ z!pPVY@YtSi4jC9t!`Km|jPq_830STgD8x>0g9z#i85XOT)Y-4eJL(0?RwIekah@Bq z*o4n{j%}uoEbV%_;Jp@+&(e$BWwCa2OfhR3dS?tH5EPHs6rZ-#zDSxCf))#B&Xr0% zSP*X|n^f*;hT5Rhp-c)#*jWcDI+1PBatGx#MWIqWXVUq(f#nu|@lND|Jt>^jsix&- zvz6|i>wtrNi{VD!GM*yTz@4bL+(M%E!p5mtluoVdM;U)iQM)h>t)8DQNOoYJJG~vG z{GUw3FhomrZ4b)x~ZJi}ddq<1L>Mbg|;~Vxo5uMYHCjHpqJ%RMl*bS3W0n27`11 zNU{xJ=XhF+zS(neoYgI)iLcqom@b^$q=RkPL+@a*zs#4^*`LWf>aUtRHnip-nvFuo zs=HLeF-Jt$h0M;kIH!izH4GOyJsJUeh*x1`(Yzk+9V@w5LW&1dFviBvMXqOIF!KWl zjB2UiWPLFm2u&K42c11MBgr*5YJ+U1*DaAzvzlUP`-CY+oGWOe`BV8^0(VGJWxlQ| zCf&*Hv9ign(I6%r3VSVLvvM1UB2j*3t7yN9KMC3ie@dim9L|0Z;pn#s;%F0vk;Dq zYfkr6%w=_iVQ@`N?(8%;*@m6e$648t-i{mjF)DNR(Qc&;ES@z_37oYSL?(2&?)g^J zQKI=wZPR1TnK2Yim=;|WUnzpi`4sl*3iwefhU%OFXx;oui<*`GWyv|WLy~*50J7O- z=WAO;W7+ zA%?f-x|#7N9N&m2V|&;QP7c_fS{;V~h8=YJ7{gm;Vc@4>qv5z^`S)aGDm)rFpJ#Va zVIk6CU@q-$i?Bm?A%=u-z&VNrsRKds_;45_mVdd88DCP?OfpfQv05RjguU2s;~b|I zh`>M%QYsI}X5gf+Y-_#LSd(NzPy-14`HBA0NJZcm9SzQ!0z0QKkfl-SwV(3F0 z>Bs_`56h#f3^b3*+B~w7RwGGbTsVGW6->`GnW3k|EAz7d0M0*0Xul}@e@ zQ<}~rLV^4msLOFmPBVsFLJ>1~)RETRt%Q+Lui4w~|u0a-zvf$ttv~B&#H= zETvjymPM9Dl2ka$?<2-5?=QSoS(n~fWm#rf<{ZpVH%5ez0`9cR7c($j)=jcArQ&msd-<1})tO8fb3&YgXx>J>ogDT*r zL3NST{$$r?7Z~5+NVaL#&Z^Dkkx{sTV?jvi93FA_z^A&4ROswgYcl2Zwqx=n-&F%; zbXSlzrx0#Oh$Vjv;uOMyY>Es+H0_y`+aG+8rL^L1`Z5xDV8?7TAZ3bRA0?e`)eF?dpsa| zG?fL8Y7@pGbcTB7JOJ!2Ajq8|#HL`6N|Wbxskqc|HRhhWS>$Lm&Yadei|B11<#-tz zrEqI0a2R0$^Y?`VG)=0*>P?mlbi!B1MT#^3PaEZSq%`_kaAS;EU(H8^=TvmnUI;=DYz2Vrr z4Tf?WsA^%Iyg6|O@ZLbf=tOPfNn1=StmyFiSzlDZ>c~u2b1=u3vE<96RXEBNp&9j1 zIj-fDJ)|mMkg-Vkl(GebL%=0e`?4w@m50g~KukByq z3#Ri@1bGF0Q_qzXWWnVt__cCU$$i&SpN0J)eNbwB+)(lWiPRKdaJdi>y~>Q)t1Xk- zK1tJXc2X3FMVJJ_b2(A_Ya4B$i_(JEvzs6nV9LiRDtIjcS5@&fC3mb)z{4Na4jLRQ z7B%u8M`*|Dx0SOk_nfh+xgRNwSy^B>licA>?0%KB^8($><`-&``o6O2oR=}A(R-yo zSG#c=tb!xJ5E}R!zUz_*joG&ZH%M%-zjf;${EghT6z^?xW;cvRXh#9`^+p$6QPjFx z&{|#095dQ=s*Aj~V6vUV=J1yQqK%o~XZc!fOf)4_i@_qGQ~R%2c+{vS5jmy!#w*$% zkamE(KvFzEm!q=&^t<+kno5xiB9em=rEd{ay0Z}_jFuNb=SKekR}K7_l|Qzha-t_L ztkk;y04d+nbG)goJk#BLs0&?Rl5!19`MV0g!74+^3#kW@M(eeIK{w?a1FX6Y8dLn_ zpqcWUC5@4KX}*d^TWz#x(`VA*z-%P4oDw11Vnt(QJY!n9IWOP%mC=r%7p*}u$c+Gly9 z3kfU|IK8&!s41hXkcZ7f6C`s3=@_ZnV*#p*+$r5oO$hiLhVZX#!4$+*ycxG&a9p=P zQn1f;>u5hGdt6zl{MP`dhq8}7EmPu`h}khWO3(%e(JGW?3A0_QT~jHwQN$a_k14!b zHp>y4flDKn3ojcnQ+uyXiSIr}Q&ecB!li%QJ>ClLvvLvB(FYwnAq$xCN z)UijPLdYitH%eV*f`*}Tj3Z~OPJEO~pF@?2N;+9xr0-Q3Vh~GnKzbkoLG1EGT$C_0wB{XE- zsD@lK27eB&BDR;_V#7Jfb{liTo;y#K^dd>#O>HmVWI?w)=)Khc0CDIa3Gmx+N6`xC zBe<1@dyZKD0Oan#NzpM>?Z-7+bC z$E+~ey-?9U?U2b9cx7sCihvyYNz{E1wpXrw_4Ul6F*;0Usv3#I>F~XzZd|oEol7cb zbK^oc6j(>6hW<<{4{WPkBCq5L-^Dvrc`xFcZd#wJ2FmwNwStY`*&65t(K^{Tm{V#{ zb;4lsru?hwvn%FSxKWO4E%aAbL?GkINH1k;DFS)1Huws_SS#fPUc?mPWF2tgn{O!x zvEi2`#kg@D-)*v}s3H+GW)Ahor zrV&5QC3FB-M~H}}YuM~Szg0a$q?3xGJi?;)Q@zKaG~PWBiU_OS1bk<6)*0>%hX zvbn7-9vzh)NtKpRRFnbTJ={}hY=n7MORb@qk<;*&&9liOo86INf8|tF0#>vtn$|KY zEE`~{0o6^`??f$?>!{z3(RRTTQ+8R!Q|!xPb>^?6?9-Ur1T2Vv`kTbyyWw!nhv;Jfmh^-$`A_KQ^kNOqV`W>1DbPgQ0AQUG$CJ_&10 z9carNy)ZAz8V5+H!`}+BY-QY?8E6E!IjAZs&vk)rvW2NbiN}_V6X?MSnb|}%ZH$0UNUoVEkzy1gMj4xjz3r%H4z7|3 zTG3G!8$j1Iv^Anj`a47UM|oQ`;lP+Gd%4x2X|2RC$qUHY=(x2_TTIV9MPb+bmTN;o z!dTZCFDwyfa20U1j3h~jc4ormg3ZSJiQR5PklZ~Wue@%*h%2WjEH~UMAN-xx-+S{w z*=D+>XmqT*n8?emm^#+bYNX9At(2VQ96;QEQs^y^&1@#WLh3`BZ<}aJfa+vO1{x zwL7n5G`b^_k=&EhoqZ5C7FWPvWwlKfPc6)&c4sMmQ=6sLxg!Yo0brF(y*!l3_eWpP8b2&oL^)=v` z)~KSIyfldRYN4KH;HIOCFsbx5kMg`29cy57<8^H$w9b-P1uX7Do_1Ue7Y*z!GRccp z_PMSw;7KUNGGG<;ZG z40Uy3hlt{Dsq`uR#ws6aa$m;lt5i9zX`wUaUy>^~By?XlMGx(N+6PhlzQ$z2=YYcYKW_9o^v$^=$`IMAC!NkZ4J-Db2+YdS+iXW1+@KaRQkQE z1oE1dk9!e%SWRaGh{a2Zx)}?dSzRSVL5ey)%)y#Uo)8w1*`!#cv(vUN4LxQf#9%Dz z*U;K8%RUM^Csn@9GsQ&4i5ZJizmxH5cB<$dQ%*!>g@m-x)pl2AM;N&zyAdxVEj?7B zq|b>=XAUKfwKNL|b`oV&%w#LtSpTLkCd(x&%VsQtBk#>*-WKxkF;T(>kJ z5f-u`Sr+O7cSAlo~& ziRIc-am;MvHHEj!hDkH#n~&&i7v-M@1Z>Gv^i$T&FAU)o1Do*qS*h8osSLaYw07e$ zLr(ehj8RHZrIDotJ&pA(xc?0O(^m!9dLBF zZ6CPRT`!U*jDJda2dExUdEJK#&kz;!z9&XRPpuU}U(e|67v;ObfN0rP9a!3T)n+)9 zcU3j4bT78e57mXVdd$Uvbxfd-GQkTQBf^!@@nmAFU~36jGi?J3f4bD}w;|vjmAq$t zmG>3QSkHpzH)L2_V?KPX=f`CCzhwXHItOA%1v@yc=siyL|&Y_I(+6pOriPRKM`zIEn6myn0 z)u#7jRDB8lZ4l(GWbbprlt*0Ih|zv4VNH7 z0WhH3+@Ne!rE6q#ZIpThU1AmWM1Y)_xr900KjnW5DAbLTaSvmQA0Agxu*h6-zyqIbAX=U@@%LJv*5uzG#^LDM zTX;bfcNEoh<{}lhTXm5^Wm!=Q$ZA5LKy2b{6C$yVW8iR9Jpe2}3vDzn84=Y7SXo&`qk;p@Q@WI=lH`MIu8yhgy0Nlu zs@6{z0XLF2C?=abUxe3Kkfcr30jW<+wUc^^+8@a)2o{tS*YT@lD``Ede_Sl*nlk2X zmdkr@^mc>t-Qd7_UYi*evMG9s#5uKLUy~ZzKYfatrSz`O$+1ahIi1>HE1C}-u`?^G z-mActIMjwzafigza%IibneR5VefIWW*IH~uHAvkUkGcQ z>r4}moT0pW-y4qOy!rth%_yRRw&S^p2RqlJ1g@k&E$FY0@-d; z@2q@cXhZ5NDXAalZY4=kBW*(7HC(S%F21R(XpTZ>qk^kfcWdb67OaWUNI~=|#pGaE zev#Qt)Pt^^-bG(U@R~oh@`j}b+i>T({MKX}s(8**`V(}rji5H-{6d~AQ}iJbWX(|s zpDJf1WLwg*FXERzKPuArOt_myNO6%FFn%y)IQ^%U?X#o?cBr#Gks|G)MYwaG5 zr?;a+OeA}A)Md|L8qprKbbMBv*p(n)%qSoFh_8!L%T>eSV-XyX2;=AIH*BES}|27QCo(xouXA}hd3#qn?!l~A#Ary`|%nU zR!b0nV#DG&Ui>zSr%0$;aN4;dQ`CcCrNtN;B2?2wp>y#U%TVqfEr>d{O-G?m?lRbK zwXDa@WZ#u*fQi*+(HD7Bv{9npHj@?~{yAKHA;)H0?6XGcj@QJ|xwiwKO#C1p{oki6l7^CvZA+cEtlwy?W3x15+KG^@tU%O)cQu1 zG_8zeXQN8&vc^pXvJ$60bk_{Yr#6ZGNxv!D*-NR_bLA*aKq1L|q5?W1>n>@-HMc@8 z6ErtW^F2-dA$FA;wNi~*oNN`ZWd8sqmn~BbkmUP;aI-7esL0eCWeL7uzm|?|f@#xS zblRdfC%cbR6P6n$M^4qWqTQH!RMHrkbsb-k?2;!sM-1^v5qnz&eO~|@PcITjJy23r zRC$xCqr=!QgjY#d#B`p9J^63&U@%7`WiRhaSjlPc%0%pTl}*F0rg;oeNa&rJuCJBm z<+U=%TGa((X<21iD3zsQ3^`ckYfg#tlsp&Qd}GCBWcJxvSpmJsjT2Lb?}Syils6XX zbaxeGdK!t=C64((Djr2)^}V5_I}pZMRKXidqfovd=OeQceJz#t=HIjwlT^MA0mo}; zj|{gNuB&j3l@!v`zSDB#CwNYk&gbbsGf2RwMp)F5g8QN}IHsLYW~%u~wU0F8eHY4& z0Gu^PMf_8aWb4=z^Ls6I3;GGDo}?RQ-8+#{{I8WL^90>4Q8}Efj+!a;vOJb+Bgx6% z3E(w2e4+=-wF*C!H7lD_&PJ`HH+u@h-+38&4|Y|w{NT5v9bBvdg5p34io*GzlP5+l zZI#Cl1B)Hxk?lS~RVYzByqo$M{{ZE`!L!53D#aU`q4`;c%Of+j%(B71^~Etk0iD@e z+$HW&VN+b?vMpOTDRX56>pDK+L&Q+^Ced9pTi^RxmUQ5rbwHr($+{;$G7eVcq$0>j zhn;do1kj%*mS56vw(Hp*N!mIUv>s-FAwE@0Qu=r1WQOl?KBmhbw>J4bz?%AMhq*E~ zG2UIMKXNZt2>6cxiWav}YNn+gQ&l6iLZ(8UQz>p{;jNZ-m#(YXr%NWYTc#9SYawEb zuIFxcp??yBb)99%lCPKq)H#r@SS%b)TPEn$A5y662o-OYsv4A6CGo2kWCmko;Ij{r zs7&TpBCU06f)+XEV*salht9C){nr(ZR2s>KPRBAaJrGAf7Gqm;y^SpDK8`*4Z}4Cy&5=Y}`6W-ZV#2z7*_I9e0Iy6~U%HsX&0!S*nb?D$ zE8Gm^ve((G@TE@oLd$6i9wLXP6u$}f(#1U4g$o7Eb4N#oCaa~}iTDx?E{{k?!~_5- zgDdQvxF?$exIi}Rnvm{tc+qowOB-dQaa8e)fT{{6);`L&433Zo8%3gqiaD;|Pi6hN zx69O+_EEt38H8f}zWK3XYNDq1mLYexrITnPpyDi8 zTB=YzHn_Cr6xfX&L``hr_*fu{dD$^@ndoDmmnVY**NCC5ij~u~#}tj~vOxP=2K)rY zgF7tOR&mkMO4z1rmPQG9gB~L@t%Wlz5!vpvfFsm=1Lt`|)RWbauRwWjU_;FEk1f|y`I8-@w)qz@uW2J#>H98FpDmRoBPrSgar5@r6m1I( zK6e5=5Vl*|mwbb(FNU*Hx4DiJu~h6DzFO(7B3A2^cTwU@?c!bCfEG zgC;qt;R97%KG{?02vYnY`|dte%@w&VF63MS%XHDE=JP|!ZoY504=M`QL3}2Qm7gp*WxojoKl${+}*x7 zS;LN|K=#;SkF;=v#}`iP+s*qhRHu?LSu|x=SuTrgha~IYK+=c>x1lQ)6+{g#F7!g#Z)#om<{2e( zqT&5DQmHm|#nzq(TFS81JOj-3%{D|UHC1u(Mt1reJbv+6tzfYiWrfaIbmCAIdHZT# zWFmJ4>vZB*P*IYa?r1XOT*=2G8ieaEYbyCbqIWlv2p(t-NOd52pzET->mY<_+|!$4 zn25Vd3p!qlPd934NMX-TvJf^BjMoSyyd7+Be6qrY7 z1<@L5RE#Vd;Q`^12RXK#Th374JO^>~LX>jc1=lWMDS~10FY*LY38PKY4_1}%@Y)r zmAQ`!JWir5YH+7U^HCzoI)IKpK4U{1&MGP_jdN4RR}tu7ZnZ$Qeli5{wUs!VXN}Di zH4LYdTt-`l+2ib>TC^ZrAz4&$T&mnsT4|pfNpxYZe{0Yt)s0tb4k*;McBZb>#o7Q} zt%1w6Fe6yS+ZwxTLKW$?Z6!-o+osmUyGw3`YeSK*XbF|fQr9-<){6w(LATenv|S3e z{C3u%T+}O=Rem62l(sk;{IR%*oK{j6QWY5lX*Rlp@SDse^^8q~JBpk=T_xt6>~3rmD)pX&*@s4gQ8Z`EqY7X4z;Ya>`7S zfL(K~Lq(Pu{{XHDyp;oQNiuVpm0~L{5pq?}=m5 zw1)u$8e4OPP%@?F_^mLe$mWNpeaLDr=!LTX0FM3d>r!nz%yYuRaSrp&*&rgCs%X?z zIRRlcOd`sKk;wMivZS&})7_oK`V^8F-Z>xDzfE zfUX4G(RNkEvf{2X;;u5|Ap5M#gyOgbaEKR7k22w9I@CuSY*XTq)Vx&j?7M>rZkjhCpBS_ z=Y~+82_T5y!I83v5a60+6Ro0wGyea@M>;7 zEtmXv@1CWRNY=l*XbsA3O$7s7_^Kx2Oz8x5A>zcwP|Y2cRIs`>g=4K6xmdJnZaIHWwFCJj(FbHj_QWDX7}lHPslagDM@u zrirDke3DYg50?{$o+@VLpl@iCA)0}Ow`BzkEVmk^yg44$#Z3r>w~mdnNo9^Y9Fg#F z?i<;8DyiDruuwry6eP`86%n; z!xUDI3cBvf=QvO^@3cD|1(85S1k*mDnPcXC9CPyI-ZfBF%T4VZZmoCSTJOrWCM{Jc zA=~M##BO8a>$od#Av;7D!YFiwWD(v_ zLsVBW;d08SIb9Ok78_+c4P6~ zzY)WutS!$b&sA!uwqfqpd1K*7_Jx?DN&Ur+>N=hVW!fS-rsCo@y{)E~g7D=XTP7rf zT@yLt6(X)vAfk6@iLt7A1jWp4ZA&;j1&7PSnWaea86a`nOy)jGot{UK$>Vz%)am$Q z=Mbok@U@Mi{8ojX?9nlgk}gwdk;MIJ#rb&%0B znmg^i>gspod{^2zn)@}N*$0)Btfgf;qRL%o7@DplQ4Hji=AzvCC$zJkWERbSIp0}d z6(Jl?s~!~|(}^5J)4Mc}Blk{oT=ozm6%_(DeJ0iPn^D;z@${lQC;c6iUrH4o%(^p~ ztl5XQ_B$x#c2+=(EJ*MYPc$4yAongTx6`st?N?@+7Pz~EEQ0Oq(=N{`StsPUGPPxP z>u(79E_Kz{5UFkrw_!BymU!H;j9y9u7-c5WRL?6IqvrnrM;-jR77FnlOCIc6a`4^^ zkCM&E>WYz}?NuwbRE66rS8u3WIV+`P#r#9YsX*oP3@%4DSH_}a_^*v(4dFK-!l+oz z5f}UKLz)|cC=i2!x({uNX;%vB=r}CF0laHuU27tm+{x{-36D=jxsh-j`%03aJvH}V zDa0T_04EK_1lDS;%~@FyqAf)^TavET(FKlW;vBF5voTdWKbQvu+ATXJRjnegdiV4YAt3YkMDlLw=FY@9N=?OQeY?%$2!#=b~cD7JO9(g(?iZ1X23 zNb6`#6Y?M3;Qs)b0@^C6TPqn4c~x^q5vt~?E8QIr9!~JAa_<`6OJ}Plns>HLPZOzS zOoA@-u5PK=t#B7OEko*Qv%XJqP3(-l7U^-n3;ehiDT|ChCF?Qht70*_pBsXfFxFCS z6KZ5^ZLY^7qLU-Or-gk{OsH$4t!aBP5t62Hzn+2AbF^HFIo->#&bvITv&^_st`v%% zhI*4`eLq&ag0XiEU|q>rjtf>7bXBT626-MhwYaocW>}-d>uGCg^DUm9C?#>0j-j;~ zp3g%Vk3C$sXcqv=16*0&O*_AFy9 z!EG{lvzBera#XL$oS@73ljS96DmXI>oXOSF7KSHE-;JL)d%=HPi)Mcte$vkRcR?dC zyh*jeZ3SGgOampI@|@3xo%3|N50Rqj(K)>T0C9qg)2ytg&jqqa#S8!&BD>xzcwtu795^PFaB9LVjn--e{?lYCNSy5i-G#@+a5u2IR3+miP2{>i#*=at^%6T~RO5uAZ#`kL6HLi6` zR>W~ZKp0%@s$)|&k+NGP`$5@=Y{zP0QSCe~QsbN!hsi-)%GPI&yJ)1QnehX)Z(mhN zzNvMW)g^sYRYuc2?6XNO)z%8jXa4{hzK%DgL;O^(d&8I-+{ViK8{z#$P1fzq=8b70 z%2{(Z%8}r30ARH8FP-;Aw<|7FWtS?o%HicjiKRWZZP57zw+o)C>EGHND_bI?Eph`Z z)WYr-cSVs<8z}jyka5eo?z&_*7fz4?U?v1un6q@U?B|--L|mo@@;R(5vvN|K8z!>p zxk5Uppfzg6kDZGZ{wfn?E?FCx3D+GyOv#*_n*6NX5Ms&`#=EE;l0@#OEt&jX`%46~ z@I-J@)iKwMVKsGS&wd?1>Zx)noRqC1Hofx36;q^+`K##P3nP2KZ-iV{La~{Vz{SnV;2$8P*S~C;?Agj))9&_pNq!X_m10j1|ZsVTNxEPELOfMsA=OHJ@|z` zss4r=`A{rcQ5GQ+-BE~9zCz~EViaIXu%}wCJfgA+daB0GEArnheN0`Eygis7W@Sf9 z77)ixC2kuIB4eGk*sOSFMknHQ&vY|IwSLq}j}DGlSi?n3Jod-iYL$RewpwQgGY<@u z#U9Z|Gh$|Kls@=avAtaA?rcTNvOKA&oei#vhMrl)IEfyU_R+8^j*G$x{XoQ(ti zJ2@Q6ZmMQvDxPBzB|$5iZaxa2>L?4n>nKhDE(($&zzV}gNg)aoo>>SS(A{L-r0%87 zTE#!itz}}e=CC5jxrm|CiTFdMIoc+TY_d3V&6zvPsxB@ht0=jqXr%Z>{DR^lb0Sb} z)1heOGzgog3k#s#6Gp1YHD56#-8H+V`Aq6+#K+xg#p1|<8t5HP_8U8dQDF(4E=N!| z1mzy3Pt0zh_Y?WKY|rBFudj-dR&ignuMaJYzH*P$;2?0Oky8EnT*ueZwXx-nj6S0A zKNhDZCO{^E&^WRG0Jg!!>fu{ZKKyFw#Zu&smW|J;etBhbql`+~!P%jDr73K0t^<@#&EAF>FI5Gx*X6B@s>cV-D}@U)HlbmElM1YCOp>&?Dy9y>6PC@3K_c2u z#cIOO28FIKZ6+bZne}Xr(7C%-e2}UfQ?bHhR9NF_t`%hOsgS-*F1NC{7k7^eM^3Bi z-B$ePWk1fVjp`;lppP+9XaX#7Onb5$Ow6ZBC|glHalWe)g9*tJ`lEjXP^5mo%6=SnRLGu+~yj=2$~SkEou%&7J%tdY$NC#?_FlN**>M#;TkyoIf*Jhc&Rw z=3v@Y#vLUSDr%~3aHoNDU4%-?VCAzf&ras7XBdvDh8ECm1TaF_-ZqAbcl0y9DB{I1 zv0fSx*-01DlBaCE?&tKZi|JXH(vvJJ3^;Ck)_d~q5Yoi@cCv;s#hFx8KAv+MXQgA$ z+Qgb47?eRT0!B!shMyP9B5usWUM)vX+E#Gc=wdaz37>Z3f<9F<8nc;69#shm<5f=> zc{hc;%5l+Q{Y7U1&RkHqtxRDhRq6u6>GQp%P-yu9Z#~6xT#C(gmc?KD14K&X)OjEg z2HTs-F}FiR@o}I{K>3r#smIE+P>TYIx|87pphVdnRmu)(E@Rek6VF(}Yu{>_p)H0z z3C5c%V~L|?W{knZ6?hd%bg~RLXU$s+-xdoq=P)XLo9yy3MUCQ*q05l>j!@$x@ff|% z^{Wb#6{(tF*T^ea<7&$4+CndZ;xDdi_?VFWROqT%+8EUAQfh9RNnp+@9{S!nG7MUF z+6$kQC zbsf!Ia-QPgu-4_W78NhEdG0BtWGm$z{{SdT2-f3sWU#UiYRnHLIVjzmMDBZ=%{U@T zvUemor;GBwo0zhVQ!Z!rJWu)QSOw~WRBH6L}QNJ6*pI4F5$4gr7 zm~g79r{$7pT?5@4$Cr?+l|ENLpYAXlcF6Cwe~}u@iB65xI9o#^lY;xA;7KcGnVO;R zSnMu_u3X5Kf=`-=E8geO!oMz^Z8WAS;w-l83re;vqhpy z8p$bL17mgWnE4``B%4>t6^GTr=-BmE)=uJ?$Sf_*Z9{Vmv9Y1sO>Qs)WjZHS?#)p# z-5c_zN)ChwMyb_GSvZF3mOd?;syG;(~Izx&=E$V2Bo02!MsGv_p6Sr$MS9 z-308{R$2si1G?xGRnwfWyq(#sEEUl=Og7a5q)qB-m)~OAXY>RD4Jg7w;UgWDHzzFi zD+)bDN<-##q-IxH9n-$hwTl8{WRb+r?nt(C@f*IfzA8U(ZDOR_NM|n>$fB%8|MrMkQ zr$)aBr6J)53vQ)$b(gx+SJF+;&Lw8VfDV z7Ek1TFT1y(GaGLGa`6@H&bDgPsrd>wc;a| z*H}IY+kWHb#p!VGvm3UqZ;E}Zb^h%27H?fbtix>?FB5Z0M%mgMDiGRmKF5` zI$oX8NX+p~?n&aZ$Ydd{!LWYBGdb8*M{mrV=;ExkT%|`SjzIM!nV#n>?z(8SS(D@W z85IXIgqd9B#|TOl3wRQ?SJ%pA^O{JR)H39m%lUXpJI>>{?y@_I38?6TvOa-F&^y9^ zBsqm!DP(|(o98#CPtnJF`6fRoD=wEudEbCkHaC`v8KvSbM&1YbC}I;hwAAeM zP{naYf^ixP=7dFdj7`jZD+iRaswIdXC0#QWRN_KO4r6&&{<4YA8#-Uu-E2z}t&O%U z#4DbBxPH+&rLk1h;mmyTR5xoKtU*!6DpG=c^g$apq$SPay!t+{pEexQEuvQFmq7kQRJw3lF}8fm=JFXg-o?#iuX9J24Sb%yY)jknn~*Fh2-nnxr;HVLgqaNVM}&f879!lzve>*l7ceN^8WfLX+jYb%h*5Rd!g0GBzRQ{{-G=+@RX*g4XTK6X z^S6jhYM!OoO;dt`SgQ{f22ys3=5nZEV?43bPg3hK_-#yDr@Hz(!a22V7vaXLX(4QJ z6kv;*#9)Q=kCC)K^mfI)?ZH!Z6=lVcXoKYVAwH&BV#h^QSv6q}mpjvCb;aa2xmZ)3 z-O{Q&JB-$F1`#3E3?^5HWpJ@7ifJPRCe}5E?!{w1Nmc|{`aGcZJAJHFniSno8B>a( zn}X-LVmm_0h=XIL$0{kT8&QeLJB!@++$;(qB~t^jnDf=rv{YdXg}@_85jI%I6Aox> zbhEk|A|ri!YV~s~I3S3=iWBm!}yLDsQ z>F`TF&8VVvFxAqM071pdO$rXOiQLnkXUkgQ=%G}Wa+U`x%Af0c9wOA5|G~G(&bkqik{Kn^V3jEevD_8^y{?nq!R*nuU znhgZ4Y=^-mpZ!B@+ov|jH940|0{&$6^3>?>QpL1m?t~cQ$V7jWsqDBZ%*ux%k0HF9 zAewh!pOD2>?#Q-(@gv_|L)v9yk8shGbzOr;6lK)79K10j9ZOdQpPowbA!Jn3x@d0% zHMneCINK#;EQV6p{0-`A+GA>Hf|{|#u;w+*&^E51I+8}FsNiG8$=UZgfzkFi=g1ig z;U|aot`^hSe+A#TpD?&^FRfayQ3O851$4Te%B4LI)2k#165?Q zHd38DoYvFG^S}l(YDX$cklI+mhPoJ<_nGUPQ&h>7v}8P{~oz*&J?K1&COT76L)Dw(VNtxb*b1 znA!D3Qp2Y;5Jw}u3U@L&XLF2!@>yfeumELIjZ~cXH9wM~`BC*dc7za_<#MU`SSiq| zQ}-la$$V~SG#Z)_-F*UZKrioo?{v*Btbt#~>fr#go!3r0)z$8Y%4;(n&3IhaXIzJA zYbNN1s6P53{{U|mZ~z;HZO$s&pUDnwMb@Zl+G5i7S}v4jaq=fJz#^nWk|WADG-{;^ zi2cEL)3;2Iq;68M{{SsWRUYJv0Q^X|&mR*rP}$m|N}$8!!`=+0Q5{6q6)&-BY{NCw z7}itw>uU{5aNjV9JYY{l+_(hR4N9k z&bqGt9tSE7FsYUh*7in})kD_g$oP^Fu=h2QNE2je4l5r3PTOqo{XFGhzn2n`f<~5{ zLkf%SxjO`ZGTM#_1jhyW$?@dY{K&zVbunZE1s7+Vyjt-vef ztPf4$fyE6p5S{<)f-~B#n`#zLu{mq^F9GTiTJ) znA&3WFzyBeijfu*iix}m>=c1 zrYmT#xOtB3+9v-1-!iY2b6KsX2Asx~jTG6p=xg{HwXTD<<8B?T%;7b0X~bu@8PjX4G3nUCq7&$x@&E zM2ici;4(L+suO2DNqF8=6?{5o#^^E1aLyXWS&`5Mv+VsXLzO&^h3%2Gc;!t-h+>gxvDgH`u28^VO{Z(7ia1!X zlMIe77?8_THJR;3wwKz5^>tm0HqNYUk>^ZUO-E**GVds3V{fz%50#{BpZ@?MV&9iK zb5suE$7E_=z}%E|rYG@LU3tWuGh#A}WEc$_DyJd!*y|{sVP+YPirGU9T)iA<=AGMt zLCW_>a0WIfIZ=G8T$RHpG=-d^Zm^MP8i@T&tLJ#$3LCD9y1wkH>gwnn*{rW^#a(~e zy1E4tg65i?s`9I%?GP%!tJxQabIudaWCrK2kgfOD)W1ktnJtBslQr$K%vH*|jk%Zc zQBgXec?)f!R@`HkASVuGM5VDqtUI}c}y z(&9N;7$UwRRwY*%qmiluOuc1P8}Apc4YW{-yGwC**W&IWI7NfI1X`p8id&K3?g{Qz zXmJSc?gT4VC{Fu(^Iz{dYn^37SFN>GbEQlR6DE&ZXxv4^B)h$-CSvj?Bk=k{jYO-nr*pp zT?#m}Ewd*}q0-Q@04%5Sv+KyFnVxXlGpp;PP3j4U-Bz6R$Lsz%z-iW0blx~dJ&BOz zqBw|^!5PR)uBq~J7H$NeIs8P?*lGb^?d1Ls1?yz3$_|%u>rwMwAE|>Q*WdWlNByWx zLCF(^EjPI95=%ZEzFre8v$W}c-}Io2QtOAFvh9i6{&LawyR%uK*y^X)`&62>W>nE` zgZ;p8H|32EW`9YX>aTg@oS`5GxDg)qz}D)NGhM){Cb+R0r8yp+Tc;crN<)2D;W*Oo zD#-aM*7OJ_s7Go_*`Lf6_<|4PJ>b~$}+|N;A zu|^briN9$OgdE=H^)DrN32xxiJs}L|5frB;r?Zk!$jr zn=GseMAsg!3@Ox&T3C9_HRj^a(I=qpJ0OWL?8{Z!GmA9=zJu|)maoEte|X@CtC$uv zAs69lsy7lyCJAD=`n5wFFY}+A&QS%+S!RKus4+L(&59%!@PZ96eIVx8_4Hk@mJmwt zfx4_fTwB`L;Si(VYV6ac`f8J?#gS{#CXrhU&C{&ObLqnhV|Xyx#)>5ed^XA`5rZ^x z0USlSkAf?M1MGwyned~ze~_Ls+8~*A@W`#|HI&g&26b@Z#>eVYlllrui`a-qI5^uR zZkU#F9razssP2lJlq^E*XCU>H;XX}0DcB+{I@jUf2Q7pTkKBos=M&Qd0%ZECB|Kte zpWd{MvRU|eU73O!wcX*JJ!!+R^^Iu$@-*%~cU)aM6;yJTyhBu-o$~-SQZcxfp3Vbb z>hnb*M_}VmRNVB|`|kSi|Fv9uzT`w5eq|7WkyB%w0kx^Tv8wHFW0uUM=M9G1su8Qh(#JY_A zcM1J-YhkSTh(#W-@N9caJRJ2@g{BqTj#rSIpQyls8X( zVDCK^yw}yXX0jxn)_DJRs%t8^SiC-xunAADxP-3@W7H)wE#FU~A{~*%C1UAd+E0X% zvlFXosQgXGiU9~WXK!Qt$8^JK=W4m7TH@4lcs(G7t zc3vKlOgIdxy)F`)&($Ovyy~-}aCuU42XZzUC6loHM`3zToGepBSYGBgc{m>Q#7>8@*3TkiMiEvOps&seXi?aHV# zQ+0?-cA?BzLrrmaG&vY>W3#H5Kn&wZZa&&PHnBSrd0}26gOveBW`EMctcQi@4sN#p}1U&+!$f z#g%)d>h?BGk{%>YHwJSPv%aclL&wWDa|?!(DStd|L(b4nwT?h+!X%#8-^vUNIr$Ks zFLhaWorc06-J{0~*-d-Ts*t+z>InODBmZ0lV#xeApDy1{bMtq=TbBS~F_#i>Stfq^ zdY$XUR>r9tQqNrene(842lCm*kx3b$gkYfV&hobChifo#v>h=_uniS}lG?fY-B|j- zwtZP${A6-@vmMtP#DBEkxm4!YyGJh3xxX{;_38UeM0@L}ughe`;XyOLR{u5%nhm{* zeJ%9y2y8va2^t;FI@uRZpixngyFSt~+xPxu<&P|XeiwGjM>v8*go&h@CfXhi(_$}WP4XhAl60&(0VxCBR#@Z#O<^Nc>D<7ILx`gX#U zRY$8jy94_4@WlZ)F8!X)ZzCTO>Bz1igU$3~7d_K^)3ti_HI`J^6=c%Ni@nagUn-B_ zkg(ke+gVLeK!taacFVBRa%w{-jFeu+9xE&%RgWI1h{KDTs;@xduB6Fg5L`q5+j`qn zcg>X9>1+|WL@&SDFzVozZ9l<)1gb|Gte--w9V@vAYK3n8I2Z3r{v7fjK*i+EN83T~ z!<6@PmTNjY25e%50HD{UI%Y_P6uBeU7lj?tRrpz*N`4 z$BdMA`QNd{n%`K$Ig@!3fw{V(ZmcZ^>H+!#1X)w?HzRAO2mxt{;(?h=B7fq`rfU2wTtRwds6Uc0{*es@lvr$GqS*`RMe`u{u}};Y&rcvdLNY znaWY(J;KH+9^(aE_ep{&l@EGKY8j%#DE7t_99?NBv6YV<)J>J;;S%HUmL4x8f z)vTGp+5o`7=$T;F=sq%e&Jy`gSPJ25LSr@350SCpwb^-Z{Qapzhm_Wi?vXy?>Nada zuvH@3R~V>GY0F;C`u$oT&SY6yCDN{Yf=(alALJC-Yl*l@@weCL-6Kue^!JIs>@264 z7Lm9y1$D)zbhyL07!GTq`SUufZaFS-y$x%Ln~YE+fE>_+O0cOkkGG2u5qC)@pV)F6 z#^3hQN6Cf>;brkiTJ|rXq|(!2M99`Y~ONc3&oUddvo*!QlOF7MVs3xgvoE~^P&4r= z+;rHOL-pR2T5K#5bF+HTQXFg$_7uh}jo%Ka{D!@w>vO`6X0eu|i{eZ8}f7s!KL` zvthn*9A8P!$@^V1J|9adNmN=wGkb>Xx+Xy!qMT{JcQ>yPEg>XZkh-=H=IY$81V3S{ z`IpIP0}kau4-vD2!d2O#szQ}PBu&w+ofbcp=ff)w0vz;-{PadS2AVX?XsJ{3R_okp z&OqZ2DKc*vO!pMjjEPusm|0@IZ4}f}GTwEhygQDg5!P}&ubF*qNi|9J>Ryf*MA@08*aJ~Tk7WYSyj0~M;XgBV#7l^TdYYLldTtgRKYe*i3#dBdVXP-^vPVhZ%vN1w?vI0Jn*C-N+tfKy*>i?MXja9>rC#BF}vAzm6 z6&nrTRwa4KZbx9V9(GMOxq1@E`BWb0ZxZot(lK#sxjF6eakX}WM|c+yYa2+@EH|I? zNUR^{i&o1nT&=uI#;zQ#Vuvm$h_$$LWpE;K1yiAmWi7aMZP~>V3NGVRIG4vNGV(i( zTs{1~X1&$FL^jjrf%uj6W|lN>n~SS4L;d z4l-38AF0noCLuRGf9Npvb8MR-l!qJy8{N>vv-gUnjGD)qXZ=+5RhP#dkCdb(>=#CY zu0A#M2ozl?%ap9la-va3)Zm(cT#W~GQ^y_?M^p{1hngfL5!`0>55Af??3Q`)+gwY#7WDVOFu4%_XZ>Cp+TCkw=EUe*i zoY?8fsR+khV{FiS4FO5%dS7z9m(m!(&tVbtmfja9iMOOY%vrI!QD-;%2$M0+JwGO_ zf&6H1GLER}7-<=CxXo@26J8pAdgntA&O=0wxejwwehRl3dPWX->dYa|LXKYuJ5GZl zP$wQMztysQ<-6eWFvSE}fd% zoo+BYU0~ru$(1)nMzgQ0O-&oEr;83?36&hUFkil!D}5?zP-umy8|n>pW5kxK*LEqN1r90EE)kJ+BmYSv#Qcv_%gTg zZ%1uW(?zLzCV~SQGO;L@9%-=ulDK#pMmRCGjo-enzdNavuTw?T_}jAmR=7N5in`$x zAkkD?z5_qRCIx+~4rE`WlU~GkEt7b+u(GGiI1`gQVh89vk#|)4d8QX_sOg-=;`FIG zgV0f9&e8qQ8|Zzsrxm}^kV^9bp|P{d#M#-^Ye)xVYm~|$dua}bW!W}bC=e@GRdQja zF+g;1jL=g*`_pN#*066vZ~+m7@Y0aUL@%Bw6zA61bE4FKg(R&fZcm*lXkUnsSv~_s z|Es}w+#fsHj7{4QjW=Cu+LD7<{}S&b%X|*0X&u0zuV@aaMuLvV11g98_f&R1fJaU~ zTiR#Si@x0mLL{0g&x=&bV!1t1SLm3{8=6ZI!8Aa%Xg~M6s9!)9Zo!}G8V`|2_W>WvGBDZE<2Gb1J zB1B2oL|yZ{J+gP6nj-6h`61FLyL(zD%6TFZsb%?xCL$H?IW4^GjGQYp# z^T~Iuagt$584f$MU#80OGbWD7O^f|UBlMafRNs|!s!afy`XoFlidBKaF?q~- zgQD~bDw$_NwmhHoidk5frQR~HD(g;ipJXzRykW>Y$E=G?aDtWGCGR*c$xVzeD-IB3 zZ#%Jc?KgNyQoitA-jiKtK7>cV5^U|wBn32LmEuS=5X%W*K^}HH{+Hvs!gJC6N})FU zC9TM=z!+x>J^~z&D*fg1S${Lku-D=09=)aDnV9x&-!yKb_E++HMl{+S|C$n<%4VD* z?AxM9O{QP})!6roaOu-K3uTfQsnrqD?z3!)k0xwuex0Q!o~5RTRoUXE=(*l{4Pzzw zkJ?#BL~Q{TN}uvicG~{xiOq^K8m5>gnOK?X+BI7$V+MQlO3!TJHr$bq*z^UdW2N$V zoFVasX!+TD33zmX!-1@STr^yNmp>GUNhHYkmB2GqVFgVEG;RR@BQ4wm-CvyMqrTWE z===V~c`^Rk&3)44j7<1t@w5D>t9aEW zB{=`iaC_Xp7Z_z;+~HASV?SXc=T@Iz=cpM%Blq`_M^|~oK3U;(z1Ckko5|I@+ffpv zTJ@x?%!hSswAu*}Am=xct@%z+ls{w9{{2Xi90+UzuE%OyvG6IGjJ>*ePnq>+5tx(L z9zmRuUtA03%EE4@ge1KNYO#fSld(QzQQ)y7$hhw~p|7VWw(fk*sFGO5gh;)*z-16J zK1g}_L%Lo0N|CR{Eb)Vn$giGt*ri@~zXX+hMP~DNaVZLxfa-AA;I`q<5&gwG1C3C= zP^}?BA87SC9e=^uI{fE*Dj;9SZPbz~HM3i#LBNNXv+C1zVQ-NR&)v~pQnpsQzt#7} zSLkBDm0vQ6Ek-q`UUZQhL`(1R!|c-V0HJP_fI=^G4cQkbKh^(GNB~A0NU*(aW=>b_ zi!;HM;!C?g(WW%WJ*SsL4UceLOPYH8H`rVMGib9jf`pVG@~emrbAmxo6oz#%fpY#$RJytJAywv{8L!qv+$oOPonS= z7du8zhT}b1(}SkAXDG8^gMJOK{$H62em)1b<5pLz=^2!)#2TvX@^vYZ?`eTYYMY;WXr#+p!i*qfd zuLc)Z$YG@B^gkueJ#?W9*vsiaft%_0Y)WyTiEH$F5$pUCnjoNi6U_%;7kn-?s{)d9=-QVQB zG3$59>G=2iswF^nS=Vsn|NgP$F($04Jn38&q+~Z(IUhfBBlL{$MY4i8b`cw4M9=NN zAG!yv-jAG>5IN6t1pPD(pCTvVWilpUdS}}IzenV4g3P)ZJ&T8QleOPWdGvQjwTqF_>m`HqN>08V{mzGzjSuJId9-l4^@poMsRfZ4KCe8)My2p z@1}~sDnRmQzEhbM8+a;L<}}!)OzoxT(S-c-Vud@4+R-hG##2TyZUxd&jo-=;E-~Xy z2!%A}?0<@_i>S%@#hPo?r)kMUIZ22A;k4t!qpQG}Bau?)|L^ry{qLDOBu&t36T8N6 zdTt!Q*x!on?Adgx`K{eMUeNn%9m9VMNROMX_`Wf33oMaoPL1|akHE~Md{v30I}u&! zb%W5Lf$?-{iw0RQ8r|(m8VIs8Ac#+u&21zc`0R(u^Uyhax`nV49f_wX75s5>rV%wtn zwBr{RI!z)Dx3$^u0kjqj0bi|1Ddru+X5T+I^|^Kk$iz3N2ByquJk;Ih&jF(8Rm?I* zvC(UT4E;MX|3hg4>R-OF>+stzAWK^$eD zaZ;W{)BnmTzXCB#G_Vg)W<~!d*;1>q=p){uuE>mDclZmtFS#NO-<_dy7-#bwFeb*d zuwWtI<(1oIp*u0^H{ysk5KX-9Uod+0z=arf9#7<~8evQ4$MiOf`S3xuGVL&)GPOC@ zu7wm=EZ}p`WLL#-p>!V6-(s&t+!F6bf_)v|2j`Vv>wcRhJ$c(zinNaRH`@s7H9a{i zeT?o2a2$Vji?_HXZBc?m?85@j1i>0Rw>q^V!QqQX9xlTOv~Zbq4q&D8w~VUlEH z*IQZ|DG~ZsT4(L&E!9LLjJV^tth0&?($0-f!51yv$_{@siLNcq3&>&EPCvLW;-X5t z1D|1y0YEb_xluQg@5NXf05YSnH2*g6%MP*nD=NmoSPa7F;hI}GTUDR>cH7x|)iStv z`Ox18iL7}yP7K(9KGF83Aw;ux!Nzq{SIA&3+y8>KCn?`a4VJVC!)e&Zb&ZyqdM-kg zhQ=`i-3?6}!2(}6IQ9ZW^?sTG8oF|GZ31of2!Y1YZRD!~j@jB@6=?<1&&F%lGAs~7 z7?xh6`h!Qu%mbCN;R@_*k_l9Ybp&lyYvP8J9x76INWtU}qSvl0-8C+U>_$4>?h5CN z*xxyg-WqeyBSK|EpQ7Gq%OiNki^0FRWgFT@gW&8Cu;^)6%C~ma?fi5ZobA>Re?Gh# zvo1}HmWd*ZmMH4RNc!wb&^Ltn`hW^XMK?>LA(Mv_Qc+{?2HE2b3|!H7cHu&!FSgQM z;@zpS95U%nYQ&+dRU1*-8#((Utut3`o=S;U6eX`!mHi(|N>g>xM3rI6L8WUt&R>67 zQ|FiKZR{_-JOUU9e?gLLGdi!phdQ``K!*6d^X7nEVYF(2x_eBEs3=62(oZ7E;#NY1 zO~*FLVqr%5ry8Ld^sY7rv&^^ZB)(*#n)2QnZ6uoAM2qG#V_iH~sY`5mCyf(|UxrEf z_0T7i4RKIQ?O&xLTVY!YbwjV;x!Dq57QR*6+Sz<6j!a5iZ9D}lGBecLg}T-5Ahptv zmd{!GCT_zxQc~7ImEjVkN_br_j_yfFK6?|a%FTs zET78oeJL2*9xds6jmJ&JCF$2Cz!_D&+!7kzl?&m=z~s@$8*GiKt8gKD2QLX`n`Wwx zJAf{1NYiX|yu2cN3d@ZxX8b+MvwMwgU-90s0+elOzMw5`b)}&q zsh?-CIS-HK4VJc6?U1`#D(;y|7Z-n?ZJs z_aggnBg%I>1}*mqo}Y0cNqjj5UfX|NY&nx@3KH`~{{khSVE(s;Yi~8y# zmG-STRh|T@@+v`6N5GHGfHrm8&y=&OkwL(C;_1GaOoiju0+>~mIVCFX1lbo6fE;o` z(cSXvMZ>iwg<}gPfvlphStHK1c1rVvH0@1mH6h)mmcdS^fXM`8oZ;6nqmAme(^sPb)x=XLrhqqe54l4lBY>!id-LDIbTgh zDL3aVkS4WwhndK6mv|#1?Qx}piNd-L&($zkrWSSJkK1e3&m>zJmurP!zE>I3c`e44 zs|MX77Dg_@BCZV!mHG?m>@IJ32PBa$jn~UUnaTE@6O9p1o$170mf5;7T@D9^b1{J~ zE_FQW5F=nlUkYIPDiJ(~r|U*v*i(D3odLs~cb|Ng9; zw@^j{P?Et)=0X@wTibW`Pj)fYLG%w$%bG?b@l~Gi{bNe4dCe)njaS-z!P)MPsyJ>~ z(m#eklOSC^n_6#D14@>ZA%}KGb-g{$uxx6wIW;QOr{Z6y0i@v0at6Ej*%@mvY@}UrJ+U8v6_lZEl~&25|j?4 zRe9}ncSXK*|G>xYzCye<^tgHLJAw1=#_-(sY z_K!K-Yu1{m_0I%O^-#IHT;-R25%R@nRVLgt(WEnPHfL6}lU#W{MC888_a0bXam!WD zoY+W{#i2><>+1#h;XTNpvaBjvRAhx$1QQN(AYwTlIH?Ha(LVc9Lg8z>ixMax;KkU zw=84lScibTBsKc~SwdbG)NV|hAUZbZ_50J`)c#QoeDPPZKIe9`+lL)cL z=%T?)%N^ZHcz0`5(W6Wm$75#)XqjWHYEYDQbxsOicuUHo{A|akBtNjR330reGXO_} zRHTSV0xi;9HkxKSB((P9^&e?)K$GG?@d4eyJ1mi7U1>b_Lo;R3y<{e_>W z2nf)>0!^4F_OEObXKC~~BX!Y|Zn88S`V{ zX;N{SLuda(xurlFQIoe2nYQZF)x%bLs}n_lJ|ede+Oj2*1CJ zo`c=nZ~ITu5@sE^0=B_lZ^?C#gRLt4$b^+*T6)!ulI8k%STj=toY8LVF%bEi)bw() z8~Y`L2Wh;j!x5HLksO~Sm|f@Sxaw-3Ep?5x_MVwPC7ynUWFeGH#71K&c5@&yfpBiv z`5~$VBcaTT3R<n)W6;_Iqvz5A=Tj>J0kKXV}z737H#-Qzsr@7g5jKT)n9B&eT6n;{SkcrJ0sY zd)K^@h)=x8yg`LA+6%4HKeszSEFQ74)#A5|LV zgH@+VMvK;dw?y9f^XW#a4l83!e*TbjKS$>L-_dpV1L^$ph643O)6oFQq1LPr%dAG3 zJokk3pTS&QT#OBQIE#PBSW5<==Xp51il7*`ajSrNG6XXXoh@qP=EyBZjjkNoAmh?9{&`OcSdhk^elk^Kb!r+>k4#|15UntT zpfL+q%6^Yq!=$<*4q`alRzmkSNRj3+ym8an?o-W2)HtChR#;L7vOL&`z6Ks{)TSEQ zvWV-)?X;S8t@KDjoV39#e#7m21hCa>a31UtH>ti^5Rc<{14@Azsoc+7IkN|Xnz0-x zSrWvY55{$&2ujrr(>)9!An+IUJ4igA_g+3Q^OD*dV&C0l0vZcv>!nd3thGMGB zB(LSH4VtRoIf++rlbPC zr83H@7b?*VKU`!UUIgF|T~htf0EodQXZaS;wTE;0(_-Cy4M6L0%317dfDF(zO2Fnt zmULr8MUDOYn7+TF4xNkU*N=IM;G`@i8_p`3%?+jk={jkR*z!1{+vtf=XEt6~aWLiE zs4s<`%$|h658_tC)%nNbvRd)vl+4^FiXV>{M+r4+`O{1k2BkUePCg zPbig{T)ZbCRgR!af-xYr6)(_7Y=s&nO_Bxl1|1WH+6Dk&lJ36D&-Xh%g=)@iQ`Uegw$$v${ilKu!nN3$ zwQPSYL!Dk&3N6g1dI-iPUCH3|dx)O!k??n?AOkNg1v7&p*NVNzuXooZ5Z}%`F~Lb^ z{h*ZMA1?113R^xQ^Xf|i8onZNsr)A>dd;`EPJ`=DafvGs{z^Y^ovQ_$YgETJZ_m7z z*)x^AMN&}F7l;OT=Fz?o(0-Hgk-#P2riItrcRb6Z(abT6l5w@0{9D^sv&JnfzR_4a zhRrs{)#lE_hXvhW?vFp|ly!hD4!5Fz)6$VFZL-0wj6&L!1_MJ>oMZ}6n8ZFJ|2 z^Gj%J*|>RJVPt-r@miWwr2XoVr`B&`qN!2d&qL-bN*feqwy_^OFD(G^!!0EgNt-?X zut?)z48k7}*N-1ZO}0Ert$4v;?H$MKc0LH=^U+}QrS>7U%SYfrV-t#)6_@EFkbN1+ zLq)`j%Z5w0rep9@fY7NQCZhKH4YcP}n=W<`Fw@J>)a? zRlmlUIEYg_qdRq67&PJHh@{oU@uGX!PS>BRtY9e16G;*dKu-ozxc(xWc%DL914s8o z{{mpuwG$cS{f8o09|Kfm_dtDgGgp1IYVs+GXxZ%~m1Qs*e;YJWcVl_nJsZ$qd9@(m z(5>_o)hm5bGw4u2J~+V`9*C@Ro%zG*pQEg$>X60SYHBKw9aj@`V0TaY74Um6Gn7Jl zrxG^+SL{0j2%*2~c7Lc=jk-Uc8}eEwCvatLX-Mm=x+O?->r_u^rg!*XFH>6|gnLXb@am9RTRc)w<@baKiPFLW0dG)L~~4K(E6`ZKVc0W`8l?@y+nv>C8(Qyi#kp(@5hV;pid4Tynejp7Ut~ zkvVAZz1gg!OwuF?YmViDsDo|A3N+Ml3<(H%KKkk}dttVJ4)k`~ep`8Od*!bCgZ`Wj zrsKx*7B|hoS9_TJusY1nSE?0G@L8${@p2bEhRdLpr=h%UalNeK71yXP@NtY}dg{q} zHGj&G$XftI!gfQg8+t2qPr5C% zq+fkJ`TdDgt7%kj*4pmY`xCOrvjE#;5`h(gKrOfZx&EZ_b#t9><`!UZ%$okjh@5vx zAF5Rc&yKgZ+^wCdZ-Q0}-K?^?@&jwf^!cy!hYCzCHQ!Yx4KDFtM;6}_66^KR%(Zxw zrOd*ynRvcffZHTgvnPV6#ao(i9|&7zOiTjSotX_=V2-Ohwbjm(sDJoPZs2^{v2B>s z1h(FoWwE*xi8UrbrwA!}_1LI^pT1>@HD@Ro_6(7)x<+STP?>ipuM`aRlfot;{H4X{>s=>Y=28#%GPXMDdVA+H1PVpZyegwaC6 z^mg=Tf5fc4ut4sn|CF(x<+-?!P2;0qthyv8m!Cppr<3rpf&nN&f?XH}+6_t@3n#T6)rW3FjhTPf?Tro>M zDUtbsT4OirD;RNr+pk*ch4hBeUj=>Ihn7*fh!&FS?h|sbK4jC-waaO?|Adr9+HXxc z=AYIUR)BcBhG?b|)~H_Wh6DF%-VtrzDki6BM`XXxK-svcVjMPbvN!Ake>cv!^PIuU z0(!9=f3B_KI7DAetXYDdT#@o~I6FEes7v#>+0HuRAonB{57S5u2E&{W}Zs6-tw3| zWz@cRa@oSvs>u)kX-g`d(kUiO2+oajFM&qGEQ^8>?RDu|JC|GQ$jqPjlb#Jvu#ehJ zBrNNJjrTB_V>{|I^XJFvH!qxJn=2k1D-r!3Gs$Vm?fa*g7rn*t%C{s8A?jGvnrKf+ z1*7hyf=@}19>KBUpt38yQKsz3nFA&`)8yy^Qbv1(dz7W!vb7EJv3Ixs?x@Q~W=h|i z6Z(2~wAhg(Q?-vNug=X!V9wT`w!#N_Y)#clx-l5XnTIn@XK+}!hv<1!qmFYMpvRIc zYYXDaSHFF3No*6nUbCHtpn|lID&mwAzJsi{fObDrQFLkA0XIt}%k$V_&9n}LLhx#* zHQR}mE*j|HmG9KLk2wFK=>Po8`(C}(Es47bC^Fdy>pt+7AWTP$ckk-sz{-9J_6;4w z0KuZ0AP`a;s@O-C>_Vagm6*5vIAhCuHu%QV$IZfaL?~MCFKNK4EAPIK&hLeV*NvUu z#TKSnR@NHs8XRgI6gKzRIxM_cEHk`1JXOw*UiorIF?nYXfts2U52aSGCHhA=;n`d( zg3&$GWD?}KXjj|?N4?A7`%x`-mv25-Zojg#e=d#=k3(#4r7^jg=nSC9tnL5v{u=59 zG|yDaX5#`}l1Cj(fNv%;LmOA7f(DDM51uD2mOh4o-HefqquzlSHG`a!b`gn7eg_E6 z_+dsnX7PLulSDD@=ZBN(qCBpi!kD{4Xc z`Wza+e;Si9)0iBV#wp>337}KMt{BOe=mePNV_qb%e1A~6ldn>;=m3$ObZ|T&f$*fc zBIy)>Q#;?)?$mvCf56c7ZybF4kBeeG_z}*?dc?w0@iz#exd8KJhoGzz6sfSLL!cII z0h0YUFxjw{SG%p7{wljkZY!iBAKU@34_A)Wy9=~S5f6;>@9tt5*!1@Yxj$z3XPcUW zEHeD_9XQ`}g~{x{MkXu37-~GP2LY=9eMx(-RTLI+P}9h5Spp37{yfD z2l}n4{v3R{Ywu&))D8@H^T$I)F1M(cvx8#Ln=C8B&)M-r?#J@(Y(3=v=XIstKWhEa z@b$Q_V*V>U8&&;|7zGa)Ek#A3V-j?(Za}b^Uhz&2RaB+ArOM9rkNA*KH>NSOv)!&w zx;akNg(PlYQB7ARHf!@UkFEnSQ?sUUJ==ZK!~W1zBBN=y+7z8lMDeoKE_5Fd5*hUY z-m9>5ydT6JpdmhQCiNPm;|UR2LZ#22lq`9HtJHCJu**1SOaJP4=K*-Uc#zMuXhEuz zQ4`nHKPU+^W6^)&!&nGae7^t=GOKuE(FwkM+tgXq!gb+VBM$_;3W&yz(o_n>{S-i&AoGLyX{e5bl_Eb4;wXpa16?ZqfM_n&z!cB4TIH8ku=oG-@dem3}&r~@ztFr z%wqFFl~O1iBRf3Ub-0N6aNM+(e%Mj@nt0vEh>lOnNZga%_?e^JS2vl1d<}mnQEpaW z9>mx0(Z;Tp>oztuZjH3EFXgCb5O!D;xBUEfylX6P{_)~p+P$zv#d`UN!?FHR);|!% zS|wTBs+XwGRcFhHPrN|7{d=3&hhXF1x{^>(NhQE!C7f6uB&Fu#D8E6x2^IpopR*9! zuX2--3OGY3axns+oK|d7q_3~#&L+z9R|=dEu-F-cnC;&uH0zyAA*)Fb=l4t0$UanX zth!?2Cp?{y?Lvv$yFH2|Z0`?4D}tyF--Z&~QCSw@c_vSSgcRM%JiN>cKIe|Y@JFNjTIo}#Z)tO0>Xl#-_jGm8ExVKR`Fv7k!z4<3WmyGlJALhA zsfMbKIfRI;afc_#rDPrTI*biWTC3$sBQ25b5&ntXb z@Oao{>vx0`5!Agkx?z1O5<(v^@YU9G9~{R;cAWWph4vM_uF+BA+!-U8aw$?lNDsSf z`v07Keo0O0+y9HI_@-Jk<@|F0S$*G6XVjx?VH2P{B&suD=K?KuW#T2ondFSPes|@r zdeHn=)!_%*T<+$l{Ja`8a;ygyQxV-LOJ$tDoe$pDDD0PI>+(NR!%ZLZEiwon z^=nJ-Z2^Uh<$cUK44fC=NG;!^Dx4*|LPAWl!>X>SV;eRkJftD>y#%6W62YbA%YQ3a zUoLF@4!L)13Z9LmF4^7eP{9PHKffYLjqo)>q>4&mVc(YU6CV2q#p=~6U3zSmsD!V< zt)+;G#-`wp+9}ps!I889ghdAC+V>{R^3%*eqOAzhnA;pRvFs_W6T3X`-1_R>Cf6X<&MDE>2ZzPQWDaC(7r4_UC zn`|@YX1m@qSCO8D2wcNFhirL(3VlLZK(wE1xNnl4!+UpkJ%y1aYYoX`E^Ah!bnN{> z&E{ZxT8Jca!&J^|q3Fu0na(|9VbYmWSB9Ee>nibnDWPm zmWso(wqY5YX>*cNv0F6T*#+wSGBxyD?H9$85Z+77{}y7Nzlo&8)%K&aP19T_s`cf} zRvH?xyH74glmyG^CNhQ_QIm_1KbvNuQ;Q9jf(6E@OZOof7f+BC81c%XKUXnLq|Z^; z>AxsY?tp*D{omg4=gU7snI! zi3ix&`DlvJGTU7kV=6_5HSO2hTBR|{qQa^qRTd-h7nRzQ^IWvepmb6~=AAQw8pfHJ zKdW#kYUxoEeFVAhjki3SIzc{-Mm~!!t=s-t?{;#u+FiZiZ};-Z&&3Xsi8aDA%;K*K z<@Jd*3%fYgD_)QAFwe9LNBH@rX$T3LFh|$>IvoXe+b3S73|99V1@iQi4k%tC58I#N zo@ZdImvNH8Nety%2`eBU4B|16vf@GjGE z0w%x?MTQ69%G>GCvuMJ&;kK-~i3cOnh!L%sd-a$B;wH&<+^CL^hp{u#jVqzlEI~Dl zKcN&PE9lJeL<3fG&-8fo&C^iS>-n9Q25h!nzd5u;^e#Bj2x2R0CyxOoNZGSq{S|I)+j+c+O z|KKiz2+N&1kGD|m5c>it*qGmJW@~83=yYrU!B#4!_(IJedQeT)4}xXMWJN+x`4Clj17@yp5-+b4moz%1b0$%?LxqZ&uzi_@ z8Bh$v1T;=6=PA3ez-6aX9Rt(SGN74wDgjy=osNkoUF~XB25pZFY*`Z#oNh4_4WG+l z5;b%NNvkX_TEgF#2)A#?~=ezghaGuSQJd>TB-Pzfl`Hk+oVpF|H@X4zESxFXF zPpVJ|)9ip{L>L91+aknJu2}8}rHB9PTiq2edu^t-EPHE0#_V=ev1}Rwa=>>U&@PBw z$(<(Z^dw&klSz-AHPYq%Z(pXpUBi2$xBJHyQNKJY=HQuh&qYvcKW1a+8WyXXb>iX4 z0hiU;;mBAS4nC!M>nyZmyGL>c=QL%hxAyq1!leXRh?^WlTE?;{E#>bxf(4lmKWd|^ z*xqZ2wqIOFy1kjnCq|TjW|vgn*A$dRx3Kk)^t2MpOCZNVRWf>n+9t$qi8!^jY>eo9 za^cjqc765YQz9wfbreTI;+8g-U+G~|pUuqIg#c2R=Hpwgub;%Y4%i~{-*`khTdLW> zRMbCA!!-L<0u5}F@EDZ|6ZR4 z$nvUAQSCsWtwRKP{p+E$?z)mFFc0s6P9#x&9Fj#Z_HZ;B-BVAszmZyXLWfL^9Z``ezqUkab?~|?w3SO zl{3h029OP3N+LrABC8kkNI$@T58Gw;ezEq>q5_y61|LNPKd<0QiSw6;PVld zbPMYqeZAVIFF`3rdZAMKF9HgU<;?T0E4wZ7rWZI1xM5*y&)$Cax&@c+ek!phlOlR! za^Y8zJAf{uG{0r1n3kCsH85;g0tU5@V51_&hMxAQDD_tKA%fX(n5-&lX*L7+2tUzfU@8>3tt7ed|4oEB?fII#Klo^W>!SKMUQMqm^Fm2i9>zY{o!2$LPe`4qO$ zptqdxGd=eaZGA~j+=eCm-Q{LUl0)4t`JIIxSB{s?7jkPh$r>CdZ6=4F3=&ZX>isO+ zh6DoKK{mXxz!Uf4WNdguCI!0bIYFJX@s}Y6_VCLq{)NR>>ivD6wBcOykI+7{qEZ;b zo~J48_xodF($E}%KGro4i`UucA}Lk71*?O2KelXFFiDiivM#?uRX&qp1m*!1x-~n) zPB#@Nws#{&=2P*?OMMfa`xU!p^@vEcgL?x;n%AWXt5T|nWBx9vnE4LyoNJJHQiyM-d=rq?1&eNut^ z!E+0BSwk4vI+W>y#>9gKqRgyZ^45@4Fj&0&W~J8fE@`Uaq~DXDlf#V_*Q-QG8)pQj zKM7dSj5c*AC;y(b9lArmb1uz{g<3OxHwjz^)^68n0+#QD1 z6~UgML%HO{urZ3bNCRV;ja$tey!oQjX;nxwlIF#NwQ($@MRdG7JGtvHQ^ZqYS~Sj~5VH@~YC+n-!lsMa%B+no^F3~!g}4b< zj-<je#OG*XVXGZ#WOasV zeHIx&oK;hGvFvECe&xcZqQhp))xx&+$K0p{3fbvicAwwFJ!o|l?&RAlg)Z`rXzuH+ zWtkdD8q`yI1;L0qPO2(1RJ>}Ap64|F3cBMi`8oaKa4y?#$VzTk@fvt1=gz3>*-|PL zb?(Qpq;iO^E`Fy)JN1_A^52M}`9qk?n}E9uF}^tIdA8u*B9Tgf{8ct7yzf&E&!Pn$YoF80$&7W}k7tOR5<~-Q}Wb~TkxGXZ*R@L^J zWYg$~*P+B71AiEJCDsC1$T4UDKuw3Se1Udt-{R#|wY?W8fQSSU4X!sVQwFxwVzcgj=Uswohi-wYVdIWwB40(qiqVa*%+;7*9 zP4+7aXPb}UsMy)`fzd^!!t#>|g8Jz7IC_t|91Nw2PA(PHF&PWMp!gQ1?sbK;K5u^u z-EIZIroIAh{D-Cq7OxHL=j(WuoIauV9O%JL9rsySMd2gx;Q(XvI5P;L%zuv_i2CWC zs1OLODf!C6w8C5k3epsy^Sje&eXLf90?T8{AJ6f7K_+Z0m=@2}rGmN|JK6;;u76QApV=4B-S6o-J_}_w6cK2Xf&6^;cHjX`Z^Bx}51xL1AlmyN^2N z%~l)=`_bD^orhE7jJ>O&$BEdRU7X>VC9*aFVCj1>s`j#=C)+3^h^Dl#9JN`g0HOOw zcjUCs2CXfR*WIux!m1TW!beRzCy!YS$5c7@K*pfSvjp?sVpJ$sZI*KnLz(loq22Ib zllYZI7DmdW9VwYushNH}f<~$!&LYcyHK`@4bI8I)KtvNOk&_l@@!qe=dfVN-0uO(< zC%Wz-Y5gLfZIdmL({#mpslgzqH3{y?r*WuTxCm2fw!!UYNp_zKUaDtpWzqJS$`1vh z&srKl-Iy2+R@7TNa!E;kh+}sDAN+{dL{DWBV`dFpL9+nm`-z7O2Vke8mh*rHaLT=%L4N~BybpjLS0Bjee_eszpHYs z^T^4LcSfm%YF8$w0+Z-TL{MK`DU&RJmR}P6oQXj^V0KM8IPUybW3tBBGLrH|daeu^ zFh9zJDcQ3-nhN9aTD@ltvo%i+JKWQZwS`*l#aB;W%x3s6SIvs8VuLZ&*0fAdcHu)| z_eIc96Fk{zlJ=`6$9j=Lk81QY)z-jFimYPRU8I|bOG;%C{MRJt&u)wR`6f4+h;m0( zk&)^oXVLC|wJAiCZ#fHBjg%y#hq^nG!m(gce(ZQ;oTRsqh+QqtLY)qn$u0Q*6qBF$ zaS%;J>`HdPTyDXCcCEE1CMpYyjFgl+l2ZLRxo-`@=WV6`swX)Mx8y7=C^JEAOv@)L?s8yA7)Zlun%*6BYR2BbN8y74D*k~_|9%~& z(H^N`a`7haq-fn;^fiOnm4-~87Q2{e2K@_qFe9$#`6*^YJ_;?Vx@pqHoo9f!_0~ln z|3o+B`&^=6pK|b5kSR|ObGRH7B+g7HMOEU|g6Q2YcGb=4W3k|PWm=D|VKV);Mxf=5 zVV-4u`0QfWp~;bMG!Drdm)x0pSx!EYVGDAt+_g>5^O+VzUs3U3S~g*pry0c^e}g}# z2#r}^)8e74ZgwPU8(I#({Vz8qXVbf&vxtkn99CTRp!|m9P9q`JI)}) z$KH}y_=Z?YwY2!KjQnSql8~v*(|juPO%uE=H>TEa^fP3Y6j}7SeUt&<&n~Nwi&bpQ5t*_xEs)eX zL?^BoN_=Ql#*#KOLI}wn)(ImU87MJ0gx3iZ*65FSKf@ zBOgmzUNlWA*pz%T02D{{o2Fxp*nF7}F%M6MV>=ZLg~ZH2KyLDR*#}NZxP>AT)6N0N z^hc7m`OxC9JG>ILT}oU+YO$FTXB{<`U_<}Or_M8RdtYx#^*3}4-c)FdB{5*kUh_ri zzXWx_`_q&(m46z%e50B8XKI?yc$;=xqgRH`fuD2V4)_(_tMSz!KywWLJdn$X=*8zr zQ$C(i6}p0tf&eb_kc>PE(c^lJhw#Ez{XW#rJ{iWvCRnR8Xz3&g^=%E3%#<@TUEOZX zH^p%8oKt9_sF4XHOSlpi1u72mwW=3a%lV^a9h-psm%nJ>zD|~aYvW<+-F4c1#XSBm zL1!gki%B74)zfL1#&DJFnFh|ze90GcAn*}Oa;G4C&-SvZ%io#~ff1sgSj*Bj6V_6= zp*j=E*H@XTd?o%Oal3gq|7m7%!<_upMhep*Gdf~kM%u=1EX!QRAMg>7dhJZ4Qc(Tm zUv%yzBYLfs(N3>OR3x z>1_;0wkTFC3EKy4!h85%w6*kMhEvKc+UwJDyD$Na!VsH5;;Jr-QLy%+tzx1@d=L}u zh|U%w+Ke4$!>-A8#0xXmjGHS0E7uxp;N2F@M`R%Vn19T6<5$}gbkrWT@&jE1r3q*>lz4d%Kk+gZPwH#fSZ7fNIk<1-wt2=qgX5k4huYv?%rGKc z=cnuF|Gxq%Do+1H)7Ssi3;y3?*uQwWe{U@@!|=_BUio^vKlYZcX}R|ncmd*ZCI4_2 zFt?|SOL&AqE&ZD}vMr?5cHe0Tk~YMnj61ky_M{>rB(~V!U?DW_He%?yI`5-BJ6xWx zpqT$Bh{0BVya-KoNO56Ah__+{>jOY#U;rlNs)Q>Dtee!;(iO984ve4bOa*MB{9nH5 za`y=04q+fXY*H0_#unfj=X{_aTLtXhoy^F}0=U7gyd>(lpHkvNH_{}Ds5#~ciPw4< z?74||G*5qlj&~av<#~W{G!IyD!|d20@*B7L@4wg)9VJA5>a>yL9Je`AWVt`d4ZnJ} zKRxn(?kxPAOYv!|JKn*$Z6mN^PPWya^dQl;0a&ph+iLz_@n^-Pv^HK?*|~hjmov)D zfNGXeyBt%){)A_XH-lhhWVfE=Pde|G_1qlQp_hWjzr!-xOg^uxQ$A7@jMI*wX;&&=ldxgo((8Py)d1CQy`XR|$wTsPU z8NUZMY`JEb?;uYH2tWLX0prwd z`oiKC_Sg$=g)fajbMKjINFmqs7H7#Xre3A!?$EEb2`Qh>1%;&Un`WHI{&$VDYwmN@+LJPK!>M2*ylt>~1+^3_@MsE6I*$J=_M$UO(! zHxpSB7y8<##edO4EGjJF2K$QXLvFGYT=sMye&7caP!ws&mOAqrYlV=I5pt^atE>w7 zh-<67Fyeu5vQA5k)&^)b3McDu8MiHh<@72gsw)@dLUI}{mEnU(-DEWjgd=SbO$}Q- zL=%YJ%u>qZrIWeO_i<)gD&%n`fNosr|c}q za0ogew&X5l68{7O_Z|^*ZQ;&`quMzO6Y!+LK+NOzV11F_YLk!VQBeOm&sy!#oIz>} z(VPzTqKjwLBx@`l!~H}nYL@_ITOXwo&R(rLbX1GsSysZKWPPb2&mqkr8X*>+iwaKe zUDmUJ%BR2amEuz>a=~I_^F}1p4Q`xH1P%N}8|0{g(M4~JHC57tADKluSN2^WAjg*( ziaVG$V}dofpf*~#N_?JJ6{*Qyv2gX{1wRj8KMTCaf>1h&DXyw$E8A$V^Kiqz|il%a*?wgdJ!wN$s|4!EG*LRX)x za&ndujz0*4U!c|+xTn41;T~*h`qc#TZE>83g1y_P&*R62MCgA4FS@)}JJVL%iRtuT z6FvF!l?G)3WCf|A!W1#P_fI*-SO{tXbBqQ_=5v+?(eAU`2GCp&(qIZKSr2d6@^A^j z-QC^qm-dRBmX1qm8m$v`i?d`x5j}W-?fnHa%8SW(8sy6)RQeE~BjH>M5Uv+}O#Kj` zy83lZ)}DAxfZLSEE1RzGQLB%Px!-a`XBT4~*2fwN^qUT>Qi%;NoMx;WyV%`bU{bXX zM;gPvL(8QU6SV5!UQwez(L(jWvJL9iZYOY~-zr8(x_~rKCCyvie3PQW8~5@%IRXCA zD#>W7r-wU4o*!CkGCjei{zg&CMEC8n$NT+x%myM`mxosW!@5j&WDpWyI-VolQxkNDaZ0P*tkjZ&(_46 zt&5ZTzzB&MwinfXuPB5MSy1*zmnS{9AU*e?qWR*~Q1fmAF){6qubprD7lM7E<1JV8oQ<(-Q42$#k^OY}#P!vB$( z67Gh{LLbq&DDC7nMGBa`xIPvJQc-oXSW7wN?a5`Z{zY5T3<%ab#h@B|eV4f=}~i+>|zY&2pLeTtUC z_SBq5e*qz0lX?U|9ra)3p<1X`Gp#hUa*3$)LdpOq@Y@@hg@0~k*?q)q$g@gYm7bb3 zICTY`v}nJb3|5XN;c@^&R0pg_I!uk`Jr$Eb^u0s@bAR!qLEHwSgku^*VjI*m2d->J z=G-TqY`Szeqk>DuLHi@H(%LpFraGTQ{~PfABNyy74b5ye(o^|PGoH*Fzr3W5zqdW)Y~+u0bmUdKQ{BN(Tg`uzIDQ$Y z=3oIM0?Hu>yj0-<-H2Nxl##@aN{JrY?e&i~kZg_zdE%|+jO6@WCY2V@j)&Mnv=7EqJYo^H_{rNg6eeCxS@P>L zdx9XlOYY<^8k!g281#?Taht*@PGgkYXSyr?Me8if$f(Lv(-A^!bUX$U(Fxu~1zi9_wjJc3(fd(YVMTF{T}&P584#x15e!vK|tt`;J?lmVB}T z&KgO3sIA#Aer|;1dni5+W;{mWS5sX-8>kG--($Lvj$xjbxCT3R2e(-#?1X4kWhIxX zKU5K5aUh8-V_ow8ut<0zJK-WLQJ@QS%>zF5zi9O)6gL05_-PPRNvzGnK17IXh(L%R!jA@u*Y_-PsCr<8pd zy~XGcK+t)+N%`s1oCFSSe8>aP<}~jLTDhls^&4#P5qzft((jzF#;&J<@7e@N+o;xg z6_;`4cI)-c5VTDhv0`2=R*N?l(f%U6cJY+g_=^@LcOS4yF_1q{(vlGaQd)Occ?3X4 z5Ne-G9`dRxlFKv~Fft4dfNjE;1y|&`|D!aEkj(}CAp;ZLX;IGMJiC6n)MBz~hh^H7E|K5x%OOuaH3H8Fr4 z`OAXOVo4`v2L!d1W>^n`Rc88Lo`L)v)bIMV-Jc)%LH#HJN;TDphzCUHq6SM=Qt`QL z(G~7i793$fX-vUSBob{3#(5JQQl&uMuFCQ!sdrIPKE%_+ss7~T$7xi6^#hCJNy$y) z z;8FDsKZi~6p805wD=3XDDI1}S z6sk@Cj{!%C-uii48{X@3MJOplhfMW(oGf_>kvC~eB{BJyV?^J~7o~>)a5NJs|G*q| zC1R(6Q`0*B3jmxScVN#}vx|S+=lVBart8pzpD-Ej_zuSqTmPKa5Y}FrbyIC)Xo zUahq=k>eUp7>#MO$I-|V;D%VP>bzkGQ>cJV2l_sqJWlKSpL)YLrWvIbjhrcMlA046 zu{nno-bgqxdzI076@Z!|@|^LMJ_3wVO!%+{yR841pvE&m4rC+#KkCKlOvwB#?XxJ# z@M%s#fXsE;k9W~7(s9BT$>glLLj*fEMSpAg#+T~ycUz`fpIv{7>780vTvf_tI}sk7$ppSz@;@|x5_ln48l=*M$U>zU8vzu2JZIt!IinXiquX~j zyoU{(2NJm;_ujlc6xmySgu9QX5bXZ9>Q1WWSupsbTJups(@o6S4_l{jzfV#2Q#S1f zf!wW4mBVH&_sYi)niuGL<9%|6jSq-og#rv!W3Y=!LJ@$mNgL_O7t56L{Hwx<%vtl} zyosltfJiRLC$6Lg6&;H9n9TemRiGsQ7pbQ-GCPB%vK*0ps+gYp2Xn`zPgplMQtUg& z5HUtXI!vHxQ+uZK=84)Az)=Z+)ACOZ`|aLO8y#z$cNbh?+{k6^w0|$$%DvH_%EaLH zUT9vz2yo#e(hOLo8i0RopY(=4+NtfNejNSBQ_LO~tW5#aB%*OG#))Z_DO`~LBE0!NzyQOnCCxOdvW7Fx_n%x#qqIsNzr@&$`qr(1TAaiEeY*dS}l zQ1PHmGG^3HKWzqTDoEGL!XrKVD3r$=2DC?0^_cf;&us7DTsk!TVi#!OJ2?^(lNbWG z3M@?pYvPwAmo9kXvb%tfE`D~uklTJUH^zu~t~eDXIgw8{9ocJi-n2Q05rB6oqVqQI?9f_L4~%3L08p zgXnDq%~nl0cp7!hn512?ao8(6JoNd;Duxem6ehL|VQ?wDs?Em|*UVM#o*YHIxpV`A z^p%@cMD0y*>uyqJ$>=MrOi<1vjM`oEqjezxl=ACwrc#9za$s2(hZjb(wIX#vfg$-Lvf$gRFz(4%8wHwa#O#AnY5B?QEa##sa^>)@{J9V*ed(4wN)~xai;O1 z;U?I$cG5~oF|Q-xE7@|W!5(oCi}_AUy9^9>VXTy&+#+RY_zLoY72N=~u%f881nAQ2yh&S06Q3 zLU0b9)%s!X)li-L-)!td?gSrdx*5VYs*{ikRL=r~(%T1zXLif$7xP+|*)ycK4fz{v zOALDK6MvDUnGer25%al(&n>yl%>B}^a7)s3ueoTOhxdJ(zm71C+GA=8*mu~_VzSQ* zZy-s?^mmqX6mqS+BP8!I7f2tST{34nGtk^adl=;`6q!`1`NkNxTZz?#>xCU#3)KgG zNq!G4l^FI`s+sC#`_;g(g;&SdEznO!D;@0~11n=4fNWlzf67ZS9z%-h4y3NmiTb;?}IXvXz-0WUs^? z1f@OIozO?5Nqta{CEJ3nwq&zwy7Znd)0ds}H`~|-q1ZGlmkJu`O+X&I3ezE@sW|wq z%XOS3B=*&IU7TxNo1&XTKWx9_^tmO}S_&XmB?&QC8#cr_iCJ9ljG`%YR4hmi*-a~` z{#^g<{Jp}aD*;6J7xl2N06tlgBehM)Z{e_~?uj>nDNVg>yIy>i?lnY6C6k#^Hf-*6 zUJkNUim5lE%;jXRuC){z`Z?4gN-bk&7(lz8hiKJg=1hxbUjXoO-BijTuDGw;y|QH) z_jl+pIsVtEK8=`9kK6c{bih_7bm>>?g4`DMYg^ni?MLSL2N@C^dWal3ETK7Hgia_{ zuxLuADSn{1BagLIA&xQccPB+t@ju_QK1dN~9Md2ve9NDU9#0e3IbtLx8}vn?p9*#n zI+s2i*50)pH+$SS>22>o{FjY)lbnndG;bWRr@%v;vU#sGh zeiPMVnK@R6Ti2-7vKoJE?4|`(YS$nWy7c0V4dMPUf?qWD8spmr3UYB|d{g&`#`1l! zfb)s5Q>a{7rlUHdgEFA8B`q6D35u*Di*P#u`V50R{si`jK?4@orS{v^3fSx0z9N)b zLn2eVk-Ez?NwCUk$s>`#pqLh=6k_yi^HC<*@h4!)PnsQDC7r{hMFNyMXm$?jctgQt z*(pJ&Ol?YRI?|&WeC1)d%HOF9=_}T^v2+0SoR}UFgc!MV9pYkGqCQGR*0lH)i~D ze2<(R#v*trHL93LWLm; zxG+`g@$yc&L>uH6CwW}@`_tg;NsIYdA1mM3khVRieF7epRjz+_uvEbj zH^ka=Ms2}`47^zhxMVzsg;O!rn0^1KdS4d} z0%lJQ~@D`a;e;51sR^Frtw07HcT-J zg$y`M_A4xO*f1A&Ajx40l_8hB^fC0q|JF@OY>sO$kiWF4tx>q^p7PIKY29IsFZPOk z^c^Zwtwecm#e$6;JDl$_?3;HNpXC-KhlCl-ICMl%kc5^wBM%Dmo$TCi&gUC6z5FQq}T6m znv%CYawIuFZ3gVtjV5H9v2R$@vsMkHhfH-AH{1Mj0p|v40o1;LU)J}(l9n8K=rey0 zkLzc2Fy`dKRPWa2@2biwSCcFn(@6>zf=|7!U1YZ3`v#-MboHWeqI@q4GbzWJ0`+@#al zo|o*iCOeadH(d|Pso@v3Px(S>EtRjbU6E%sP-IPj`eQEjkno{=2lC*OdS9Zh_ksVD zBio68gVYX0Kptf&5Mgo@&+cXmU2wV%FH?6IIP}&`MSd`%GN? zHB9cQcY?KfOvpT_sv37~J zLU-17)X`cZ-#$TQpVqFgedF?;YRlXU;&%?+??*M*zvy!(C=w_4zwZzRC|`NeoZ01u zmCBL)G}v#8XDSPZG1=(KpI1lJdx=M2t1f=ZgB$t@FZ%~? z+&%O+@upe%B`?lFjNB9rgKrbHz?qxoNf0lHSHo{A4l>{7i2_xuy3ZPyH@*-DN$!}b zrs_%H_rE>YxAW3M#E)-01T#Z9Q$s#Jv zrr9eO7G*W_-(53jYPPjTkXKNm04`H#s#gOnW%B@#dyZiy4Fh5YqB`XnyoEM*hW_VH zr)4F%R2$+*p9Y~*4B`mL{B-q zgTvDBJ7$0oaU?6l73oo@A!V>PHu%M`c(o!ZVX;UN2!$K(PBQ|2*n4QZd5zRKgVfMf zfE?d&--ghDj-8eZ63Nc+0+S?CW%J@=Y{!g`7(r}*IGx)nf92IIjXZk}>PVF%yVu_WUxqT?1c-GNJ zbJ~{tYyNt4DevycB_)%=no+P&BSL!<>fTwFY@X2V;?bdDc$BLg^5UBYIYISqy@E9K zz%QmHv@UjX&}05^LfhS(Xq&VC5U91Iz@F?r!6!nfGwu#I9@3QY@!pe4Etwj!NceD! zFUqoxF)T;n!MvYRIDbc1+F_(YDPQy0*B(|WF)IYpqn(B;2D8sICH^K;!zvE9eh3Dv zP^3(p+>eU9_GsJL&YGuUGWVE0?F3ffQcc;8U!Ai|N_GdXu^+KnwF}P>RLJ`W`vq?F zTB|OaZz`+RUphhjlEi&>Y=v2WTHeq|=pCwPZ6xQEjrZ zlm}(rlpz4hXq!mGgb(u_9ga+5Od|DJqsj;u8V?_5Y6BOu=m<(0tFmpkMX1E1NP!R_ zWConDq1S?};0IF|L6>M%_oH}ErN&fhYC8E7LJdPQ%CdyR-x%?=svW+&qA0Vas*$%- z6Bu=q&>BKDrN1@3S`3wH*ZzllBKu}zryot81}T@*p>Psj(|Ep-Qzj1nh~g4aW>P<* zR_Panu_|R~HCZeo{z|TO5G=y!kF?8+VuXoh7c=Z7(u{Ykk-=dwi~WRV51Q7aW}o>(7bRm#&1o$P1-8uh z(y9N!=50vKj$cFwRBSeBLqlO6(4b2usl`}3$eSS6pIzhEg5no1P=KMXAJ^8RW1ZF2 zof|r&NQseePLS9PITVZFtZ5fxTP)&hmLXu!$pV32-vselMaVYa5e))_OC zSNzKifA@KpsB!MtI+usr#qqUpG6(_HY8SouX46gD+FO~-&CN8;@+Jf>XAaZalKB}z z8`iCJ{WxnvcQxn=E+d`D%_{dxTSQ^>{K!E|8sD-VB_I2l!%QR7z6feQdg~#U(bH_r zSHekDK$7Bo6s}g%jc9C{FAg5pZEh6FNbU$zsfG%FJ}Y#v;S#@q;k>P!=)nbf0E_c+ zoa3Fhm%^Q|AG!-e!5FBju9xiEq+jDU zcmcQNou+9N>t}mNjq-*}7FTFTOp1c?s+Tm-iMFrwy)E$dHC}Xvr6d?-34oi8lJ~&OU~(8jsxM2EWq% z7L}`M&2n|10IM$0;}Q!>)Rc^((_~H@p{JFHdDjM)zQ3~WRBW~V8NNQ)A{L~++m>C; z;aW;k+$w*zxKgWRPP^dn2U%9QFXRo_X)A*b#k}6HM7}>2N29$#T7-}cwqgE+4oJ?Y4cq(-qKOz$d%5`o=OFirt zqnIYFnPw-fdDB!F5)^s!piC3Mf_#O732pNv`gT0M*~XRnK45XpV7Y!DXu`;uKo(EK zt^kOrIWBLIWnr=%iRY(sC1jQKyqvB&2^-TqXb+dtvw{{H9M$4u3C;yya5je(GR%** z_aAIZm>6jsPS2jocmfSG33^4V@`n!W4FJlMst-b4gS&(PN|J(!&lU+N>i_#as6rGy zK@>xR0~XT+Qv|l67QMMgXDNLqKd@B5k#lD%23H8fYAT*j`WIoJ;Hl6LQ;lj9IcF6h z+=(HhOan9mMDWRJ5F|7h_LRq!+u&D+ztyueGk%z8YroSkH2Ga`QY?Ts#Fk#bKT)fv zz%^26R&7naJ_uyff-|dHQ;N(c(M{vv=_YFSX6UM{4;dy_TNJAGCY7`Hr@-&9z9H zYwbof#1#wZQEnwdWrM5?(iCKXRqGApKqmI`k65g=s=pOSL=ww@T7s;2s`3h~cnwNV zxjpU)*yy6@qo#2zrQ|{>RrKg2O6#|3vUUWB3b(O80WL zc_l#k&y`j&dxMtyEF@O?=J2$XEO51qBaDF41rBfn?gz+TnLB`440p-K-@Q zbWle%9hDCE7v)|cy6!BtWw z0J6%(;s-SuzWEU<;SHlth}Ubknz7~Nx3&jvAqa@qXp}z3c$T!44u|_W3VTuW+YUO< zRh#oDF{eQaQFnUc2sd*g(jv*qBAnFBI!=SYuxQEbMow=^lp{%1;`S=`ck^*s0RGcL zpIblMvs^&a{Cw6zN`SOn57F~?B_*v6u-s(%&+&?5S&fQ1wF(*z2@V46kH*y(yle^z z;YX04&CPbTD6Ezgdj4Vn?>f_6sUSbTq1!>9J$8VH{gjTNwljW2iv-|qvli1#aXmZ+D9QtsC zh3mHofN)9;U8|6B2&7DnQJ7%g1uD}!O-9IWk8f06;#$5E!*9Uq+&A5{6<@=C*R0V{ z3^!Epilg7kS20^C2x%PjT(LaYq)1O3T$RHGRUIdh+6Y?~162^T6H?gL{j46RrdmeH z6ISC^qGBU#lTrtHAzVigcM3|j%?hgE3&u5rkJpasoWbrK(poTxIF_}S{3he!WMjfQ ztl|}+md5H_LAaCMF7r`MVKu!ELDC%E1xxu6f1|Ra=U(3I0^5`gAAQxPRlV#Y6uW%W zL>s80S*d2+YOY7&ykwoEGqz*m?loI9P^!kjOeeD`prKWmK+D%kb5z|P92P&Jx2mbF zlB+7pz>HeOm5NYN*4R6jr)}88!PBCQW{PYriiC{JJL{bulS%%fK^SFgHTYbLR3wBB4;8?l@G<;@G>mjnQT?dKj*a{3m!2}V^;eE36iAFfPQYNNxB~z^XrB==>tV`6VcaGPj=Oxv!Y6x!>Z4YmY zWW+gZy~ChPy#fnJoQ6k(-z2(6HdpZurt7%0(HRrpFrW)MY#2<(f`H@g^O8*s1Fy&m zVr;1iNZ;wrKfrwWs6_cwdp5?XvnnD53dyIsXlVZL2CN;Q3 zQ!s)(-Fi9sP%_wJo1BJ%@7aXV&E*`Aa639;%O*v=akgcDSd7Q#6w~h4-LE-QJ+0}Z z`@!4X%EyWFN|WIrOl0~2lrVP9s7Y4b+VAOh{*v#^i>>1PIe54^IgHhJhnGvTye8CY zDJ{0MW?;{!VMif?WxryGsjRFm0U41ikPzqDA53K5Y6`7)ut?TUcnsO4$J4h;tiMKRb)}Yew`*D1#P9P=c+V=d6s2qLA)k7=<`jD>n_RKN>0lcvl<;03O(qt z^*nU0SidI9IGU$vDFAbFyBe$R>^c?}ot4$Y8uTp9qqsM;sC7cOVj~lpJ%1JZB`=vL zXXTyMoRyW;^os8LC13IaC6Pm%C}iQ4njyCTd*79y)-3soC~JTzAEmHl<7@J2^PsG) zE~!R;(QwU^FUKgE>pq{98j7ls3P}El5d93!=6d!c_~-BMCu>@wpBdG*sJqVIidz6I zhd-RGem)lcOvUxYM5e{4Uwc;lQmtLqkZv|<(NHm0IfkURB9JzjPK zL1w`;jdT-)DRd%^jc=2HuvC;!Ln_c&DrSqd3(Vh{P=P#3exvWH$T3GOP@>~9k!WpD zUpnH>_?(X&v79TQJhL|icaz*HSQOhE3yXJK)=-!i>C&9LfA|rTtjHOpro*FRUACm%xmH{3ikcN z`^~7UPI&rK$@-8~dbavKy^tKyGN%f>RP}u993oNtI*T8WVn~*Fp{PW7e4MPwT=v?bRkZ^be?UFZLQsCo;qHkvP9 zmY)8K*PP)JkU1H~zY;ts`&Tfg-E-}~I>o86t= z&F-E#J3HB(Ilm(ny}PAR+ug>o&Kg{QK+DfmVr*9D!CpNXH3fM>I)Y3TykZLpAr&0&Lt5EwLg0>=j=J&FHeI@;=pKzxU>)nm{Iy@fGo}?A>Z`1uLcX!`6y5!) zdGb`D^05G+W2<%I-N~NJbz_eZ^y`WuO1F~q&Wy15Q6PwDL_WIjI%n^j`T!OoJsD6= z9a@&NdiE!9b!Akz~K@AU+J?7>6^ zr5du7h&Q=^R-up!LftK?P}#MY*Sm7%q?%L&Tn{(wvXZPDEy-BdKn}EXyyNoVm!};h z_l-lh`TR2O^~GF$eoIV0J_z>p&p@K^eXaS+B<{65%B#ulwOIM7e!l#R!BN5dZLs&g zSEq{9qp!!K@MvP%^+%;!UUYDp`d^IcK(>SUyV~B6H^YmGab=l9)&CuXY-RfBViVI~Gt4BL%kcj52Z(?ZhwMk?MwY76PUoUm)` z*QsClv2%f6=AfHc!*JH&uBlC{5J|?(~ zxb>FkF9u5m*&n6CLy|e)f*3vQIg{Vp^o&c#DlCh)Lgzed!F40 ze^o_S-n1b5$xZ7wllNK1tyN^@zt#LhMs2uvA z$(FVYXgf)){TI8bbKhCN4d&dPeGq&{OMtE>iM@JzI<-=PQ>Gga)|MVQ+Ha{3Mr-d* z^+7!u>s?u2%~iX1%U>9hw|(O(z`vHW3`_+@vXkd{r=Bn4TUl~h+G!hd+d1;8lXUxL z9ntN%fVCyeK2XAyQF2ZUcsU+@Rw!qV(AvbbaM|WjSmfZIR+fZfwKOe9;no3SBFqJS4xu}A&~+^fSxNY>u2>;-If&$QSaUzLy^1f z^ryFP?P^Tmb((`F?wkuBZLWsWwfcwgt!`d!V|wTVN~=|Y)Nm156~(Wh}4*6&Yxg69da zBIBRMrl&-H$-S*b<2#}x7>}Ny(H%@oG|uz*KX?ZNjqi{WGok?=GC>7$W?_+6m@EK2 zAcZKU$1_FnYwQ2eohSd$ogrLd00egicZQ)X-rCov77ys_0!=zovK{u^M0hSB+>}e+ zeSCWG-(DWV&Af%*ERzoE7Dt3=@}3w&`FR_SOAX6VV;HX>@Mevu|K!(p~tO`|$LO zdznnA{IsXhoqt2A4t>(^?zldJb~brqgZ^;J0H>pmi_-Hvh@L3gbgP*}LJIJ<1o#CS zT-Nj`-Nkt*PIPaL|1}erpVVm&!4(-bR;9Vpzwc~KRO<%IHW}M1D3k$wz5tgA89b{J zMOQKPh%0YK$)oc4Ssd0iC&}(rabE3F1pS&wr?CdaliSBR!f2v_CtNpO=Bgz&qimsd zi6q)yZ~+o+IV2u7HL&8j$Vi#N+068K#dsx+M|a|9evXs=f_O9|(F@$S332c-iGiLx zX&X5Ld1Lce<8}~Sp#q42>6*Bk=slBkAQM4%%?JADp8Zc4sa1^k(y#JZSkKWxiR!Ad zF*t!4FV)fW_nMK0hvEt+&$8w{W)|tUVZ!P{NXH0#bf?qo z46WIwy-f_C!{qktPKdMj-qXKhELC|@Os%3KDluG$(*SVorg*&FEHOF-d8@XI0n^A! zF2W_pPn}7Zpg$@2_KCOz=aK#@lmePz05Se-NMUVA;nHlZZ*QyErlc_CnbWDnqqn+8 z4}I~{ON$vHaA(2#n;v_JMxI<)ha|;Q_tnvOLWDIo7i$;~mGMx#K75$lJ?}LiSp=iC zs0Ez7q^t#{Vf)lP8@S;NLfloh7!#G5t`LcSiD)SigmCMxUcoZ-*KyI9-(QT!tX1x~ zF&ICq>Y^>Cw;;IW_TCs>+Es+aKa$x*rXGEIRJFv)o%lz+)>y8zJ73krMKqc-8YPUM z8X?e!DOp@9sdF`;@3KO6W%FYw0{fL@znQ+=gimmuokTpDixc3j>j%ZyGVr4ANk9qz zaSdPbW;W+0&8mugEVXJ6g5jw1u*L)X69tFpa{~ur(^{D_Mp+XFP@hswmFCL2oXGUNiSAehh z6Xzzb5VeZBRVqhmbK(oc`# zK*?bU+Iv|7W$Q}ypICqX={Up{&+ia{GagsW*e!g~EyrOO#3J7Ku^_JIv{W3L$10)B zOn*vOBU9m|S|p-ETE3Pa1$h1br63f;VqCuxx96+@P`X z218B5%@j`spGuufcn^+oV&HOc{#wyU=k`eF)`xJrr%UMeU}_*h)z79(p9FIag1Kax zT6@z}fM2BE+oMm@wbU=NEvCmYqGJ<}@zpKg3}v7sf4!2>^zjh|uF!K^S(9OI|A4K6 zI5@XPN(o%QQn6YLU!(9p$|Ov<>}-^PxMH`sb0(E1eC5~^3VG=F1sN_;a9SBgV1Mzv zQeaAvaSa%4FO$#G+F3KWnZ8`|#F|(bVA~vaQYnwmk_6Ko<{mG8q1mK|v?~mki2Jk~ zv6HYTIO96qx}f_-{hXIw%|=b1j`5Hz7l@)uN4T6Ui<;XgFao{< z!HF`~DdY8&=uY@%?{1#-v_chU3L_FF^hA)RNMryoBNS>_q1VA*2gy_gK`pdt_D&y+xIpDAV#n47zz$JtG8E!e#9iDe~@Ni-AzhjDDsCwpnWQWdZh?^k*GUKDsk`4LF$*#OHj zc)nastwLF4P&q@5Fdlz2NLuz!N4o2 z`T2Xij^&s|o{zHM*vPcJw8$QSk6UwkmlBPE$+`OL(PFSHQ|L9}Fs^@mP>}*$dZEUM zCmprvP4zGLL};YcfSN%yroC0M?Ib#0YL6H`igs4(IYXQc)Bn$`TxzOMZMsuz;H+n@ z0Ib|EeiS;Ws{pI7lqBGMt*6haMH)dG{)qLF78y2ZQ7m4Bw*)_pdWsWl$JkH&8uYg1 zIkiHlHmv1^86GR{TY}bDEie0;vhhbMseG+cxu%c!Ut^G9F)h=W!<@LjW9&{u2!>*d zzArB^<6fYT!`T@EM;6okYwa6pF9pNncKx(HX3T4N-iCTgGp^&RIV+4Z(GZv>vE~zh z-ioH%=6B}aq$Wt>KCMpCxbuv%ad&vKPTx;>b?iSCc{>F18ciz3nRv1};=ySnC)qDl zP+B=z&5fQ3!Kc#iALl(u`{a%=FEuaKNSDySq5)@pCF!*h5sg+2ti!F3{ZU1W;EU0N znR-OLeiuOAthS5!=#?HtF-|9<=!yCt{<%-ph6u)WYS##B8oO$JKK(4y?i7kXmzaKX zPQ!{l_d6cC?(HiLp3Vu&XJIm!9DU6+uF?1l9Jonuo+fV-lCq6WVvqs}_Gu@d<~j}s zuNM*9AtXQY(8AhscAUY??x{b8AMu>*&Xe16dupIx0!r%~kHRI~wo`uUtVU?6u>=tse#DX|7FeQGw#x_AGQl9{_Kwl&0R3Kh4rzUFaWT!N{QsPU2=Po5czU3n8W@@sp~VD;L0d zC6`eg=|oC|JD27woDPIb9S&u--8pKfS>kI6BvRJY)oo(C3G|EFA4E)oNeO7 zrA@eDggSbKtEN-F7UP`antM8$*BDx6jiZtpS;E}?QuR$+l;LB@BU1_(0OHcbqhgF3 z%m^CFx_}6nl-TMzi95@fA>W0LTn9r*0O%v3|;XUqqji($?2XcN9@wSNCH$7mI3G-A3thBr$>{UqRKd1!=JurPejAWxHhpY0g{tO;j zSq8f1zd6hj;G5R9@54lEvjq6Xw}N=zaByt0hn~A_#C9-!c)A zv{ZgMcE3TFMxIU&Hnwxqr%lgqTp$=W=rIEOh^5}^)l}Us3SnM?>1)K(osO#j%zS$s zje6fr&Z!4eeZ{P^wat9V9<0G?jgz|~k%U%D+muT8C-#YT;i_#a$`OyRF~WS`IrIb_ zX_0a$!q)ETx}+mqqF)-bo#`W2kKJ>rECn-mEtW4>3X*I(!ifM9FQiIL+xQ$HVu~6d zXJJmy4Ym=SQ8$t#d8`EoM=vU*iSfM6v8(-yp=fK8PuXS+jB%;sc?@w84renZ$A8Sf zkMXhDwC3lKg13Zef*LU5{ISc7f~xkGLFI(7`^RrpsBe{~c}f_91h4YTwCerd1zh0wf-6bO$}p{>Zh;;ea4rIp5| zF{4=T;&J~~10aq`RYkC%gmZ5J{YK{?KSFmMe-M8 zVii5m`tLe)uRhLJJ~HreAkP-@YJt74kC~^8NUs3?Z8ac#q(*UVb9I^V-=1QmUzUt? zXZmU(BU6t|%D9A2GEYzYBTJe;*zQMCM1-eoQmMJ{d-F|n2MP`<)e(sv1665DX+OQ* zO~H6;6h?osFuhIM&od@gqwV(Ao1s&t=bs;s~;HI46C#ZZA z0Ibj!Z<4BSD~TKKN<_8tIx@qRlqY-7Gx zxObwJA;$1c6BOt~LdLIXqf!Umq7q!d5qs(VAgUw5J{Q;}Z|q)0T_sTN$P4o}2IM`p=ZKIbzjJr+>7YMkK4h=6@pe zbEeA_LRB|a2uVzSFtr1V#v7##o6k-@1(nfaRH;GwmHW}oG}9%^MOU%$*C$E50^_}| zyuEVOK&z^f`?eJi{1n_>Wu|mL#hiz#*vc0$j%QHSV~>hbX`TJ5UQnbh?4e3s(kuNC z>=)nuVpwj^_&pVg3^J1ImrAE<`|-n_7qg=h7k9QAmz+_gWs)r0J78 zIo(gWhH0Em!Rvk1Q$$l9^&9+}PKits%e0WgiqrYS*ND}WQ@z)&_P#vlR_h&~S9T|f zXM?EQM!DMr`y+!tQ}bjYLcJbu5#GJTQjAWD8t0<6I+95#{dU&anLUk7$i?)LX z>HNhQaK`+Lfp!e)GtSxE;1WylUU;Pz_ZX2qK-|fA73Xc>ODVBP$zI-EWV zsw`Q;m21e|agmD@fZu+4=8ARvfQK7P&EiSDW;MKP|Cu zi+Y=@q$PH(j{S5aI{(6RNY-{ySzaes^p8rc>t4aSyzALtjElz(2ioXxGQ%=)t7gEX zwvQrFw`1$Rly!4m?(d4aEv5Nc?`y+f-B)z(>T%EH;k^oJCtDg~EHqIZpAHh8ogQ0y zci>C(9~Igffyf{iwzTbo(^-O^_H>N-bOu1_JKUQ1vbr22d$!?%kC3W;tx#5BmHM4(6XueoQK<{7|AXJXPS=xSl`4W8Zoe%o7CsNu41rhj~*mVlM{ zB#I(XTG{sVVFLcYF$Qh1b9ujx<{OXO zO_3kdlc94&Bd}eo-XlXg;O)Q5IJB8$Q`_DeM3piGa*r_nRg zaWy+#o+s~uT7~vE`+nhnEd?`-Uha1vJki%J?^Ai;f)Pp(N8nXn3$?N45z6{Y5!6B&op(u!ufQ`{wHo#SigAO!yZ_$|6)+RwYaxHeZ!#anxWt7Le67BS<>zU5!_$I&m{6qmc3ink<71l&#q#1SjtjTJpNAG6p+n$jB)v>6 z2F3d*h#Leq^06oGPTB#5O52YC(kT81vpJkjQ6KrV z;PG|tO-YqSpg8mNd+aV!WCRnv;F8fXU)VI@PWMQK9?dhVX}%dUPa=p!9YT}#BP)=4 z_ZYU}8Rdiuo85^U2h+5`G34G|tM(77oHmMbyVd|Ci$a{|U_x`aqL< zMn$vbW+flc6~~nbG|w+allr`hJVv3SO9@J?@I>0HvMvSxd+7@6u_b7)B< zK$;vp`^T37JnNCzHPz#FwBy>nwK4_0%Jg3xLSAd6FTsY|(B44yst_%H77NH`AJ1by zBeFmLE~0;y5;8RZ%Va=*Yg3ux|`C zyOtxf!n7oisH$)Asb0u!j(}Snf>T41j|>mrE*bgoAGmFP#O8TtyQwWZfVMI=|tBjx23po&b8#ei7HUMIx?~F6lT^=5&oOkHuIRO25p*Ou$Jb&ZdwYm0ZahPHO zx?prkkxOQ?F`X9-leXhwpYyt%!CcACvF;_eSB; zXse@jyi7*tbz9`7M=!p;uoRG8sJ9qw$3*FGnxUVnyE74KvSnHQ2!!F-a5JZO2-^Jo zg6^`(A#(>^xdc8h|)uI0^pXBkCQd&pygv|%-~-P z=y_c8z>ewq8F|hsEMy434YPX5iwZxpimoV1VIXt&Enc_d97xs)LZAbP1uW(1sNT7Wr=ZmGnzAdulDPl&u0Yv%E}UJ- z`}NK;2s=NwGL9=nw~+@H?xTQfU*7VLFxUbh?Ob>wvGJ)yBdaPO>;Pb=6rD8lV8fz4 zro+MZP$KUUfY)ksXf9_vY8R;*zF;=JP#%A$_U&oINZMbF(^a5{l>_yuWMOArk+4dp z9E!Pn=SzTO#^=PJi9ey}1)VqCV?hc}B?qeyEt0?M#thvp=k=yN6HwwE86gR+`6dtQ zz?==eO7_Lm&NBKySz@NHIJa`z(>t0^&iadLc& zNj>{&yX8ChI8BJS1CVnW&o;&o7@%P1*bBSXB(%>WvAsPL5GfjV^36_mq8ib9w zv|ttsEv{ajhkzSs%usNEk_m7mzN7t_Ydm;1RD1EI=SkjJVy;kDDb*pDSl6amrIRu7 zH3KO5MNy7=v@xshV|$8)u@#GTDVltZL)k^#MLY+*3qBIsKskdD_{av=+bttBrIq(c zJa~uEK;%1Jfb4s=`LXdoiiyv1Ynu*a`&uf>3{rzYyC6;@qMh*#fHn>spUfoO#-G45L-zJ(8nf?D2#{cJkEgvz9%Im5fc;V zmyMT-WamJiU?-CpzynQL^O+mQ8u9vSf&8tHrGaz9r8c18vPGu>*JPjoySzBM@ zx+$}9hUEC6C(O3%VrV4QKyTS{BuAa6`KgTV)8R`MvZ-l z3^tqW0QU`vFjqT0#Y36;hT^5LQ>@})R<=oJtAT7Fe;&QSEJU*bEZJ(Yo7EkWR2L<} z8BaqFDzP*EoN%g%e(*9k1%Z>i*><<8ez!D=>0|r$ls^7=1i6U9gsG3G~Wm_^>xxN`kcs3fACMd-~p!6`J`+>qvmJ;g(S+_2WC zGG>MiAG^2`gR5m8Vfw)cB~}T_AWhL%h0_fYp_ERIQ80TV0O|!KCv!YZYZ`nem^)~@ z`k=dY@1T5?-% z6l{6%WW6X`^r}uq73UXhR|n*)k4U+imm&&5UN^^RMWsK%-1J45V*9VkwRH~j&~$OH z?gR$^lpgq`Q>?H2C@q+7&bEChwsIq&+*Ho9;|3tpHXX=J#y!18W?n#WDMAQGO0W8W zPKTFtC#%7_TQ^EJ)m{-j$~Z+|Nng+h>f}isb77Y`uXDEWAT?8V-JB2q|1i0GiF_T!X)omZW4>~mPQgtzczxfSL`$vg(p?t^XsyXu7rYNnqd^h^mAo(wbvnD*1vcfply@9?^ zwF_=VQ%i`<>5g|R$9uHm6FoEYLYK~t|HlHGgs54%X!>2;rYa9ooX?%xDH%|^I>QOt zW>=KTuoLb&-m4wrjM1G=yT`YNautB+y_i#&_cBr5-W5YN_qFmkMeI2gN zNZBI3vgj|~48UzJ56`$*pne2o9e7bwItFwq@u!_j<2k{#$k*`jtKGEoRnDfH9S^F& zif&EkSvgxV3ecgmw!NpgIgm!pyvSFTA%v&ohwGcsN*?=qrbm5UypOHjZ^M z-IJV^8@H~ei)jA4OJJ57yplWKS{e7sU|=c=1=_si@nJQWb6r64Hkl^#If4ysdnYUw z{c(8OBy>KzHVx-HObo?F_PqX51`}@USz= zX~AALLSxHTQ3N@1YIVujh(dRd(?4bIY;8JG{Fp}30$HFm#;5i)nC3HEFjhHbrldKf zXS?lXGroyGC0{LQRPTpMfDtQyB*a(Fye}IL*PhP?j0v|b^$Q)=$NBUMEnNyJ9>Lst zecWoFJX+p7O+Fh+*>jX5D%<*e+7hyjR7-*dRQR3eH@ZHFM6E zgv8)RNzuGas63Wm=Iwfi%?y3FgN zWONQN8%pYArl>Bcu2YS(l57;3)`YbpJ(S^3ZuCO=GMAEZgJ7C;g1pmvKOBr#OxBPi zkuEms>cri@P);M84socdpNBc91AYhH5IT{;;T_rRt--R4H&N6uM6(IW%LMSMzndzv zyv@I93JVb@N}e)#sJsVW!}X=H$cB;-1-bD(_yio5GX-R^sIGrt_z9j@iG13}BHpa`1DD22P23eRLfYS_84ONAM{aO?vHVOG)7Kh~3z)2|P`q!-Ha zpkmWz+-22Q?T6*r8F5YaFc(wG(%SmB?55j0rni)li5?4s+0S{`c66R{kfK*6edCe* z5hMcjc)J6jwnZX4&8B81i@BL~nmQ4pXwzu~Jx% z8Mzc**kUS}9_EB{mbBr$jKOgjG$ACDEZEGmz~lT%34H%QmcsZWh+tU9Y|~K-;R_!d zdHWi1@Hb0|Zc@5jqId;`3$exr2S@K}9f}beo|5s5TG_S@*_WRK&E@*H+aYNea3!Txl?UrA^K)S29Trp)r@u5>>cS z=ay*Zp(`095QS9$QGZF!<7ilej%6EtBIYOrOBj0G%?2~%J?CJToVcMO5|!an9N!9v zDQ3`YcoU_K&3r+gT8m}WU9+j%y;l498+#^m-ZK%i>$Nsr2TBupYqiq`y7$r1y`#q5 zG`)9npHDR`GkMK~%{*EB;t?ITG@KCj1G=?Y;U9``ziUKoabHm|8XM=;Lt3VG_J<-iHW*$5b~;C$SNrIX<8h)F9O5pNx#J8bLS9#tG{k49a*Y z%0O}ZnNUg%#y8ouiV?SPAuLciCbc0S+hyJ0mJDFCO0T})x(1FjnyMEpon87~kwhV+ zn-b*rI;cRN>HmZoQyy3CTM!#BZuGVf2d}JrPvtf zFKWs4$Dnvy%@+b4pYoP>X5uV^GQatxT?&zxe$a8Mjn%;}_d{RG4i_Lk=4;_~xk*wZD)D}R_uSyiGZNK>9` zkn}3$oR4HIsEh7FwVN7DIMvD zDoM)9^x%-v6-CF$cV0XV5Dt53eoWFOF4;O!F4l;(SaQVj|`Q zN?hDc%1gStke>>NgC0?TF&deasQsyxPCb*^h=j-re<)cw-uJP$`ZF9bpzU1Wwq`rB zX{U_+YzQ%r>7uKzS4f-oo16`(vd^E@%Gnspvp>{&E^t)l^b=)ONMagPP|Qir7WBG zv$yC>5P0y~vwGt&iY@C0jek-|#t)l(8nAXfXOV}@u!K}QG#0Is+R; zh$4dEW>G}}e^Zg`wHHOeb@u>YKFMQ|7+;?Wtw}5LBM%q<=d!}~A&yWZXpmQ&@X`%^+{rfYJerkE)odUe?7 zwK;^5qnk2Mr!5hr>G;ddC!z}{LR2a;d}IYrj+ErTL^vy#VYzT-@9231d6E~+rG7vp zp(V}(8Om~Y+OXxWco*) ztD>jpA({BPd*bqZM0>OK_of#zzeQBNJ(=FkD$-Dk9lCI>^p;e?8^=f4y1uu|QY8cu zNEj$f)Fn~yAU^W~a-cs^ZoBtQWN|q_d;HU-N@T{~@(V6IgQeP{#k>9hVDPMGPb=y&}n#~r&UrlaXQaiGOeFuR#Y@Fwwb%=%t5rHNWBjrxT*KCM5l$94j1 z!3=rndnAq;sDJy@iI?5h8RbX@D_Cv3!6W3i@Gy4|3$h%?1HN{?JQf;xu0h+*l1wqP z0N(Et{{De6<%jX{Ufh=?Bq^MQYqxb{FwZKW0Vxdi`4hbU7XywTl#71%S(I%v)2wRY z|HbGE3*Lr*x(E)>;XM&UER`a_!}fz94DP6Wi@TCImZCOHF3KnA+#p}>g(sMZFWWw zeJ_@08G>zYF8<7AEt7YLp}iZ?tVN97MM#Sx*}~)f(&_j!7C#pV|0sj4XzUBS!sB2! zGN!*6KYNXNko9arLlfHP+siv$3AZ$m%O5tux%fAg7dL!|Bt;udfQI8=IPRD`qGv?{fW9#QDODOn~_<_ zNIc8OBfSP+?BO@(?U^n-75XmBEnZ5me?du^ry2%CVIa~y9m;64bDIODhL!L3$#b!d z%=0O?^x0*O%|5FGceuv&;%wrX(??vl8(jB7&%xte0ga*)=zx7%4feUbb7&OBuiz$^ zF)nof=D1R?YGEqGD5gZKtLu*ZN@E$e`L*nM4R~n;ja}j+P&u_sr?u#Tn*B(ptrc5XBtp>TW{QAdvcNBRSM39U)VX1_iQCpcggHYvh!8ad-4uOF2Y|NfPTAQ{~5pw~RtXq#GmQb@5|Tmhm?FaqzBeZ?W{+JuQE! zRKqHvJ4Dg0tD1oR`}a#oxDz;|kcsPy(0+*-8|kH>O`4%+e^DM(vRT$ifxZ1VB=-$? z-GKZmNW()|NJ*lg1$3{M3Ea{j-4?A{p^Fq|N;W^VrM@FwFk3%s|+o#Dw3H4ovbC-zp# z5)cna9yugi%u_h>9X%_clJr2-IMmgKP6Zvl%KOopp_fl&`P|h}Ibzr^$fl1HY2|l} zfXG((7Bot>vw*qC*MSA)-0g{-j?&jgWV)fAi-5$aN~Zk|peAHQc7|_D=A2=A2$q}y zfjgN}LeQg(3<&JMt^b#yM;>V066Shn8u0I6blczrEguQZe<-FXy1PI_N~0sEErZ4S zOVntR@5-^sq(hk!AoY$AUwCXjK?RHvKF=$`k-Rl|iT$Z2! zLWPx^m?l4%aZ0fRpj<=4PiTPA{KxI0k97W6=V{fw&$X{;N2-@$JOQbg+MP(+j0nf0 zV(8D&H$NmGQCD%3%$1u9YT%TJA2iTG+c^g^rhe8D!(SKV^+{z5TEll*5Dq*gY2$3| z*IaMVr>W>zZpcpi0h5qGj+lhteq346#Z4o2fSK;%_`i&a6L3 zLqFqNl&u)Ibd&DS>50Wn5r<5B!hmeNuk{^Z%^wa1%f4e@?Uw#xi0`vL=JH{EIm!3a zvA|(W;X+(lyiY{Z!kMpVdIDKdyU{iTFy)Vc6_mR=3)pC=MbXDluW+&Qf|!l9dK~OT zyc|UbKTMmnVTPZh`G+he6OsZB-k<;}$wcohDjXE&axWR(fI{lulVtPj--?fyTtvSZ zogCQVYGHaas>D|U6ZuJA{s_<2-pK(r45G|*(&3VbHobN8tg1jAc7^lakJm%8$M6vE zYH=>te5|7$x9oO$3P$*n=umsgR36YuX5H2)dSwiu#Sk8=KCH^Xtr*mSHS)Xkw_j}N zPG9tQYb!+lQdzatmD3hdB;A85S9U+vYKY*j)*JVty~UbB`OBIWXiO|nJ7}n{$zV}A zgs~omgJ0H8G#sR1p?!FY9D~P(Zpx?$s(9oGBm4>BZ$Ef*ABY7LD>U z@DhzA%h@Z&%iFSjnN-4m)EWo(PGZVhIp($wL(*rtbGumY*-Uk1n?DQ$kZeRc$(Btb z8JdsRnVOVjN8j$0IG`+0wHpERZq98RMyF|3I71mujer!-?i-InN4uhx*&s9^jTU_p z`7m8155_J=a#k-ASv6nhl>2bg45DH)<+(sD_RW`^N9MCV&5>ESAkEN%EtR;);3gsP z!gT4h(W&e_?cp|ZID`w;PILVZW`S}S;sl3}$n7om{Aig-U)_ra;=n8JqlH9`6r1*5 z15!<^mFmtI4{(V0Z<9V_lRUPQmdj2m595U~8drT92@3&@ngNC~|EP%qY^Q zywToeCM70CG#=arIfvI8|L!ReQ@WW_Y_ar=^Ix&$SR&F3TlHs>8t#7CI+!$83D?<_ z0cT%hA5;;kw$2}zgD{~(ITke`HfU$_r$*Q5dm#izie1Jk?o7T*jmciN8h#5DZrV%-5uQBJlb7`)Qy6yVA93}La zh)@N%6s?B+e-b8pE4C(yx9hRXF~Z<3(X+))M#s_TFNV&I^x257P@)G~tKfkscf}KV z_QuPB=u&bP$6@(NQt^T>(=9_i{vaOnNCWSny~vBH6&JbRYz+?tOY@7K%%WUA+#Tsh zZT&U^i2OOkeN@uMuBRw5q#}ZkgfNUM4Zt+9P&@3Lqj98=$|;qoALjt9(6f`{S{8_lEtDlwu&W1`4xvaXo%r2C-lg#gSqI2FSElCYC%go^EATn~m%riky zr8<+0x^ucUpunduvVCG89H~Z16kX+S@fl4@<6h%-NSp;8rE%YQy?vSmHp`9z1AzLA zc-mI02|Jf=bXHbYAs`6nj9tsigQYDKRxpKR0R>?*`@Y_IHP5);WG{n5vm@}(Yl>WG zU*GQlU(#z`L_xp%$^l+xKj))y8dfnCmV}dwLVcLB$^W%V=LpjrL_CdmY zEP&O1z%%k48B#{zNbyrCBuzZkut8kUhn_DPcV&1W1uYW8#A>wT=#3TTLx=d^VJzV)^M zuP|TGCdbRm>}CnOO|BJ5^)2ldO3#rTU@1gPt^Vz6d-Aw16b}w)VPZxtjBZS`IeSwx zyiyp5R%^{!9QH@%EL;j5$PRGqX!r4I&@#O+P9}#z71k0J#G=>EC>_&cByy)jdF_I2 zr@eZ*9%x^P&}v&AZaP%JK{N+$-(p|n=GA)FQ)-1?v~S=y+M{KP5>Sb+)Ed~WFCcE+ zv?PxE`lyMAUP%`j)#a|m4G7Ek{7JL}>(@TAs(`zB+|x|EgELf(v%4~ zA!QrS1Xw(4FYybCiaU4skR9v4n9GL;_}5Qz;;Pko>Wc00|55doVQnqZ+AZ$xP$ak) zcPJ3trMQ#e?nR3e+}(mxid%7q;6d5~g%)VgQc7_@U(UJr{`hwCWZPsXd(S*;X5RI# zcL7_kSXcA(_$qH0dPsDRL9y$e@Art`_RRL}&BX%u^k>M~i)UG$41uo(nCd}8dbX#$ z1yowHm{5m}0?;geeWRWuF=$pi35m1nKpiO+7WiU|EwKQH@{|f#y@FV^myBUp*7Aas zaGi3U<$}JDRGKD-@s-ZmIoiHty!#ClMw7y{!YX3*l?&Zzh%-$dq9bcDw}{ zV9MZHIh0vvxz;DK`?RBh#iOCsw4+_Cy=0w}2rWv5Q64NBtvTqj`(4I>{Nwx4M5zhk_(MMax6QT%8dFE)vj=9jlaV{*i2J*C%0 zp9k7W1x|f|oB<_|-GQLA{-%Rx%@v8a_a}69@zjY5#MpZdn(*mKFs-sMqvb9-W(7}I z>mMR@hX$tEjx-*PAH%+0F3536-vZ}&8Q94+xD-ts2<}J?$IN{Rco61tkwzR(*zC~Rerc7 z-q)06_@m0`yY4CDpFQ-G0`?Mw&TM}h*NGo;3)t%^h*^|QRixvkRjZ4Cz>`p^rB5Gg z0h^T^Gwp>ZT?;1yoEci}a({7{WuQOXTzr}5Nv^rTlTDX^$y0F8bl;pgUu^fi1{mIH ziTf7$LG7ADJU@(J%*q86R??^`w@H~a=I2r>@-VErE0W8`m=FsG?gR-^wOt4!InnHIgmaQ!32x$l!|i z5-Gu>kZsbWmq_|bYT;x#i)rHuNUW8sMIoS*-wwk2^CkLZEbo2OFP4eDz5)-Q2&q=_ z@Ys%s`rIXd-U_7uX|*#gr>yeP_V5fc9l6GwtQc0?^49>CE*W9?*TL;{0^(C!!4T@CER#a$~s)s220jfO)j7 zF&91=I%tcHngU^gW#8VN*?Ao#(jmT!AoZv3O=YW)=H|cYzIXya_N#;-`V)-UA%Pgw zn`^ai6?LXN&pQdcb`BKWL_Ax^LF*Ti4}42ku~TLpDdHxW>w?peU4Au%tf(tH=SqnHEIL%%0Q*m6DboORrGdZD0B~g zNy?`A<-XLvr>@Ve-9V#Ns87^kWg{fN3*4g;AO+huFD{!s>i~YsbA_LL$dAZRu1F)) z6Uw0(5p|k~VN-YKpUYP&eVE&ZcY?iu^ap51jl1 zTZbfIYNhSZpa>n|-1y7C4-vliK~Fp}%hSmCJy>~97()Vu&`T;Udcu21Y&TrN(&f~mhf&i_ES zZ~XqNWB$=QG9I5&ry$)Caj4wyUxt&}16U!>yAvIi?RoHaRde3GV^={=n2T27>@{6Q zG=Q0Yl7BT(OX>ZT?5wbim_$YuZ(-6ah@Y@##hKT<6Oz=L>(-8R-{o z&A{5>C$miZa{>&TNmvP0*PHOJcpiF8DAFHlQyEzyP4ySP$I;I<4GGUsyw^V2Y)Wz< zU7z#m$nm(^@s-8g$qp(0$_?e&VPZ56rd#v{H2t!HMoxid8))hZ~`ouun3efX^aQ z3+LngkT$@rR4-8juE@pr894Y0pU=PU78vlGMTh&Wb z(S287r2bo+x~_WlJV{aGv3u9Kr)f_tyZPT{x)=+oSh`{kQ~jYYwwm(q##2I`0xKNi z$E3%vN$s#Oqq%`~``piKVL<@Y`+>KQ z^wfd=9SH#OV&^oqp3;OiXM!rx146`kH>;Y$#$C3ajd)Ij_;62pG?Sd&aH(t^x}9Ke ze^Hq;&VRWoghg3!DCKO<`eyAhSW!5*M!+l2yxl(Hf|NQoZ|v4jcr@NdQV0ck7*3~$ z%@j85fbb&I2aE1gukTZD%k&m~wGNej^p$w{gjW6<4R;)=JPQcX=MlJ1pE2+9U0-cY zswbF<_ol8tRAK1J=1ewrYGVZPw1QS+OzO@V>l}~ z7pJiJzSVQ&aN>j)hl`gc(qc#ge9bUM2Uo>@@{zCQ?IK$Y(BEl_Wye+dgIZCJ^^~yuOagj(~X*c=1iGY z`(Kf-_6^9ZA2aO`D_WB-bW6zU?S*pnwEzGm_cB}j3Y(ujn2n4_4x6AF-`y&D<4UQ2 z{BI#X$MMF$Z2)S?tz*4SL;=;lVRQ5acfB7FTz$D^KV&61^smk5-=nvp6hd+Y{x}8y zK&DXoD<}%wTBj!TDVAO)j?pk*i;diO6g}8!KKQTT(92U~QKTotc-MI+FT~6uK_+20 zj&hGSeV5K!pCj3%O`=Rt+OKPK1X z>QKVkv7R7du0Q7b3bAt4YxivihEfPl=DuP0)sRX+1~ca$C=yhP9VlYVlnC4@PGHG7 z(*}|l4{tEJJczEW#ycLnjw9Q6(%l*Nsk}^muGL-!NU>eUf#;#h7*b01FZN_+^y>I_&+|2FLQ9zbIIXiOSus^VQ%h{32&Fb%_guf*y(mqqq(--sW zN0ECdn;_XW@HmEL*5y43z;J6AF6F%jCA>YNDtayQlIMrQj6M?UteB=C%H^&H)tEvx z-py#BibHmrgT}Q(3hJ7Z6zN3Zv(B@SMZ!Nlx2`wgm~bRhjeN6yHe9zKJ+9K=UD(_r z_wb$yR}o-6RyWaW!jh0rs-dmzzTgO7wFOG65>i;}z#qu{_jqpTlEw~M+SJp&#KS+t@K4O^Wut0djP=4 zL1y6OrzKWGnZB4@-4A`y=a~Dl?|U(7I}-*{4SMbh$$xvc`KAQ;P6Y=STS-ky)`@uQ zZ<5i){lEgd;VcY;2Y}~Ld}7&YLD1wmLkLsENy56*oNc|ngc?P4-I>9-Zya5dPeJA! z%(HQ8&9v!NpuccP#ypadWW4-mETR=TIr!&A)g(orkyY(FUA3n&r5$(a@vF^#8ks5X z-3+o*-<+#~Q&7AFbDwy^8Iu&60c3xImEhNs?WZYU>h!-Gp(@OCJb>9#i4%E9U8|;n z!NM)Ly?{U~U@(uTK(W6n`MQksFXPkAzpd6Oo^!5)S0>>p;i)N!P!E88UrA0Q-I}h0 zGM%de4tDk9Wfr!jnWuLI^SZLPO<`k0slXus%tK)P4YlO-B0~e7lAD}HnvaO+ zTpNB$=&DQ2me6{2mS}9pyQL(NPP2t7Ly+a^*ChbZ8&N?s#>tcs0 zknIYqmq<1);nB$F6x`6;m2-uvKEp%RRfD;=O+|_m|GrX;M1uZ!9`|j4u~g|# zz~sJ41lNvZrI3YcYo?XlS#zqVP<{P3-*a-= zLFmgN(kxyB7Q@9>JM2rAgeCem3s;kzI()WPUKdt?Ck$brM_LS9yWG7 zdz+fR@@t?pdF11>?t*ksi~ZY3T4dDCp;=RG#i0se51lO1b(YEyaiT;xbPb6A@jyJP zgtbG*9FvGVCN4R2qEU}|pW*miCI4LYW(eu%;H$7ym07~!Mg103>!N?Ii|MD!ykOoiX6HjZ}WgBuAW-Tupg`7bu zP{Ly`NsqRnYj2YX-4<3Y7H?}&+iAWG=~GLk4{Dq$mR;WolEY*Dm@LtKfJB#!@lZ#? zBc)=wYNF~({hj&*tI=yFODt7YRUi`3Oz96*}(NoX4z@Ja*YJeP$2|>;PdVU@<%wyN!(X8;Jpl4@veplLaBAc&Rm+$eSQMw6(@;JJBYgM6_3li8(%uDRxznnU-|k`Y4FcwhO_+j! zQpNM)$|+Lb80QW{>xloZ$x7fK$baUug@~zAk+_X6^IO6dGPLO;Fo{iFVaw43E!Y=V zv2)w~QT^h`dF)##G)oMfOVJlx7m1mldlU}0>kfWwm`DCMR-B4DpOb%HU^4yiZHWR+H-YBG2Nd>d z;RoUe8LaC9Cz%quXTQmNoA<0dqu+XS`s+PzyE1C39 z$tu}W6eIy{IIoFk<^+i6Y!h0(sg(EE@-9Aa$fy@c7c|fG|G@aLtADKE6M@OQ!F)k? zunXj~NYk%_rhyO+A#N)|obCDh44f3nUqR((Ybrd7Ury~lBAw#;dEs>I`@J7)XBx=< z4qHtjv552sBIFDAKLlWCncp^|3W-xZF#P^M#A4aHD({H(Ubz?F4-gL}W6{lcqwPuE2GDd>*VjvZXLqjOtfxj3aBe}Crp?gB6(!3!cM%}PafwOTV6j==( z;PJh{&$y(gZxX;{EK3`a$6z1w)!u3`qAJ(MJIi?=CLzWX9m(8xjyzbRMy1uoviU@f zwN*{E|30IA3vJ^tJq&o&Ize!vZcn~YC#saL5dYdA>Vj3|j;xL%MezVI!h*6)6$;NYen(xO52beRY&>kEAq+{ltaO8b;hYn%93i;QsXqk+U}G zCnz|et$9)jpq_~#@TjSleR~jrtQv#ZjEo!O6<_mT{`h4w`Jr`cr_o?yxu>EZmM?vGv`F}@KIRcS!s>XX8mDs=(joj z6OvWmhcxh+>lrVOwdKqi_eGex#b0_-x-M@A-)-Y>^_VnO>1b;h0!%6 z3|Ld0Hgc$c`~z8;eFiK0r*?TjsMXI|1LAoCpw+kue13NOaG=RCtp?u)mc^%}V>A+j;%&if9hkSn^+s=1P(^hHbL zgWOLztl)-uYY<{eNCD^@!JUF1=&C`6z zVRZcOK?9%ek=1Alo3A5>2Eo;VVL0T0zM=mW?(7H%!^#q-Fw3u$i-uQ!?{*CPpVR!v zAMy-Z1fV~>iv9iNPY+p%9{o}t^80}#)tWHR|GTpOAv=KmGAP&Jty!Y_VXsYc#Hu3e zpR-`H%@Hy#!@0!!idf6fcOSaHJW+qA@Fa8Cg3n$9vit^s(Lb6Ddax*2YEIMh^g};F@{wh79VPY^p>yYz4QMtzHAzvf|AKq~B z>d>^12^@fz?0c74c{b-+Uz`W2UI1}_h9SFG%~;O#lg{E!MlYE#r9{eA^MqB!>^1>2 zTok->kVHBw=_zdeA>D2Ir%nO)uK!pwr220ByibTsdMZ7Is_h*WcWwm*x5fvsq5%sJ zmX}%$4?|J5Z&X;`#c7c&7ac+&Rwk2v?iZ4x-L*%(=p#L3UmV1&r6nSwSOotn*po6t z=u373Xuxdkg5c5rr~G7ukUiZ$GS;JDHRz| zOV+pcQyEA#O7o;WChdrx%~zjwn343I2mtSOR8 zp`48Z!x^w%^oHG}N`2gP^*U^2-z=!Omicc)8o45MU#Vgy-HZi-zXush6(v~8W0qzh z(T>~38IbPBFOE;yeviEZCc zx+0fNNvP*1(|*&WN&(R>&yP(L-Nud1D`9#UWyjjNoWFFiy`=HaR7jSLFZuHD7myi} zLO6|A(?-75ubIAIb=I@zvm$RRpN8Fe9N=@885^o1DE)z-)X*n`*SKEMVAgkvlv5gK zPa!VOK*(+ErE38}9QL7Mc`XOtTtV@7nnGBFAd*%JC}pdInlIH zcIz^(M1In_cxdtIg5&1C0K2rNmcCG*LR|e;4&SJO2t9Al7Fm|6{axlDpeAknGxaQW z8ugyoI#A-Y|twcz&*8 zzcC+bL8v{=msa(rYepl<@X?#=R?mFY_aA}xq+?52$oHWRDyOdXJEFm4oy#`Ld$miZ zckojB7^D-TR4yl7g7Xl`M_)=u4$YB*13B2g$1>MJgizu7i%@PT&f%M0qNXV1Xj>2G zkoCe5DTKg-6hc5js?z?OaqvGG1Z2)ZVkQA1c|BWi#@CS<(6iPzBTGAfZFK)n_28u( z)7jRIF}gkWbHsQQ1}BN!j>2=CY}K<)-@{R;*1UAc&tdx3PNAC;XFhL#@amE1{o+X& z`!&VR^|7-i)k^hT z<&s~6Iba8mRtM%5etK~C=T2@cV){AcIh5;V9E0&4nf<3eQHH_zrW@Hd;8_0kj~{R3 z4%r{r9~|#{)xU-s#{~{chHv5iHe>xNH%uz_%DSbebn1Hydq?5lv#z9SNrP&N4L`>> z>?e};m0zT)t{uF#<8_D*kc>W|TL#m^tEaQ6zl-Mzs#*3Mi7Z+^%~M8nygzF4y4s3z z_tIb`s^BDUVrK3p{J-+#>K(HAY<0f@jj7ourhL-H*&JP>a^ABrZ`DFf5I)553CkbtQMs)Y^91DH8ksR`|d_J%|^$*{DzBREr zv)-ae`u3J6s@PJlri zgj51P3*9QKuWY}(ve_KdUD0NE4>Z^N{NNnCQcsz7^UsSgwJ+%uJfFV$KVTD_(+vCo zxQlpt!>?st(r0}HU=ev_cOeywtbM&6c^2J|@ifc6wUnmKXlll^50lct$Jv;OBq(uZ zD-?h~L3Ukx{!H^1CJaOsZcwy`T08l5>eoJP3F!dlSFafrHT{3?Amg>+CsGWp8&C1P z-^X&YIZje-)YY1O)L~m(ulDrNoW>ofy<|>`6?CU{BDnGE)l<{YLvoD| z<#;oWhfRn@hw5ZjS-yU^H$O@*Pd`l<(6K3#AEk^0-FeepP7x5pRWuK=i|D(sL_PkD zU0EcZJ!1x25S;{+RK|^7UkWOG`r`%nOU<8vAm+yI@So1Nn)9+An7W!0PGwJ?Bb{!C zx$3bGp_X)nTGxD}U31ElK)1|94i+V>Xw=LMZOD6lMFINprE9DS+6845TZ~ueQ!EN9 z-!JnKvYM1As&X=1^L2Q_^HyJFq0Lq(v9cz*{8N9zGrZUx5E2iwG*g>;D zw;zT6N_VKK4Au`!9TH<2+Me)9ro=#aFKG+PD}C$;G+&PEM$y&LgIjU2$q8Ml#op)Q zHldScDi_IXq za&Z#fXf3^@z;9M6DBso%ij{9_jCyXq5ngI%!hjiFG!#i+z+ek~M~2WKzM^kO~XK+6uY-ovkh(}wwsf9h#p$DH6ECe|AkDE_;NCMc~+dY=syl$|K2gZihbj_qb4D< z^RyTVk+cu7CY&wk;2)p8Vr;lzh`zge_%2;bFK7BHP#w*1`o^DmK9 z(~J5v@ACL7R7q41&&buybl(Iqr7D(mg!g3POD1*%YaDlfF6$V!heR8QWX)KcCH-T@ z#}n2zbEXm1A~w~&HTI*W9@vsf&s|)*kBA+$1m#IO^Q`z4U&0g0L-SPDxvUE=d+9|b zQZ(W-A%3W^wx>g=+)j|s>$F+?xq!1lRCp3S2FtZ$&uwZ&?VT)-S>?WFoR!GkB-z7* z>s9}|*6nZSoT;eI^|#!;Cw90_K{R%`5eb2dV7L;yopC>UDPCewUAfdEL$_< zyR6NME=c`SCZM!py|ULEN+Mi`GdVAT_F`-VYtBdy6{8Ual$w-ha^K2;w?we$WpX~H zye3D0*976B%4|d$>mwYJMoQH3kYs=S!bpm>7iUI-G!ywu&z>oTEHSMy@qwe3t zlPCAd-ZWd9bLEA^Cubpy)`r?-&V*l`PbVqv|k5lVy@Fkt)MjAMEr~XRN z?2OyR->#I#xUY-jgXv_KiuP;_;k9?E6xJezI!WYN!6@q`OO1o&D5q8rD_o`mzoy7N%Ea zAj?rhKGv7QslU?j=xRN#Y2|rdKcV?Wd4Hg#V7HOi&K7EC0nMIRyKt zKB=}uNz77z$TTOD`g69T?NNUQ?K*_duz|PQql?!TY z1KHWWC;;+4n0^_p_9P0;WDQA%^rw9K#wL|B_OK6oxO!{^M$!%m~~?j34PK@d_lo%j|i^#S-Zoi$78B_%vB zy+iA*(;egV@2as+-p-ey#Jq}c^A5G--do~sJz(=p(Gq-0iRbJGx?ckark~N{9o;Cu z*)uSO@3B89as@oaEG4Kx9x<_9W%48Y!uOUrbWlQDOi>obG_&|$nnAg!ZmH_E@DAHv zqr2(9g)P;3f5_a-++>3yeT12>OOhxKYtY)hqv?%?Bqt~rW0Q7hfW1-(yq8H@0irQvzPDER{AcJztQ`Dule3YO;(pDWr|HYdvZK%#Y)(EzH7lTN%=X5($6FSD64!Xu)f$B^da z5q+@8#?3`npm7K|1i&0#;yLtn08+Zwu4r6ptIt`dr!^NNmVM#NrT9)_c-S<4#P`pW zJ{Lay!O4V)f6qeouIZfmbG2^VK69Ct4RiBpx8mwsCa_%h2D7b#5VH?x_{5wJa!b2a zNml5!#%bP~Oi1>hF{P{tXm=-5R*UvZ(a(pX-kN18Qw4MtQLiGMhI!0^Mle&1Lqo$U zl?c3id3wn&S!7pa=SIw3#!9q#WyhP=hs(07>SD~iO#aehe&i&fcyzh~$XNwu_a%7; zPz1*sg|@>5>Cg7C41FIe11^auVLhC2(%54jbroBJ%)A18u)EmGcv(ut^cPQ$Qfh)6 zf4oXoariS1e;mD-!-Bl;DxHD=M(?#d5B$|)uboznO!Z4R z)PJZajWp0SZqef>8d^$+f9-{GqLHt|Hr^_c_Hdp=ikx%MNAtN!7h{2S1IT~m3eUS^ zd~j;t$A$N7 zBdJd*dZ!68NK$w8lCuvXSk%JPsS-3^lP!hJ3>Yt0rV~{ajOORvcw!U;Q0(ourH#Nd z;)xkO%KUl|z_r)M3d^R^y(*)=UsKp@Z`r8EVrp~Unbb+Qy@VpK1v{YA6QGD0aq%J6 z&Z%jP*ndiD{38f3~jrdF(`<_b*QCFZw&tB#k` z1a`I6Bcp2G#8Ml2Z<|I5^SfJ@O z3@ROyjZw@v^^7)4R;kLlku61QO;v;a`eJHVABtv-Q=tZRll5fNYW2FL?SL1kok^1O zswHwKl8p(gsZO`*=w%ZrS75#dIljz{wlW)zn@?U;>q#A5A7vO^StvCtm4)LE4OYeFOT-fVnD?a1U2nn{7ehwFin-Dzj z*A}Skn5&Q^_l~KAf}Rc!n%mwEYEB$U*Yu=$Tv6mC5Fuc@UmNT zLE}T-r^XI8!|mpeEbU!<8;ofJ$tFUALO7P*(}kPJRDFi$ny*S-L>Bis8o4`*A4$6X zfoGa*QfA{R=n8&;p@C=WdKRe;N*}b!gGK8q?A2at*nviwm3hS}KRD0|9N4@&gygbZPOl1$MlNOfKPe>SYQcDwf)MRQ?ZX}k=Z@` zc+?@;rs9?}q}#t(_}J)@3*wro^of~K;oQ{~8EhFCM>Ca43$Z>!&7E8hJ1aqiFm+khC%hZTJWEv1vdb0LsWh#S2b;28HNYL%$AV4TE zoS7<8GIxN42a*argqX!vfPg(|#BWcV2#E6bM$&&17@hIPe4O56*Hq1fM%gJ zrB9oyMc+^vUG5G{p$g$1a`Gcs)V`!;qN@f7I@Xn?A8E{TVSgzDL`vQe6$0+@)~L3K zESPp=HKiRm-jciB0)iP0Zz*5283K!E+f=o2_h>0a)8BQ&+KPQMD?PojN)|9G`%`MC z(UwKE;>MNa@g+u75WhNXTHD{03KHX$yL7vvF3?o`&=Sa5vi8dBH?q zbk_=hbm0kcY)O%+?asWqRb$B*U~+wJuYKtT;3zqW(IEG1IAL*-(SE}>+~h;_4PVK} zZP4!LL-N32Ws|J@$U_Cn`8tYLNt&9H9k3)$D`kdTgvt;<)j1rvS9J!v14}` zY|lgN_?t{(kbwsjVzGNtw{^3PW94tntGkYsG%m@pKpO9BkNC7YuyQSdd0Zf9@z7k` zq_7#FK6hCLxI&|rC4wF{!9LPQ&0W|IXF1jUDL(m{^^1cz;4Q^56)b-b#R^5(Zudru zP&SW475&9~HH!ql76j3Heqv&spbxh^(2z-r3;tQD+?XSq);Ld0|LSHTKlJ9)HS6mxY|;dcHs&ejhmW2K3+^nJ zpB%oSuoMxHXXGobOdHZxMzWkIg`rT*D@X(qWqx9VPoa^;_weX0+oocSMp}NN4`B{q zi>tRqx$x7S7>IsTOf`Q7dcEo~&irXgq>atQ*S7aWjmqTXxv=ohRr6dDieKD+#4`2* z1F!S_KKhfQ($d*R3?E;pD*nPcO2Q(7oiMSZhU)1@LWuIXjy?^rQQ4u#T=SaM@Ur9IIO-VJ z#l;^&{eZf#uWnEUqf$8cW%X;1?o1;wp)R*qO+fw8fSv46$Eu%hnwX`RbFg5x@;tp% zkr@t_P(toMSF6dxS)qx3EQ6nt4GtpNU8Zz*zz~~r^VEQExi{zZ5J4YN!aV{@eQl6s zdX2tmVw-#%BBjb*(<{lM&7_am)~j6CTR}-L4ye+So+ZX)JKaL-mH#n@WpZ{k6BWvv zh}p)ri!gwwrTUQl#r#N~kY(}aTr0sOR$Kkem$o;$uM;$%eiNzCYxKi(yoIL_@@gxH zY;yG(j9^r+uQJLFe+Z}3Kq$)ATkkqluZh>o5!pzZ75F>@WmMx&16L2D3Se8_1056k z7c*zrFh3rjppb$UMFXe{Fq05abWk3j;!xsNwE_;GsXDjWVXp(yLSu*Ad2@j_pq5=^ zccU}!W!5s>*-4vgeXg*!`98LAoeS7e74UY$FJ?@iFj~%cf0{`CJ_KJ{Km8DoREL$} z)!kRG#h^9sjHV`Iy`J|PL=T%*e)0iW5{Q1hHT=_Y-K%9-vHvN_3MERBJT4eiOtP=n zg;OzIJA9_kE7Gdott6XCzXbh&CMuZF7GFSOQLH;nm-UIt5HH}&8W8z6W3%zF=VTYs z;iVWtSHP4$Q9B$3esi2Kqje0n-)HjWvsa`sNr1TX0cVA`W-PHbZ(9dicpr;PUzDDC z2yi1N*gs5w8t8rxyH%w+4O>|PU0JT7eAjC7pve)>m-7g#O7;!<_XTVc83PizipuIZ zJ3RtKF>A**;7(vl+RL3>l8Px*yiJA|ApxIvLxnXAE6bQy*D?!UUMPMCZl*dZUo!TX zaRfL~vW(`biUlKz4R=D4jW~M3Pn@QkFgLiVre(f<2vefE!x_WY(jd`89Bk9u8DNRx z6ccvYQBR$@%V6j}7O{^en$=6*5q|)gvA~Am5HS)1-gr!qJdb_dW~K-#wC#&>lFhIO zci{GDC{~qH2bd!#zkEh)xa-Zwxh@A_M&Nkxy6zQKInb0$H&BVCRElOEC6d@y^eL14#W>Fs$GNezcy3< zctykFa>t_8PXg*QiQk&RwNkYHN)TB@`>VCrAdakTVgs21d;wrqAgz33~ zB$b$y(|x_J$@aZecbGI%{0_M$RxGgm>s)-b(gPD%DcBBTC*$3)DSx*qXn4OVHLBgY z#|@O@fv*?QhIZTcw5YQ#W|m^8d;s@w{WU}Ltm5+Z0c6z$1QBf;+B{MwTb;(_biXp> z5)Dfr<@Oyy$n@b9;{mWD)s{XjC967VVF_hLYuno^C{!qAeAJ$bFY&l~&Iwr2>aP{mH@4t`Tmh|Lp(6N(qxl}&b`gi4?J?TqXKNc*dP+0Rhi5G?44 z5DwY4|1=xX$xIq}OK_Pq+aF!&gP}@#0wKB)BE}J>7|w;p9GTF(H^2Z^E3)RHp$=z~ zohOS_o+q-gg}r1f2~BMa(oDgsQpgOQE~~5elGbGEdOeNX15 z6Q*Khk8?5g=j|0G0w1xOrayp=)hbe}qacU8{1d=;O?rQO>ECs1613eH5s>)45ma{~ z-Ncrt9YMmg65QMPv!)KSk>Be9%C^)jYNDhStW<21bFnIJ@>OdN=ots{wVLh6>K(B$ z+7LAf&u`hJWP>&D7OI@aLLlFsrlxPWSfxb;syT7#)#LLnvq1R-dpUsN&JCT{vL?@e zqo)y-za)Q^d6TQ*Ww@y}ytCV2Y6iLhs~<3Pe}8=~w(RV|*Tt=P$$5vGE{LD&#>Qdq zN8PMQa%@S&pkTG+bZC~~tU7|1DT+{kt*xZY{fT}=lQ@<`7p$?Z!epS>>m&EZ%ttum zD{7KzCZ>*8MpL@_BgQm}BPaS|MloNEy&dB?7!u_b&uxSFz;quarc9NG=xufeoQI7M zVsMwNv(hV(6T6%t6kE=%B*eCr2#J`eUP(mTL*pV}7%l1uY5?_OVUeAvQwo6Zx33-6 zeHMO3<=w%4oubDfb%IZK@JB3?qp@Jg11r&X36k_Qu`KjCZmMoT*xEQ#xvg{luAf+? zUd_fG^(^O?Go~*o7tV}sl)e;p>)*#fhNDQ=VKy{JXot*~c1?XF?%|wm+eT}lAcLn% zjV;EkXjcg|`SSMzT47^;v~ZcoR?WDKTCB)$tN0jNQzCHhXsiU5T49CQtHxnm`%Ai) zkDY_)7mHoRS6Z7NAu)Lc6F20u(R1~QOkvqTBx~9)ouZKCniHk3l~l2TzKU9m4S+Z? zUQJDv=TIIJYb8aQSAnTkA{)45|4^l3F_LGj{q|T~m^@Kb zxBWV9`2u_Kr@%YXaLV5-pO{`=HmQDMJ&4z*5hXH2tJe-mC-3FTHIy>|xTvL+d#FW% zU|06KA{8sc3M3gsLfl$~3@9<3wZ|y#2d`MlP`EK+6DL6Sj7!>$I9j(%xeWfi-b@-j zrrDmO`q0l8g7W5ueMM>ptahYW++!;rkh7~Ydc=riNyIuPi*cg`XU!C=dsu=wOa1Zye`DIh3^cEm<`ahW)A)Z+&5 zCaRQc*reChspaFm>d;i*;Inm+tF#0Ai7v)z1>5GXmGGCjJv(fkzTuIU%l|X^T z5ovP{)0wS|?bm@Re%(BJee#wnx2>q++=5_Ab@hi-2KXmBGXZ>KV_tI_zqWUg9rc}a%1f>qae!xXt5yLEgj{9S*mwhj6Zu9EL z%&lP3u~cLFS5n1BH;xbeDG1;OgU|?TkxfZ_1}SYt$C|y`^a(a`3PdQGgVfnAy;rx_ zM3#wS`6sc!tMo<%8mZ|bh58+;BGuhg;QTP~M>Ip?54JZ^&XQyuhs{)^bxv%S1)RGK zrVhrkMiE?hdD@&_TqT2RET?yjr&_Ow+lY*KXEVrH3-&G#ky1FeTD$R-IzlJrUS!ML zEQ88gwuhv>8msoLU!53JW&6yQ$8QQg(7kOSUK-8LypaOjbmmOi40ovU&;5RyZ0Y5#oPN$Qf|-$ z<*$(nT6lKP)9>Ng@S=AFsQT|Q6tv>fuM#t;{(ACcl=8a9q!qK;K1~ONyL4$Y>(;=m zWw8`yg7!Sg;xJjIF66k$N?HRC5gp1;uqChJj*#nMsEOvzy$X+I< z4;JxB(z}3Y3=JDGBaQX>ibBP`dX(OxG0sF#wANHLmNvt_m}bXCUJYc0g{^s}(crPxmuT(&IMFs+ zel;0PVZNRZiV832Z)%O9UoA>fR<vHljd;$){n_@JGB|7yYf+k#P zvEu~!n0W^`2@thlM4&OYZ@K}DZ_ymk7IHqDm#WRa{!D_M&*?lsq z9GECfjw$@=(;Ks3KI3{EZrW&{`?rIDd=Jy^Efg+(C|>4hnh1!9zvBDl0kiBs{m&)c zD1LmYI-6yO;Cu2m-hODK^H)8*!uD3)=~WI=_X3lNg=fuF-Ah?9cd~=+I6o9%kEmMr z4D|@V{iuI*=#U3f#TBy>own$>@yE@oM{UP z#~0#&X&`GdJf=xK&In-iQ)wbnf{Di~X?Cq(tyoZ_5(9;d;yW3FwI1Xw(woPGtFc0BoHx`KVs7{WvB2Y4`+T&#e{ta zC$&`a9ay#Mm+mT1NyINh?0l+U1g4B7Q0_&&lHqwI9eo-3Ef>dlgkw6Ezx$Fy5CK2*LG8l0f5H zRg{5}fOUbk^S3~C?;ZjF6dkDyHaJ8zH4mUiOt{HRR*6<-L86x}v*6oj%Bv3|A7kb~ z%*e_WW3yfX7`LbL5UtA|?v(mXvm((iHC+`2l@+Lq5%I&$wQe%~xvTlPROT}pD?Uzi z(#1#XweQqCv6EF3_KGxo@IE^IZ1ZxjS`n^;h^{^oYG!PI1L8?VMGv=Duq%%%;oE3B zQLRO$Nb=YyCm$)LS^_-@lubR5OF7->KO^xP7~akf}2b_h??c4g+V6RsTWdiFDnGj!wfe~kxj_iDMX}( ziYDdMvjQc)DB#D%$zckL&PF*K@jigWPQPqJJ?vK5F}d=1IfN*sA{!3LCRokFYzd>> zYgR;|?uFSTUnUV#Cpfr1ESY1q{K+ z^&cbB>~I<%1i;e7Nh-u|=1X)^6&u{zjJN#@h^CSjab1%;4>zymNC!gIcoFg1NZ)^S zH!inI*I_;&g#zkW<~A&zjV|6k2a8igc`C+|jLGiDr%jAHTo5)8rvzFJ4&)?#1f@cT zu*zs>Fw0qE%q4LE_fdotiQrHKn!bSmGdQv&x0`=3@r;rMveGPiGiE3fkFA;kr&e%M$xR4K2ajEP+~cj3E7b|rw)i7vR^v9Ja**qZ#P+Vxh5&Ois|0dLsMV3k+b& zLK32M4&KD8O+mUbCvHYyaY00Q7?8mzYf{+ONr}2<_0V&fZ3T&I0@G4u7N$pLu1bPY zk(+r4`!?FMQNQ#=7L_)npNx?~)#j@M8YbSt1dEPGt(l5n0c+q6dPmG{$+%`S(2)$1 zWIPRQJnJj5FI1a28j?dYITB7dAuvYKb3Rts!ZOXkvptHUb{>_XK`}6wvMFpoSseO> zQWs2h5SG~xt25?fk0^E2s<{+G=*A;?J;EB5xq04Vp_PH9OK2C#dkGAUTkty(TqB5f zqj}_&mh$PXB$4nV3I6~iPm&Ej1&stYbNUO0E(uJc92t9@Mqcpjql7r+$1-osR9Yi+ z_lTzCEzyRtr*bItM$C~d8IMLS7?zBI2bIt_phHOb8;L@?Lx|AZiBFj>d~hWPQ#lZp z!R~`60Fs*&1WOE(9q7d}WkoXRNr4nhfeSqfiX4%Z+jO}QxA#Xh0qj^i&^K(`$Vc9B ztHIjWCYd%-%ie~$q1TD{(TA2qF|^sie&=j{;vLt7u1V*3+_=*6w^A`PTOlxMjmaj8 z8e%oB2_r*PO41Sa3w^}qw-8zyS1b*PYmqjSY&cN9Td}waD6lodA3FrmBP`bh3BwrC zD2%?u^PGtRGM3DWPLc93DJFq5on@A3CAiaTg3zZz2F}S6fdR$XeZpoZU?r5(c@q#* zQK1jXLdqYaeEvlcH0l_LaV&W)J_TQKwy3dlO_cQ*!4QwmF(mg?C{)^gMoq$vp*V+m zpH(PQyOTQV<4d_=ShQJQ0-iPCg8=IwT1rVYhvIFFlS9rgI}JqE!d8sn-$XqI?ntMo(fR$%q8lu(VjVG{k64=!FqNCCWI@zqO)(hc7SO0>!x|98RHvx2ad0VDAlG3Lx=y&$5UpeN zAlP0*>U7o$EG5QZvE2jPI*dQLI_OfP1j)L|=tQ={@@hmGn~HrXk3ti2)r*}=_JiKS zNy8*zG9Xw8y@+2}sDv7U(5;424v_?HTn#DW`6l4c4aOmq(}2-@}c5a#-UtJ*1>+;WQp3TSGJEJ!}+G!KrD*65{)HrT0(NKAz( z7`c?0hIrXbf5@7va74ZaI3&HIV%Z|o*sZCNeaA4^+#rJ7tY5(xw)v5f9Pmx27VXe-q~hLY#JmTW-4#)W zz}?nx5VjYY(shcT$jC6x7~uls4?9CuzgdgVV*&)uMI%?iR1^)$!{#N(-x5=O2S^uE zWLu27xDew?y*9IOcU%U@_)8lxOsnLN-FFYEKO4meqDOab3nn#tj<&?``6&+3vT()i z%Mr+lwst4a#xD;64Rm%B_}ZdRxR)=z=}B57*-)p8bDR$h+Tc@tdK{cp3X$Bsgq#;o z$CL5A4guw>fi?}dp#K2BET!03xfY8tCl7%vT3sRf4w914_S{@a_mDkgrPpwaY9 z7!o1KmNFagKMH!JM3Kz*cFdyztnx27JZkE` z{{U!H{{Rv7pyu0(kf@l$`4j3(1@0rsw8tVAq+6D<)KI01L@E6Qyz<7RLsrLx(8f@j zv3M4VC^=b7+}AyI*g2z2!t;=rP9xD{vA z!7XlVaP;&R>Sekq;7HRrM6wY&It`J6G+1RmrZtsih~>c3bg!z&Wi@zNHh|a_33z5f z$sSaaNj?pe)^$!(8ZG3dEs0ywe1~X9r!SF4oP_$g8Z?aOIYb2wD(pb8sv5mVi-yd&IJ~C$XoE@)38vb)O*lwKrZE2iB1T1IhFG{Q_Z0G$#4a{?0n6Nh z_!7~G?F?Br;W|X`QA0wpN zApS}H@;tuGjNfrT-w8<(w)3Y~fXE}jr%^)KPPCqKRkjHwC8Nwx&gov_rY&%ok=|ZS zdtrz|i@)`;a=T80dmy&G!Q^|w{^W4S&N+*VEN}}g!(mBBZsm<7`ZF;yPIlmS>d7u~ zwoes;eX`f?^fqKYK?c~6m6-sDBp^eP4ao#GOqixQN{adgWEr-}2@Rte#z^51c8G|v zvqN4(DNaP#Cle%Ffk` z8!2yuUUZ|+f((9>Hqb>ORz>;=evFg4PEoy2FioFqi2_2u?MO53$qtp7rj6*s8T7OJpx?jX>$foyN6Bbi>Ih>00Pmgz4olJV9!$BR zBpGF$hz95uKyvbtEI}A3OgDlpQmnl*Hz8_x8uc?gQHjht!igUL0A>*BpSa2^7?8x; zGZV7xVw)3kP*5c{BrJrZ2uYHVTE{fUI(ult1DDG~a3e%VHakki$aK6O`Z`--3?+IB zbfC5@ibR4)iCPzN1ko2ZG?fTa?U6ydu$}jzy_ysRaQP=!Qc(kAk4zGtW(27uP{cM& zl}~6ooejBYcc#jOme-2W`G_gfEHHeoi_#n!$-k&WEbp-E^yq&?-+~Km4}gOyE55=M ztP-IWQ5%t!^Fp>VC`m@o)RoM$;Y&my4)owmtUC@;4ZXy^Rd|a=GT&!?X?BT`#gly3 zg1xxv_jPA1 z5JidP{^Cxp48#;S9fvIh&xFT=`PwuiYGYzK=n&;Y27EQQ@F5;hvlD@f0d5%1ruQ(A zsOnlW(B-vG7_KBIaq%%Gw!o<`l4(Ou7DGsuH-XSHb-;s0$`&Oe;xKm^wuppYO`w?$ z$SrB)gabS!0!+zGdlth@STv18odzRtg>8zNO2!XN_8{ldfutd3cO;z~9FS}^VJR9n zIcP(8ZXnSL`Z4Y5#MHlU3AhzhFAytL=F6VOxR8ptSvCpHm$G=Gkqp&S{(n&gn3A-s zq3(`$tDx&CyYi7H8(o_W%C!1qDu}2{Z-PT-<+AtLN)CqZ;}n}%s+CG|m50^yzH-Xlw~SVLw6 z+!`jsBM2xtgCksyLk=dgO|=X|sW(Hl1zI^OS~8l>PN-v&5GFWu<6c$<>SHIyEu>tU z*i?2*YN#@ID$wTO@GNAhrnf`lo$y7Rik^}5CfgySVtj~$A@Wh$Eevf~Sg4IS=B_Xx zE)19~khba$9cDet2%Ff(JLosKHuc5#S@k<>YDU=41H2tjsq*F}K1K|p>*SRFbs@PM z*mx}-+@CZW8%J^NOAY#q^wO5tiN>)cT$X)D6eV*!kTqXY&*Ub}s{9!z zm{KBFMEzt+K^m=3)slnuocSTo(1+^`e9d{F_8(>3us7UPzqxvkoakbQ+&7^~#z^dQ zxVpX2_kZdsx#nY#_x8LdpU9Tiq;z`bU^(`jR!`^$`Rs+qR!^|Wa}TMX_eW44V`wFB zBe|AvDgTv5@$X*}j zpE;$U;9R~ox*c2!rEEW-ii%ZD$hstwDYv~KplRFP8C1`Aq9^e~tmjv0-R} zwjNE0;4tip6-n$d3HK#(PBo*K2H;F0@)aav!NiY4aSvq84@JLC2_1wZP|)n&EP^W$ z5}8eqF$famxNZsrHi6Rm#dcWs(BC975;QJQyH<0jD-PJzLu?T_f?i*f^q4q8B z@+sL$YpzNc*kpbjZDudaPfS9N5^Y}W_DF6vXkjxdDSe6GC?|Zq6+t#C6F9UXSsxuU z)v`p9M!_FrE9i&HV`e8zdyf^ciEYH6EtKqeF_RZ$n30YdytI&zhi#!D?)?~~T)c=} z3ilK7GcuWE8+88wA{)bU=XNY;VvTgrluK|zgn|)5IP@VT86h%YXkj-K3I~S`4^mVo zaUw|`NhckML^zmW;)og`h9;Q!P>K+&MOqj!eX;R{M_gmV8_J0uSe$TLOX=WJmmf0_ zQ>iti*-uwp$-_m#^5s6&>l)=8odQ?(Aw&%8BUt; z%Va??tVF$yhbmm$?m?0e+fku8wkA-$juM(5H)CYMKK}qo=s%`~O9TDl_ZFYvL=Idy zkvPJH-HK2rU*tlU0OvkUi9YN=QXB6&e5hL7D^^ypT7!f)CoN;xW0%QAtv4u0OiPh+!^*Rw+J3F-nHxq!M~3y^K za%;Fzz~^pko03UG|EIN zXus<46VKegcvaBYYqqolvqX`jr?8F6MYNbJwx<%HyKiU;@T4cZA+sadv}EwOg_RR> z=@Mz919fCJmq`-X#WXqR(1kzP8;)n9L$sxmZ#MeM!xvQ-WAGz&r!>9=MWRMC>V4lnBob9DrO2Gd=N$=*>GX~L{F#e$ zorw@`;>3vz{{UhMbOzi*WKO1)xsH}cgqB3FVkw}eUD>kIR+KtKHNLAY zEQEzYrD=7jfu#;E#JUj{WsHFldRT)xHZ3DWkRaARS{n>8GdB>a&1MCUS@S<9uG2TU12(k}Frt;a)8?QRy=Q;t7-)`-Wc!`y-FP9xlX9udvD9I5dPuq&- zq?{6ERHnW~n;xM%4eTj6N@^pH2QuI`12+mLXX^;Ockf4{B)=rg7@$fUKAN>xs+r3;p<$>b{F;+}vXZO?*4nZ(EVYtZ8A z(7kyU{?aOLRy>$0Jjl*TA&X)OOj1%@9=a8nd1pXY@;*V@B%Iud+7RnAX4`0u=F3Wh zP|KvoD0@JdO<|CoAzBJDX9G|ykX4|rg%blQTC$JS!ZEfZqjSELCEVDsAq#QU%NjtG z#DWblhHNi75GItSC7+Z+7bz45R)B2S$597RZbaD&LyZy;O%_~jucvZ+t!Q(MggC_r z)sFy1%{aYdWh~xhk({E&=MTH!Q#WzP<}$Nf8t}8>3N>x`#0iX&+P7Q1#vvi&`4!JY zM6bh*lAOFVkut)kCk&L=CP{uo2By0q*CUlNt-Y{JO@%MQ2ZI+@NS(h@KvVst(ArNg zF)lV(wh=}&j1c~>;7aCYNw4gC$_nhqcz4C|C2Wx_cbY`R4mx6c10;!tEv6zHY}=C# zNiW5MgbAdVdX)bFa<8~Bod!g)!L?+y=zD7{I<3ysyB6e6v3-hsTvjBJ^de(8T<9&V z(}R?gAZTZo{0yBZl!=%jGwwyV$v1U7_Yygh)!bac5XB8lOi2?7C0Ea()sa#=9+DCD zDbj_Jhu9TrBi2iC%WcaceUtW?@miO6)|kxop+F6JRd&U6*{)jLpLge1BLHwLB6CTyfu)gBA+TD9;4Z|uPi}z^RF(1~PTC7sqnq@I zCq^wOSs$nqO6(*FYu;9cS#L3t=l;R1l@7xjE4GOc8B>oDp){N#7Xuym^<*ibD^7{lHgbN&B4$Z`MAIx(JdWkb z`r!Wn2v`ApEx-2!`1D8m{{YN$(4}9J>DEWSOJO4nttHHKCulQ@e_~0iEpCV0eI&!N zu|V#*k$e@aHm&|hQ%rsPkWXR8JeGkFS7bLmC%BhcLSY$?FB)G%Gj8)BhQ-*S8?$U| zQA+Yzxn|>GB1{F-o=vo3gsgB!Q*%Oa>Lm?v10d~+Lnmg2CFnexc8`d_*5ga&M=6R4 z5J4^SDLNay<&4~MlZVkTptCs^LY^k>pm2U$jFp+Y4ZEp5SFnr5kQDiQyqm+ri%{DkwxsrPg(ieqJGIf;zMro zWB2-Xu}t#NF^8Nk*%82uN*i(}EMFHG;|0hoCBTSUv+lV*a{LX=y9glt%26w+-h)*t z_UaI-lX@JLa>P(2VXNvxWZy*wYsmNDSQejn7DXw}_ZT6DlAh!2{2^FT9)RZue@A%! z1@^&q=EgCQ&MDNKOtO^Nl`6Ar$O6+LK&s9Owm$&9as1;77HukF>Ki8R%uvZ6sxT^u zP^b_?Ux2x4?-4xNl1W~rHSUxjncb+aQN!APC{qxUR91qyM@u4$CWR8RQ&>|lKax+l zJ)xfR_Y>JR9zD!95{%{S=0vXUW*b+H$U7KO9!}6Yiq~NJF(HwB5Rio;_-!nVx2row z%p@-k3#aBsbRcD7ScKMy$$?KL2MW;X8xG|SlrlPeEXbER8_J}U3K5oKWTjaX!Kp}X z*h`KGUqJHcWL+if#Ly$1r3Az)XGEHjanwYFS7ALi#G|me)fVlH*4V}T)YQVnYEx5%YY`HNw0ZH-cNQ8Fc1V(LjV4uV62(7?3U1r@(v4n0EUj^{(1+G+2o zD%8iJASCq_S6P8R6TTbVbiobRRl-GsUXD?2h-Oi~gzY}2Ge1s3{wF#cmuLQbWk4Fbb5 zFuqYLjTZ5_XH2yu+-xS`oDXObnp#zjnMW|zODHsoBXP<^nBE|nfeM0Tz&3v7MyL@@ zcMRXu*ddrXm5~jI$vw&}Su{+Dgl-8I5onS}4hO2?v2-FVz+V_sSx48WA{H9ibTg=R zhVLb`ZBv1z!V4$(819CM(JeY~FwEbmzJT=@_`j3ZMFOLl!6Z|jr2<&-ra>M@+qWj0 zKLDvp*fHo5+nd^Gx*@0qR4Go>GD?r)C!+(A9t?FH+ir9bj#A>P7RO=CkgG0l;B#dR zj#MN+4=6rK6DC%72@;SZMCIq|hstEM+$BE^C6gxUB{{@790da-K?zXpBwHiRw+|tO?HR@*`_EIUjaA zF0(zv!Ijuga*SS<_Lo!;{Fav61ok9OlnM`!+lOwZZPvHV8xj(48;L4Qw+5p4JFE7I zLEB6SrJ5t9Sz2y%?{Ex6ok1Vg`#U3FocIq4@4W109%{iMmI$S%dzkU z3uQ?UsdgN9M%-56Cpt8q!8Q%25wADU*^(}pC~cmQU!l9v65#}{!3%%88;=fNPoFF8 zIV6=cqp_SUw%HCBm5akvRF*#sPZB561W1y}p)VQW#_AZ(Sjo4M?X8y0;cGi}9@im> z*~WMg7BYQaq$?WR&f?G8BjBdoR*l0`TNO>k_}P0D`Fqg4*qwP@O0-eq5cf*_ z5H=SF?-4$$EvM=>UN%QB92=_-Bcj-k`Z~{Z{e%4t65e~-WE?+KEJ$)k9tNbT0z9K* z9!rc60Zi?E2$CeOm3b^!wW~vvUr8uIl0&C%&4`VEkn~b?IGfrfEK++)domkn`VJRC z9f%-mLeT}Ga6shIE`Wr9)Sc`>B^(N7z~>!B>Wf90p}_n@SCGQ^%u9;M+6aO{sKcEh zHpW$tX300qYBq&pFVrE>iY5+qRwEXC^d)z=N=^c{h?`)rOF58Eds%5W@sy~9NJI#pib96r>OVl~^ zA`wY{Vi4PIXLB@cN=iHIX$f0$Ms?U~#65@& zLVZT-l!B*n4e1*~9++ew#=qS3Fi;Hh4`tYb2SZgt1cungZK_J(MPqhKa+FUh49{j3 z)-5-hjNOQs8EDCHzvqJh?W}WJ^(36FgD;}um-vQ7`pu%ve(%XH1GK**jh0*PK?sGc zA_aVgcEa?yT(M9hri6rfH67zqZIO&}!o3!AJ-0F`Vj}?8*E((D7CJFe#_3K*KIF$Q zt710p&X6bg(A!0!Xp=Hvdz+gtBY$MjrAZJk5U^P(;9%f1*f&)YDqY39AZS>TvL`Gr zA~{bIGT?Nw#A2X}JQQ8nU7L;Qz@dwJS!5lEMSk4tjmUR#FzJ&;R=_5T2ZqnrVkUei9TgIwxAlt!AXc<-8j z-3ZMI%JDg_`F+7gZ*f)opW>VHZr_3t5rC46`%XI++AFn-!67EZKQ>CaJGm&0AI5dh zBtue^Db~h-?$o^$^FfsrMx)QiMRGfjuy>$g)k!_9NR^Sn4JOO7t4+ zBeEzS3ANl@wcKW`lyS10oy4bM^UX3g=uS>bRcuhwhq*Te)M{vJFl5W_M3u>U2=F3O z!S|BMk`Vh~#L6F?JHk>;+Y8`edpW6l2&9=^q7=AvE=(a5Zhq=18YQ;e6-F$Xbt056 z8U#Eo2~?DI)B4CaLmns~KuCy!bY2M*ZY4fq=oNNXsvg(57Y?K^ap^t;hC&e{dNxj} z5#gN)eh1-#Ye>k9h}sz3P|8%^1UXkB=gEkRmd8z62a@WyWJxI}Fp%h`w_# z1UQ!OaR`+OFNAG^2-Zq)_$KrnmcxYA(H^8W5xowYNQpu+l4Qs!@Y87hNgl*xh-5t> zn~W19Eix4w8j%Nzoy>J1BA$UN?}Argh+Hh%B$;%}xY)~LBy7Qo(A{&5g;YOyA*uF0 zdKo7QM4}{|CWNLI>}`XlB!+~c0@&3|f%s`cAu8xTK&GWp!jt4$-AYOuv$|-C<7c6= zH?XWmmUa+Y_2=869700H4~vG|o;?IfJ}D%UNhCo%6Qq;il5~=MbENnq!8*)%Bzi}X z&*LD7f?bc2btRKvyaXbotmPI|`xap!uHsnBSt3E=6Yg#*jYm%>h=NYxNTh_awhEOH z$&5Crgw)A9hToEYho~~RtCG?t*-9iocYdful#8*JVwV!+cVRuzLCYJf z$(D$Ah{*3{C3!K#Qi2}o;DZnnrif0!)}&!ZB|F2Gbw;vgGE7uXM0f7t>_ep)2yaJG zrHoHRracKJL`I2=RN%0vT1f^pVqOAZ$l6mz`jta_=u{NSdJ#L3YdzBum4WUKu$#ls zRtyYz9bk!t)k#51Br)p1es)*2(Gtd78Ow90?JchI$?g)^e(35&Rux+v>d4kLW9BT# z+?iSw6d;(nE0{>Fp$)_oSj-IIG#Vx>$bmwN;A0r;Lb_&CC~#wK;E|9{p`J`+e50;l&PF;B$$yUl8&XSFf}38Z%XhoA+7{!eqMr} z_bec%hC4SF7odi_ZP|>CW=|nGew2=)4uz7T*=<*of@VX2g%VM-G73$>`RjPwlOjZ6 zr`VjfFTno*R%h$rvjk+3qXEhr+esEil;}-W28M;BuL$&kX@R0NO2{Hf88$sW$Hoo> znvr&bWQbCAN#}i2fom--N@|ss{l@0+qk<$Q0SA4u9C9hc{FvU`R^FCl0#4R7{@fuW z>>P>5k)H&PNN;;8hKbG1NR+u>4=ALElZ=T-w=;4~ZtPyiDQS|5Pk@L|fKgvz!MGbB zV2eVzDHEcYlxztiR-}VvEDRh(gotQt#HAVpnz2%u*d&?yLST(t6p=z(MGAHJBi~qQ zu&Uf{FO~-CpvGcH;V+UUz5X9>6zW)e369XQ(A!tA$gu`jcZTSfk(4U7?$%#&Nf)5} znOZ{j@_lA1iS`5|I;;mSMoFw>+G#Pd#S>u*@+G^XJ+YSy2vw3nz)Wryw($nR-H!qj z1G8;pWwnVQXnJlv7lk9pjhP{gN)me!D+_vikvXAU$O{58TaA#8kc867no;U?81r%) zOln1BD2Dz?y1`(4Mu>Ywp3M(UqsmSv&0`t?xMjGILvisJxj_?0GRT3X*j*x|(FQDV zB`-S=VsL^GHe!^asux~?VoM{_mt+@T(Xt>ihXPEY+b|x&ZY@BGB+P?m!^+8%jkg@+ zZbUX#WkT!*`wUz`Z5iY;gKfA4JgGZC_V%N_7L1hqZh8)fR}z{T-Qggde{bdz5zH+4 zKDHa3MLUdoV4Mnv)&{W9#|DdnR!)okI2s-c_YsWtP-0Q9k|~iPqY{MEp+m{JF$)7! zHZ3H3ilsn{W^6cm5KgKG6!{vMs8ZdHIV?D6iWrJ6IJ7&Q0%k`A#;X$;R80wtR2O|B z7gq$5<+2`{iKKzXm#jqr044y@i%$mctccg)s$EEBiVzmtj z!?lZ07ZR--Gm6qLqn9gniF7#c#8Jgeqlt0!ty17H1qow zs%ruL8LnhnUQ5907&ilA(X%%$AZcJ)9btk5JPgBRxf;p{L|(VNzX*rWIc9`4u!`;E zPBzh7qXGh$JDZD#>{3t?4k*}zZqkwmPH;qVin>A;BV0+F$~3w|U=Ffh$eD45%wD5t zQ~QW+6nl{D4hiYL5qVp4ltab;oTa}AhxCd0*&YhtT|lx6H;FmNO< zxl^(qS3}s)pL1AOLuoi=l*V76S|x3}qTHEE;6immJ2E0#Qv_VNqPrlZ;H4&_L`5rn zsD|5vSoIq`p{AtcWHdKy?K&_Oe}WisD#@jS8+303I^jtO$?_cSGZhM zaV{WijfJHG@xnT9`bcpD`4N+_FBc4x9pF)-zCe9Vqk4--(R9F$oy@#|PAcbP@CFl~Mh8Zd)B5|$C=!r8D1yV^u zGj?WAQ||cI9*Jwfjso0$y@ZY4d`=~`femiZ;gVpTFdeb#d|>jhmKsQtzR$R_H8v3< zSyP8krMD2 z$?L?PWti5Jg;2g4kh zfVmC=fwn{5B+k&i=w@`p{R&G>Hk|_zu<5x_^}zcfq4Nz&*bQ3V5Wp@X{T0BUr6jQm zEs6IS4qpOWkfOyd;u4mVe%cJuU0uYJ3R83;J3#{Vix~@BiE*ccP?gm(nR0Q$PJp)1 z<_KgEK_$n3A-ig%O_YRSCACj?5z|GK8M+lDkiO{bK$|=vE`3HU`%XL-Zvtal*d&E= zpWH=qx#Ww|zJ&bZ$u7PGGU999lyyGE-HANF*)7Dr405dughoQ#Vi?j=+cW7`u*QLw zybam4wosytNN?*ItVEQ}aiKdEbl)akdUJvVMxyE^bdQtFneZuHMMS8ZB<{#VMCG_A z;^sj()LGzR8ZE-=amyRv#gBUu>ovsO%qvC9rE>9+t6-T|_fGCs3AG{fq7h-iV04)_i5; zzj8P!L`bEG=$~vMj$(3SR7!=xGwRNowvcuk6z?JM6W~wSY_=irSj0sEXR+9bvjU`8 zZ@~7ew(84Mi6nO0VKyRE_G3>6^N8hp#?YB)dAw(y#7@BdsMmHV7kUeV2Q3{QSh?2EBBu!K&a$?aEvsQhOf-Q+@p*T`Lp+^TbY7xP+Am3wc7J+)D zCTv!brsR-v)S%D$?nr&seIe;FD%@QR;W9@?;mpC+qPm-sLzYdBC(zuF?7)LToP+@~ zG$FQ45+pWx6J&{do~4#e5;tOEE=KIz48+lqAd_}H5e2!HOK^Ap4g=6u2#=pxD+WIk^B#sDR1OXu}u~v=zsa~tr({|41%z^Wbm+{hSi(oRl-OXxG6qBxp2CH4{WvU4$O8Skkq?1R4XlZ1b%pYtyiXYnL6>1&U z<$4WAcB3D~84<}m%42)bTnE&`MaEd5OtUd>21%Za9)(WgY>VHS5{WCM3Agsr!b`<1 zg!LnrF&t>eb+;BA-#HM>k|OO3O-O{LRRb5OU%*T5dGIS~1H~$=a(%;-M~n4rYc$}l zXl7xnNr~Gv}RXLnt~N(NJEY1maQ+ z;tvDdYmu@^3G-nKRk|yQu{OWhDibKOE>RTr2qJgxixX^D7)PK?$v0&LCZCaF9hBrV zc_h?akRw4IbuAqewW8jrSWHEU)bNQ^*w&rhr#45C$ zMTem*raPp2iAY~LUa3IUNd>1v9l~%)pLl1(9AAy0jn{^h!%)O2t;SC++a?JHM1;>V z?`2~A9QqD?8J1n6UtqSvloE`4U9cz=P_s@$vwV*wmhKE>j9U9E5g6=UW}ACoJR4r<|jjrkSW&GhS8XxSnJ|dSr8X>`3v=^bgcI{^C|Kb)`RX~yqSA}$s=(VC^VWO zcF302?}9F{VLv+<>p7#4`jL!0i_`Wq?q}BYe_KDA1jFgx=GiQV*kEF!YUQu;6H(GXiI$KUO-Rq*Ti_+}YiX zUL9+;1X4ML`60eWQ_|#BD6%GHl8P^=Z<9p%#BCeslW;m?qB<=XTMgCS2sgh*+JfK2|LN$@gcZ|W&SJxGxvQi)W& z$&r1772Nt>JRW+fX+xNi(sD0ga5-g!h-%rtjXho792R<6_$>l_LUfn!TYF>$;j} zD4@KSg(Rrxk3}hQu$62Sh&MKe@q=J(a6FpRFoK%kjT=hFhLTJnmt0Cv`drGYoMet9 z2x{JPa>YqYuBF}TG8@9M#X&KiIEiXpZYXxv%CKH&XBi>P8U$L>Gqg!KmQ`~k+}T^9>D52DK;iH)%3BSSM&w<_6tPcX z1k)0i$dhhkohe{)#lu2Oq7IwdkHnEo4YEhLooB$gK)+H=w*7{khuCJ@A%@c78ckV0 z(YL`Uk9~+9*jdwo%2U}-l_V%)L`x7!?-IL08uT%U)pZY}i&chqU`pk2Ct@lRU#5a3 zVKHOuEBPQ!`_N>>)jkImqpLO4w^JyOW|rw>$Sct5)g+9bn3JX`LT!T6YcNn`c%Dcz zh-OJg8HEdTWg&H&U!#c(eV%}vPSqgvC#F3p=)||;V=wNF5x@vdkv19kiz3y~r_k(a z)(bFx(ct6J6hzui(>M~;DwE>(My-!K_zJcAL|ua5HKZsr%oq%zpNsd8<`yRK`XB8W z_rK7-woQ@O1a-JMO-N6AY+>AFO3KP$SJEqRU{b(ed3s3);xSa>J#30n#kv|4NNAxn zBbI2^Rp@Tf?qi`~#+TobE<0xBY?1a!Ly*E2_>OP2JO{W&o(!LAtJo6g(@;98p^KPE z$pSa|1jy=eDZf>`Ct11b4`&aqiv)tvM9RvgDJ!7)4hn}5_k>b5+U*n5fie>YB|bvr zV^$J{Art3XV`;+TDgsDv8l+xDCek5h zLX^JjhiUqTXSo8e%1P!$sE93&dE6?%dM-$Wa-@;bUr<9Oj^ZY_vj_M`^#&tRKju3A z;q)eD0Ion$zlqie=znQlMF6bXFofdb<6=$9n_lA$s%?zJ(IQiMv@pGem9`!vnN>r- zp#i9CHh!X7OgosA<+JET+fn@o7ijhgP_x{5GCB7KClcCduaU$DbayxWm2zA<7K@}KRW?U8>uJkETTF9n^t-a}CW#;0eK_z+4tkh73}<3$(t zjee7zAdLy)_Lz-nI~6@}=hc>ALl7kQ4Vt(Ov*nDoeYq?QQEw)vzJ?|PoEBXM*55uP zKh%9q4S(4Tmhgm&KlMo?TN}$zl5SO@i54=Hgi4R(Vz(4|BvRVm%)OOVn-di?fy^e} z@N!o2P(4_L9g?4?1=kL@z+-Z7^%iIhpQH=aq3b5-Q(5j!>+LL?LNec=dP^}s^v*R4 zX)%d5`Z*MpMZb{I9_h8Kg2G85J4fsk(qe6618wGjkQWM$BVkNg)icoIDPE!}Rdk&t zZ-No1sG(w!IBqV>wUUR&b8>Ty%Nh}6By379_ZANk(bjX!^!HY5t*OX=K>moA_I|=! z;il953gy0tb?Kh#80uq7gMXB?0%)!^D|2J!E2^3$*CVD{J$+DhE{xM2VFW@<;ywTOsK`N5Frzv6STsCj9ng z4W@L3RW40V1X8iWftbn{Dt(2w@bF=?7C^bAo0b^-x1=!^6uQwtN-Iftxo43!FXJr9 zi=ez3Lr{nJ(C9^Tflc8EK@_LHfjHy|5zj9M1fE>D5>SGgmlAzeFZR!_&!)&ZrMQE( z{2?mbDZ^-p;=YjDCcchzO_Dz#t5nu<)5;-^q-k($dBKM@fwR&^n;+zn#k*jXOq6>! zkz$#T1OA6mk5w;%mZuFiiV8_%5Ii-iQFJ#WyqoG%B#1~QgpizcqhodMOQ`J4E2V`c4#!~-G=yY1bV1#f3WP>T z(J~{LBQRx(a6nxa=Zudpui(j*n?xo=m}#syFGctsYLxIaA{;lkU8^k)Z&dzCj_2A9 zVPuYo{)Yi1t=H@n=YaVKkmE0s=n@qgtf9jYLMnqxyFpfEh<^M6d3q=zL8ri^kuHb% zN5oo3he<{F(%WLzcL4;ld!HD_U$`#NeG?mH{K4@OlDEU~3rHH#y^Z)o5s|-^c?hS; z37eZg3=x*)-TDaFoTY9>u@o%BlhB#zC+lWeB;S@cbed&@5Y8vokLT4ayJ=HV++j2+ z%X5)vRyLmQN6s_mN@j|oiimH?geAs%ZOc-L8%s7MIb6P?G!%~Ie@w?3Hl>wA-Ydih z@3OSYLa9g@o40_?IBAXyTyZGR>WQ;HntEw5Id=Bke~GnO#c+sBlFY<0XDc3JZzfsZ zYMn|IJIEHOHb>=$2dZ`d04MtM{Sez}ZeK3hh={56WwdS5By4^e(y#XXE781;DoH`u4*vQfIR{`TdNEJ2aaDk1MXGxp$=eCfiD;#&OrmDQ%_f;Nw7}#w z?FLFvTyd<48z{)@P1Pt*c6m@r%mk69hG2hSEOIQP=_kb3=XJwD{goMKBT0ayCPgfM z2X}+WPqh^CkD=-(*rXTEG-=FD(>Gt7~e>_Y1AfK1mXxrE& z@<+-n+?|QqNMuiCEspX_ah6PPnG&}y=ER=*Ca@CbND&g~&Fc7YK5%6;xX9>l!kry5 zWXW}r^IW!Hl{a^!NYK#RvLEhnNbNa9hFsL6bEt{k16^ViCG$E;*rHP&g#^0-(Qlsu zqG?9-XtZTc3tT%u(1qiln6X(%LP{W!@+Nb?5I3Pe?g@meFlv$;m5lAC-v&WZt>BW@ z!YvMr;}E}IF&9$g?`HxJ$Rc<(f@54`m!X^nY5EE}l>06Q2M#xpbNdJUK!B0&?H^SS zK^jr3h~*u3?c#|Vksw4#(Ko(`5gY}Rwzy72fe1kKw`lqfPmI^<_0~qC3Ms8UoUqWV7!T3!T|8ZfHs{rpe0O|Ql!lhgSJ z(g2lCgVDRxG>%y~CW2q)5B$-8mVK00qyGS9A4MDDf7fUpT=ZG*Xzj%X(Ozs%B4`i# zdKkvekIE~MTX!krI3Q3BJki=Pp+uOPk_pffVY>-S|ZR! z%`v8M!jjV0(Ula0fjJnWN;j5TD+W&JWZxqZEl%deAoDi{w!Lc-WGb`IB^JZtJM^1E zvmqS-!5McU(n%~B%n%-z;1(2Y9Vd|AY;K*OLm4thl}Nk63HCisyG6LwlrF0zV!9?@ zQe1-865~m*d+T_ zKeX5My7(Rn4zIw*onT$b0Ua9~_F4-eTP4y-m1Mk60BQ(NBJ8dTfp z#a@ZNwZSiM(nu71sFP?Gc(QJ*9|@588Z0b>_Yi{Hy9g4EVhrfLhePZj&#F~6Gsk$C z*^)d9ZH3tT8CgR^P6nbxK@i;u7HIdYW@UW>Ca3Fe%xWAm)5;$a5+q2FB0eG{2oMsF z4mx|DG`(ZMmm6{841zQlk$(DVX)|RFk+$U6^qJG2MnAkMjiRzB=wCx+70`ngAjpF{ zt{V-=Dc!Ki?l(*c^P$bhA`BCXXjtEDco5M^Tsk*DLlFwgdnbl#WK<$gpnD4Og0;|l z&|+MmXleCAu{1?L#%(*99mXshFF_S>U+k!|*qiv>_#4YRprZx?o=Ta@#Nz(|j7bO1 zx(I54ExcHqrTzweOjuaFC`rBowx#D>cvn`tNO<=f#!@wcVUvLq`pNLtucy`cB=_&& zZ#Mp(gEpd4V-{Ne z2vf=)gIY6Jv=5@$ zL_s9yMo$MRiE>0O`6XM4M~W_7(loyrWDB4`3F8s(d%0u}rsYJy}D>@;xp};8yGDSv;n1f7So{QH23$K&pyDcza3?mztagFv&F@W()c9}Vl6)x`$`Z=qq9EMXJ-pVuiw4S*3E}nX)B8|p7SqXzo0KbC6+i;xNes*s?iZFVnRb` zT2F%muhB|L%H-dC+So{ej2j5T9)UiWlLh2iB>1PtIvzVBDBv;pkoW!|#mg-sz|-A{ z2IkvL(E-G5$}-yASdy6Uk#)+Bv(TAFLbo_2of%5lB6IK&lY%|4BuHvU{@2$|5rp>! z5!;EcsG;th_))Bxxb@Ehyo+t*jA~aOWpP~#%9=Lj0V^70k43^u$iN&7y(Rmr* z+<|(EK7{Z?%h4KenAsHMl^BP8J`2(To~wO;JmUNBP~-@`GpTT9X5H&A4n zIEX@sR9bz-mio3YFG=x6ldKem$mNu!)v+?z)MhgiEV`sMx|Vw6s@v^YyOLRAGtgNw$Kxw^ zWSbdoD@=-PeMAW7fi}N^%)eAD`1LsODH-mS;r0^ za$~{TKC&p2vF;K@F!&(|xFYjL z9yhsT^M{LavuX^ZeTCy`ZU?o0@kn-=?a2N6%ZOMSO^Cl^!GMR92$Oct?`(#-vqUV>RH@-8GTu(r}5!59gOYKzroXmCyM`wpY)!1K=benO-s zQHWazTutOD4!SYF>)z%~4qokgU56K8lu@H&7Kp!a_7^6e$qHlgDLqLe>?84+gz%8e z=S_WwnMkjJl+N-v;%CeYG}X{9&)(Z)mKGYOLw^%~r`5%?)Fh&;k2JY3IF%?3i`xN!Vk^pxiGMQ5~Vv!qo z56vcxEX7E+is>iUq1uas?Rgg70Uw5Z$&DpmSMemKx9{}mP*d5$U#4h4NSy|8f>!u9zv(=fLGXO1 z-1DN`HcZ;)^b#Cl=#(@+jXP8|)yS&59r7F7B)krKFeH}2G=td8MH7QD>V8Fz`$^K- z&(wO-g)t9eT(Pk?32bfmCr78*qjgrw!~oT^H^Gw0kqa+$S1g7x8HUY+E=)87zd@^I z=p5NZt~?34ePIsbWQm07sk5vPMr29dlf79fF)Br65|ESXL$}EEtT)tqV@{9FLqaOY znBsCKnnNo~9E@ka7f%jI8Y9#W_C&sl{bZ~-3CO2>(o$~%Q)KMIxhpJ|PBPJEFeBn< zy9tO4th;b9(=7Wo@-?O+UjY#=!H}y#6l{kyTj%P^wqd87x7o34_xiE^p}z#_I!2O7 zI>(m(09iVG4=Is$^bxy()_`pb!c*d#M`L}Tlg#xK!vE(ghiAZN2{ivC{c$?y zjUzdiHiy80>Y9)lyosJNve81 zH6&5vSvPj@aZ_T^?I@RHWokdXa4{hsPKGGDfM|}aG5N63|XU@c^Wsk zo@NUYHJuVkk?T6T8M3(&l#HK!&m=54ZWH<~h#@Bfp(JCN@Np;g$f5m-mc;f1b~Y$* zS@KEbn~Ycz7Xx(|s2QgtL*(AX$WWB$gOk(kxt!N?_PwfW~($#GM);BC|c64z9sUJE+0pdLya19Br7Pb7 zM2|;?SqnvGP=cYqCg-C^tc6puM$KU*{0{#BZzKIo{{ZP{!k$fH`x3{G-yL9Cb`gyd zI4u}4!KQa(!xmJXcv@0#3Qnm00^=7!zvCMCy$10Yp#b4z6tB?#02oiffkt$b5=(Oo zIKZC*VqOTHIVltbxo99tdOSvy_8%q(hWS=L;t)7666K5q zFvqN2NYX`nizHA`B`;zX>Nb-kXeUIDzSW|ZjU5U22CjaOgLsk?z&}^7{`7vbELrz9 zM=@CnhXPK9G1AbXP?U9fssB9u5H~$ktWogX9SsdWtyYPa8HAUqdU* z&0v&RQ>Ub#Ky(R9CUy*a3Zp|;=^)((*))v8FxZDarAQu)4O&=Ya2eol>h=Esky?2# z#CmFA;vj$*s7#KD+386&l=QqB9jp!}Vi3hl1GGuCVn~&_8Y4LuQ3n|t)WfzyQagHV zMIpl&4ix*!RDS{&cdSBBdM7psM&JL)F z5wv1Ehor$&)b$kf3X@58+4q{eRxY;Q&&JQSsNSkU-!oz4u*1@775;t@~ zg4#yt$**f-jimyT#Pi*=CeW8q8LZi9Lo#^CjtQWdnj#3?k<3YwAhhU4T{Kd{y}c6D zO%VbalRlC8LMhO}*mIP06_qZz9Qw$UE;3n{V`M0i1NW7XMY#wNoQtA`L+}}#&!Siq zWY9QJBFK{>W14su?e%~2RFqCLl@g7m$lOx13S17^fYQ8`;KZnsHw~mniqn3Ln;nY} zDqRSPiFBH>aySyCp2F^EhGUHa)C8Nw*_TI-ltTvG{vc>tBHBy7(yw&H$*CGbNVeY0 z7xjrMf}{yuCp6gLSVIl^n@$9g-NJV_MB`YT4A4YdVbl@9jb}z#%=$o%28?L6%v^(d z4$jfpVt6Owe=q(=Ka(+GKS>m)ykt=e21Z*Lw49Y}O$CG8=t~WD%>Mv+{U%4_*Jwl% zxR_(KYgDp+Nfwn$cxud`(`pm~Ebv5RTE?nyo1+ZEk8ro>8rtNVw!^aUGRH)V^B6)g za9dCps5lVF*-(mSPuitOlBPObM?MxN5@E3xDS&2Kq1tc+HB3!(Gv>16LmneQ?iR$V$$|8A4}tJ~=Rc@G{{Y}}iLvkc%O|=S zrblYdSk{{xHAiSBdyTn!oX<(zUO^F>64=69j}f9l=xq(PK`ySkYRF@PbdqFYv9wNx zC!#{qM42uR%swB$w5m@;LvlBCJ*5v*Y#@d>!Hiu>M&sI8>F7L34{-=wPw}M#R5|po zVtGzTa<>pidE`}FsMip^aW|3EmuiOUzURPrKKqdW06{e3uYvq$q#yTV{1!!Pwo)^K zvwIg#K-iRY$<|D9V+V;PgZzRG0U-2{;$a)>8*K>>hgvbJATJYRK_l?BL_}bTp95si z>bc?lM4w{JmkcvF6T#c;Xd+wfO4`b4Gl~6@3`lW@xkKj;ZpIhNgn&@T&K{82vm!L7 z=9?xWdrV>E2I);s*6?kLM2wk~+7W@EW2lpOQYO|Q-gPHdb-u@Q*p5$O!*HeSDiRO~ zT#>GEA?s1e@I(+sv8ImiA)|6wq27!Lxj?+%*5~2$Rh$BXZ_%EX5KRyLbZ&zWk+?|Y2gLm#9(VXJYS{aB~c3WBo{W735=)kU} zQ_zI@mq{ku?Ee6Pe@w<`v6G&Jh@}si7HJIeKN=Zyrc`L3BWE#(ljA4|J)E1Q%M_(Kf-XEMu#3#o-I9kAZ*f%xDn5Dc!bBd16=Fu)(@S%T8x&AQ2|0Ht6(}ox z(7KwIReDh!PpFuV8oMGcK+1-fu(PRz#W1=lG9PH>F*sx`YG1q|+k41!^G6nMQDbIc zB&WJc{{WO$W!|s(HXqgel9A-wXZmb~hKwQzf;56~_B=LDT4StK(IOgr^cAvbYSBI> z=atGaT{2~b9B0X$z^x(J-7S{vce9jbI_jmJXt^i7$VsCc z`jcDvyE~Gj(0N+3;AYwq!dti-BU8FskKB4`_g>f^SA1ZNyt!t;9ej+K{!9EATr%N) z_C-p4nSS{qW(&4Dr=Q|7dxXzIlq*i%nVn7Qe`7={CKqkPAx{{=!aiS3@CmCb>QY5e z(Xf(^SN9rijaj#wW;u&zf)9xLS=dL09{Hr$ogW?K{eKGI#Or(#R_Hjg7?-VZ`$O{>tFBSuJfG5KwssyJigiLw^+?PFrVMgi<1f>mTArIIEX z@-+n+(HTh*W*dBn@o1u~S31a9XEtaSew|{nm30nWLwrE*W?VfDyMM^UyeL#BxX0%D zV1dIRnuuj9WH2nfgY+36xfIl1BW59TgXO5u&p&{1vu}m2B4?NPf2W}UP_-Mt74`t-&Bo*z~ExqJta{IbP7JMe{?|ao_MR@8@tL9*um>I zBF*0$h}8+M1E&M5(RD%P^4T+dkLQ{vp!%N0M4PR|d{>kAd=D?X)!e4#P}G~Vd%GO9 z661*^rJoE+r|%$MjZ72R*hxH2P4HW^6PRWj^2ZgFqRV^?G23QV5I*E@NOm%6UaJJF z;ndu;jr$1hrM|4dNQ^fE6-q@+jXL2u1dYzHhulJM{^A?aS4ha7L^suKm&C3a1!?E2 z8AIh3;|CSc#Wx~ah2e=f0+?AN4f;V8S$|pAi?4%ubxttQ!D@A}T^dq`!2W>kc>;8g zC+hh4KD(dLti`Vgo|>6i<7hD9U=Up(S)iB zeb_@-)hF0CEvQI?WJT|iQR*aF2%nW2oX-Q98K2u=MG<|t+8-uRFfJS_R(m3j6WnE1c|f} zUOSP5??1qlcovK?ly}M$=RxjpCktIlV=Mkd<1moEj8YaCmYAt|y~&0g1@{~aPbB)z z6#O!$jNQusMwQBDEsq0R)lMck9qv14^_Afw_$0@BUerpml4~8z;4R0!W0SK9vKl4v zMb5^*68IbPYMuW8+3l(u?e6~oLf*-VvO7{o{L%jaZ9m?L(?<7VHYwz1`#<3S0D4PE znd&CTJ0On?J`9Db9F&m`8vK^R)QXxV8)AY9D~WG@7a~;Z?cb>8wiof-l+Qp@xFaZb zhY8OM3Xq0VZ&G6%FZYRw#j~bBd{2BB9WdXi@2|okMJBb*ukiNlAApa$^|!6Sj^>+gnYh^% zP0X>!$k-}tp5xZhO4!`nCpIerZiw;M)Q@TXg(%0k&BKDj`WFHcALPRfNZ?59Mj8^k zc3?j&#bi-t7%`Yg?YB486O*t?KyA?Xc^I15l-E)@<*ZcO?{p=Z+J)lc-uGw&l9 z)eKmg%;y`ik3z$Gq>$0w+(yBl22VznBf+x{kebEV{3oE0u*{%+%u0^nS!)EVoiPxx zbPes#Q2J1}C4g{-PKh_xq%YRnUrKQ*6nvk5*u;8RQesk{EI2S9V+sXm+yQ7Yb1!I z&q}RDKaa@aHIY^gLu?&Zi8Z5ym=tOQUx_XnO)QsiOz-tH(G{Gjl@^60fZRAbV z4cs8OMkD&bzJ;jPnhVj*K76;}5#6Pp*7 z)R{t_M-)ihivwD4ueid6?;_n@&p|+;Xj8C(jM%?Ngb&3!$h;{_NoCiPP$@e<{0CTVQ3+rEzZu`H;`WL2O>Cg1%`|~C4 z#*gLbBR^B%``7G!%l0wko^t(T{@8!4{s}Se&-CL*^T(a;2z-1p9}n1v!}cNY{fK-& zVjmCNN!Ij|bbz)i`9C^HzkQq0<0IJoE?$8C=j80k6FfJuCA-+|A4vKR!?5f-J`UXw z(t!d5ND$D09}rZxnD!ooNRS{vhJ;xX1PBl%5{V>%D3nSllu0O*NZKfs>u@kvv0CEZ)8KW*&7qt50C7KaUWzq@(sCPV~6%3{sI30R79YVB6E8K{*eCwIOzS3 zi0Mv%;5~_lNN{Akbn4NYqI5)PHLZFz(HqJ6I>V{a4^lNHxP0#$a&rPX=~t=E@D7OR zG>(Aj4v1W1qB;TbO|mf!g(_>HSYVD*6fPQj{XbL(majh z=}&Rhsjx$}NX$|MnUzyU8g}K#p3$fxBZHC@>k7$PJ_fBxl-nK9mm->@hy1Jk91Zv$ ztHnA=B4p^v(XvS-l1U_zN$_Xg`5QdHk`hhbL=+X=geyFQh~UH*zTt01Pg4O=^7x5Y zY1M=h^dbc_1uN_`?v8&BJwsza89m_d!6ipSC-23-0AwxDRkS&@NhT*Azfvjq$<@Td zduAg02_r9X8fSM*OWq=~IfP4L{?NHs(G-mP*8U;R%-}Kwa_rE;LKP^=QST96xK=@obfFSGdM{ii^}-G zwMeHx{?Ut<^|CQoJu&@4thl)PF2+NcQ|DpC#^IL`3}175s*$O-Z-}u_i65ym;#eef zcc}IM02e`OT^P%ee*vGm$6X{4REEshGKs9w`khFd>i+)cYQWbVis@*Vtr*q9yI zGp|1-8~jksrJvm|ndNs~1S?6ypGSv9dixWjKg<4C?soF$S@UOE@;<|p1?BtH>!;!w zZa;9DtZNiba6Z8p*rIQNPTa!3pqZXT#pNH^S;W7H_z>IIIG$!&{^HF$v5I3xsV+ibQ0=ej~QD(~ti*(iqA$)?q@vE(_@41Cp zr>&A*u&B@EI^Q{oy?|F#31+r^Mzc_9?oSJDK@*AD9|BuR=k*abrv4zaJCCHo#m9D?#P1whJ4L|R;6$I~swkK2Cf@Z|xXJn@2-55G zCleoCO7@tB$B?|6Ax_~h45|MBD!ab2ZwICy4vm1-riPXQX@h7PxE~Xx@DFc)zDV%0I{-^u&L}C;tEu{xKi%68``I{{V?2{PEQGq0POh=<@zR zTY<4Djo8acDVNY)clS3E8T}yy?E~sJi=pi_AmqNHeZUa8dk})VNNS@g$ykr_O~REC z1$4z1z(GqKr_SOLTWoK$amhI#>}6}19lzjal(MSmDXt*x2+u<*$=Kthhi^rlLTp(4 z1;s;gB;zAzlyleMoRrBf!dBREkvtK2(BJZ*7uB*FkRcJI5FjA}1PBlyK#2l6QQ+uk zbdHhII!8?CofD#TPKnYw2TbS)QU2&&TF96U5-B0#$TWtn?8edPaDDiK>As!URS>D|AGeo1=poS0l+^7!kV(lB>j|MyFdCM1iv4nK`F#BLe*)i@CCb zzTO5Z!fh&7mV?D7D0`j^U@YAq1%l;~b7@f(3{Lm=dWTUpWEG7&`K47>0qlH^%!aBy@P^MyNv6Lh;jQe6`HdzK$>qWWQVIx- zP-fTo0&P0&a4U1eaGz2te3E$~-!Q3qBzZgFcz0!M z5cm^3D;{Sk6t#?U#|GzZh+l9QKt-m^gsoU9%PV(%ZwF2J_Ze*Iit=C7$p(PEtru$3$Rzzbc+~F+b=JYp17JQlnVSrcKAZPkC3g?w*$!| z=KC0v*$|4H5oF1xvi|@egMMU<{-gkvIGjva?0@BFXk^FI&D-vEI;1@l8g~-Y2pLU; z#NT|{^d01mlMQ8{wFMr@FiRmb1{{|J%SP+Hjc+iAn0n$Ouub&PpYBBO=ITQxV?oQp zQksS3zq}V75S>XIkw)e)GnycZz}}JZWDiM4xrl2D95z3D3+6J+Siv36b*)15FVqP0*4* zkYAX-(N5`7o&>^Qppy^MAsQt;w0HFJ{{TpTj!0cN&>RW2iD=W1k#-^xv5Yet8{x4= z=gWa>EJ(SfG$S3%s*z93nefL1x)h@@L@v>UEFt=(6&=TGL1u4Ys*!z4<5hNh>~ik{a2Y8Df01^68dW%MqsoMnA7$ z`v_5TGIxvZY9E?>LGT%fenaD*2>Ie%{e$5x5(~Im{{X6QZ5uR+??m>7At<_SQz>-5 zhsiJ*GdHD)^UuqqptJQcU$3uWpADZjFSI|yOup&y4}{Enj2+L8hLoVlmB?t{v?s=X zc!ucX{{X9ek@Ivfh|+6Qx(%Y<7-BSa$;N%m*}P?gK6uUz3olaz`uY>0MamA(kv<^* z00Z)mnkTe;CSn-7ie<38?#x2yEjR5LT?emu_{VTZ{YwyXN6XUd*cb)V?YI;wU-86_+43jG9y7wi5YnY~YuFW3{< zKPb5|y7>_4Ugyiu9Xg>bJx2NTczq9qVeiqeeQW-!d#Kuc!M*u|vq9yVvdIq((oojnVeIL^fL?QzTy-7#M6IdU$6KF@1Hh&6X73~kgK^Wx(u@{x6zjF zqTFt7PN86NtDhhCK1BI}DR%z=)qXLw`KQU4I}AedO2qwVg205JQLGR0C~J{z$aHS9 zfiF7X!3Jvc*mh4H;(K~|dIkFb0DwQveA)0%gno83Geqh|EmC?yyQ0~K_Z`2S-0P>@ z>7}Y9pKtwE8Q^R_Y4XM%%?`dpktw%%mkA_s<81`71%ndZer9Thrj~KKDc9L=`obG z*l8vWMfga^K>kDH=w;l$@G00oEcsm-pXFnp;U5+2RHR=6I-0A;-$Q%QNUNbm>Hh$# zvi1#w<{vtK5roMfN1^gVN)ZP2t!{!-Hxr^z8-(-^0J3*6MG{V zvh=MX^E3s_;h6sbtcS4q;`@UBm7?tG(VQaQUjh_9ny^I(;C{&9RNitQsvr_!iGpt* zE+TsfPvkG@X@3|000ZJ5l6|(T}2r8q4*{p zpZd3w(x<#X7+~f!zf@y1Z$sFtYpT5r#!-h*#Ro6&em?>jy#cA;??2%9<5k>Y(R|ha z0D>7Fdm;91&iVBYw#5O+|ryFc|$b7(8x(-eNoPH@|oMU50E@cWOXdm)Jq z+Lp*uGLl3euaKETkC&q=-Ik71jG{s}_Rwnj1c;%BdJWfQLp+1-%txY&Zk+hxgdt>KE95)h79k z7tArbkAR1!=zCD=OHJf+rHSy3pL6!KKOukMEcO_Lv7lIv9}iKl?gj_X92`KIR*zzO zqWsal_I&hw-}k|XvlTW#e(@FEc@IE^tc1D8Um{Yd& z3@yUjTxef3e{5=fybmclcaQR)F$*v1zv`bpqcGH7I&wX^BsMZ6ln#`b6-t&bG(KL$pCqg5D_w!ga4ynBc`n{QCb&FMu83m;*EJCqFK*-8TZYU^Hihaq9~n!X#!GfR#4`;7@zzeY zpme*n)?DblfSrz+%L+YvI-E@2!Y zk1X&)K`63CCgpksd8wXbk!pif#q<+QP5TQ2iJ`ko+2Bj6U%H|kQs^k#c@wrxVM#Bj z@7O8?vK^xf6C@`tC9xS7)TbF5Xrlv>6^T=|IO;mfV&rRwzx^Hz&+_oAF-_66)0r*e z#llWAhY@89Lme^J&xLNsaH;w$^(>n_5GHV2AZ-%vD5532n7V_>LZ&S6ZB&qg2PI_s zM5hCUy2;N$A|h{Xi6*JPX&@mw*t~~~O6Xoxks;^Vxo#+pHuG6`l4&_a{{R6J+bOPZ z?xZeI44+>VJe@Y zwhwbpLR3uxDyB5@)xE?ii?!Ev`kHnS?4>5*3eh>>kDf;@DUl7fKXA{>6omv!jqH+< z5|yFg#dSH=NM0Ue4-0}h^>fFu8Z4!D#Ip(%!kFrOj^h@~@JOR8!JIQLvAC5|rXo`k zmLZKv-KWj_2znmUhM<~zbMC;4q|>Dm4!Ke_Riz!AasL2E@!1QkR1n$jM?)-4&iHCk z8YB!zS>lIz(nzp9q@TB09S8%nT2ci{Kh&uZ@V_J_R4M9!=VnCQfJ#|zN%bhe>O^WB z(k2hwQ1dXyL{s{ABb+>WdnShSjiACXttP7JQcO`DPE5}ALsX*jT z?wnfcT%@6Vi~y2nfv_ziY4SFn)>lHP#)#OE6Y%vQGQEN(X=P+`;-O@quxF;B z{Fx3Z#B3e7sIU4zhREC0B-tb;7Dz`a8$_DqN#~-P4sO{)M}r+ruX#noDgOTesdxpT z_DaP$){{yl@r-&Mz=N&HER!^sU_ko@psp}km9H8?Ua5Qsz7`IGsiln5VXd4BmqrMeCKYyE89Nab$(3-{_Lg;tPf7m(qxh_h zx`naEO|b#`WZC@-=nz0E?;_xe6h-|{2gUwKRiG6*9EYe%eTg+Nlrsi#+KY{}#HQZP z8;5r%cy+sYJ#?l~CFEA|MjS6fW5urbxW@HOm$Ytd#D^Xo;D^e}bm*<}1pbHX1UNfb zA-KISK}cP-d>4i$V{^7kVsi+x@cKh7F$Sah`Ds3G(@id00Zn(l%~^N z#?xWwA-Lneaj1kg&BGCH>JLPc8i6UD+JlAe%c&LS6>ZqUx(oh}oxwkh$d`5td!WEp z;k-%IS0fOZB!UX~=7+eUx0DEJd;WLocU&UUfRg$f>_mAT;7qC>J#sCgJ&H0Z=NX>E zW>-XEB#K~*RA#@h8K`L_>m;9nmO_l&nwhYl2_0HEP7$wBw08E7$Z~6290!C<6zX$E zX1$0v5_LirZKhG%hqFVwX9W+qX%>4RE8kfJf%F;7i?V;sws6q6R&Dhh~=$D{fq14Cnw%4>xi zzULzvag*}F2U2~W)DYI}>>^ek<{h8jAc3<@ z$F9A&5w`?m{*7V%L{HRj_!2J^&v2r+aTesg5gH}Z!H!9gh-KnQmh6tgLH@lT>SXkY z``dB6yh8aIpXKx)j>PauVwqOKzVm81X8<&8aog%_-`AiDbg|TU3xJL@ZZ5=WD!@4l zC6$8qkr@;T6*m?Aic*Vujb7p4?RpS}A%#rDm8aBjeFKtbaz@xuH$t(sDgOYY_n||% zMD8@##Lf`U<+3p+!P{9y6~P4MkiQiiZJE@?cYnzJOQZcr>N`)V4lK6}bez(Gs(YRW zio?1bBblZ<4A@;3Bu()dnUn(-N)LL#k2E?RPWqeV5`tTLNO@5mh;-X(GtqABjU~~= z!1^G5t0#X=GV0G;(1n(Bx#f_|ML1}<-rL}bnJf6Q&HGTl=>9u55dI9*k~zc0G?~br zj1W2oWhDOq3KW4hC$v9v@Wb^oAFDIHl?jY&zGG&sC`Xd#3W} zPHy>_VzuSxf#iCo8lgs;x74d|7uaVM$qq)z%aF@lxyJ+%H-ZMee+n*1B^{PFo7r89 za4|zfz^i8pdI+T(S;`VzMgIUt^Tj3BEHWp4o;lEx+Gj@u&xQ<)rY^skax*GDXy4}- zqWw!7i0)GXg~D?pa^dJCXUGmpoQ&cUZ`4JMX-dG1q;_y3CXz}Cxg$F`Zr8xG!J%qO z&Ei&Mnzh7QOl^sD)iTwceTvJ9C1jC(egq|b%aKEsFAkPanaL`v210_vM5j0;AK0jm zB{UX7!fXDFzRMn!)JzY7ODDhbByJ*Lek4&(4PP8vzf$nyRl1PE znF`f2u7n7i%A;awWZ~wAIjuO6+U&P)-4LwGPm%Pu6!_$P_$ZhRZa6(+?uBGX{pu+V z&zTgj_9q;E!iu0)5KBuf^W2(JEmghQYTIhyu?j{RD)Pa;q3_MA*(kGnk;uv@K(v)d zcf*hRKb{=j)JU<6BXacqg$gdLRb%>(%umbvEA=s?DH&0_&}S&%5sa|bcZ`zclyjm? zvg0HASPE6kJ(o#XrdDQl8cJ9Yb8I=egc7F$Hjd;_8%>hkd$uAP$@>InA5NSZQPi-I zyYQWt0};?zhc@2gDP~yeG>xiFI6|JjR@4b-&lUdwNATH2z161B)H9C*{RCvprJD!Z zav`ZXhWUS~Xpic~l@ePf=?yjR$2D$N1oUBmv4-G)+M2Qd!;kZin|(V>kPGB>YQ zgRnjc{ZB~>38F|h&M|OPom=QV9N3cD6EiNucAVp&ZNi*e*pG#0WDbyulafct(pxf# zz^p~>D-sEZSsMxM6sF8h=GP)A-uCj5r)S3|W0HqdsUv87oFg=5Ow=@X6kKvzNyTsf z07sj!#8M!F2s`9M5mjVFA2HDkp$KS=L_~~?l(-}s$mNd5t9Iy>-@DiKIMv8sE$`Jm z2oeiUWa%WI*hoyrtVY!v{l@M2A<~l6*>}7+jCzqWR;&)M2zC(V;f|Knr;UvN0L#fJ zsmE%^a1W?<(Nf$a1kjJztmbpgl2s0ldOHjjz7^ot@_0@cOSY+ zggN#~DYr`>z6eCW)^z7&m=zP`M~IxhU+P^XP9F@&KWef?f0HCiUEkzF5VF6>r1jk! zhoI42aDC3mgWbs~v2NAh2T2(J00FNia{dZoW@S(KB}BWdD4z2sOirtl{f=hI!TS>< zeZ}ZN#q?i+zC(R*I8Pf`arw@k(@sd%)Dl#)>|723pRlbk_mK4Dzw4Uhhn4M*>2v(K zg!bo6>_6xOXYmUY+7u(&BmV$hf9ik#!~iT10RRF50RsaB0s{d70RaF20RRypF+mVf zVR3fdAS62mt{A0Y4D>E((G;H59S=*vW4<(Rb#n3DU&Z{PFlF zi^sf4+>p&B;j)usxXd4_K*BS-6P5vbU_v!3XrR@2B{`*bUh)3t$CWleD0J?;j+#b+ z!tNRlulG8i;~!mWihiQr^i~}TDBAdQ{{WDRE+Pznf6N2H{{S=lFY^Vz>v^Bo zf0_OF`J7+w`GYinSIqvc{J@I;07uLZaRcDC3~*KL{{UDvzoF)K{tUYdeG=XuG3>r# z8L|h5%<#Ttnn&OK5(}9zd%Jv21eMh6wU0#0!7f7QB)R_pn?L>}{{ZGD$HkcpFVeCQh82(Gz?GvE(`wv41eL6=f`5{T{KX{bBs@BcDn2)J7{u1mdn;mZFEmXG&eEJja!KN{E!4JUeKeW zYu5Ec8kz318IHLV{{S|4(d5fpQY_W6*jpjtWq}o~ARltit(pG-w<7%>`IQp8^Zx)f zkv(tdxKauaL#-XF(Fs-cUv^?~Y8!?l90gQcqu~jsbtn^pb7&Zx-D-v>vJt|S#6E=h>s)O)HuzV8E4}y3Pf_KQL6T#4|tV_8`{VkRK zwtK!=U+T)sf2$FfO1H}wyX70Ue@^foe=PI9W|4fbWmoeMG4oA_%8v5+XPNnc;Q6Qj z0AK$AWxw^q39rk5IQft`fv=iR<_S3e06saA^~wrEzG1U^N|z*N?S0iD)Cn8b4cR@L zZYo`UH_*heV!mQ0WEZWPwu~0R7Q{o6raPfHlzYT^L`cz`K{F#o7b!Vdc4ZPEluC&N z?G$dJZoV#nS_8PmOCN>}5@oq`wt_I&K)aNQcI6ep-&^lHZU;Gz_G2R;-&!oeyu6G;jbS<7D2iskB zA|@jZ^Auo)=q_H_wik4PRxNwW+b)rDKy_5Zd1lFTc`TxWvJZj)*<W!=y=`YeD;W$MyJ&GkT2X&2{ewu+@X#os(HrGN7D-@BDwT@T};fNa%`$%mi z^>_%(T&D%i0UxzQUn+D7Qk~;sa;xsAdpD?6cTk$lQ*|2XLcEaj_X>yq0N6(q^jO50 z2o!txiUw_06Jcs|%r?lu8&o~o#>j?7zonK9bR#Zb(#RBW7={fX#u#&@jo(iEpfth? z?=59q&m_L+_al{!b|z15inxj;%Y!eX(sUT^1JrBlwRUS%CcW_dTMM`>>Mp6O>WwGez2&IchL^jkJ?mA z_jGp{r0G-t0II}(7MuIZ`N49|MT>TBr#7ZqPt&(EF(0i28%Taq02ioC2`fyShhY)c zSDdXqB}vIAZ{PMk7<3kY-jF@N)iSM(Ft}BQCNQ=s3CMAk+w_(J#7TlP;AaOoX5uiY zl&*z7A_eR)9+u_w9*z=P4#6MO+m|j}xpIqKwGJe?E$N4LIT{Y4k*DrjQOpoGR>VI6 zcsP`*=Mx?B_hl+!iaBK}8-~(7Ftah1HbSDVx8~tg(#slHw!ubo3PQdy_qu_BhcygG zOBLEJmxxBZU%YW76r{3F8;R5l0C{H``LVf9eimv)jNEWI7zgotO6QgINaT4M(4#gBKWL2B4o2Hh7>E&>h8Frj&xeHN5r0=>zC?A?;*gJt)ak7$u= zcQ#zFt4G=?&rG}X_H=ftU)#}_cO4bA_MQ;_%rC3Tl**MA@aD;*>EmBRWya0jF<6^7 zEep7j%1YanwDvirUq7?-{{Uayk8a=aMSbL1l%fYh_%cAt%msMrUqMLERsgHR7mJCP z)L_ScqEVUI9zNoBsxNuT>ra#E?qAyD2>gK;22I{B8-1eK*AUikA~29;14B?4(W=g3 zT^SY3xouj@$J;TlcyZn(0))6PdLahE-?XmxZxiEYDV6o%!$DsUzEGN-gY228~i6MYBaaCeUDaZ{e?G`m_QDwX`e0ieqT zt{!GTRy2CRrp@9h$lOBgnP~vlWmJ!zn~k`(h!j4Wm`=wVe6TQ=6x}|ReF<@@9sQmB z*uQOIfH|edAJ~OV-n2M+Y6p&nys2k8;QCmxhCO_uOGD7>a)K-uz+}fbll{MNm(geS z{m4q~U!n^0!Hj(l*Lb2BXC@~?EFGY$(_%4kA@wn3_aQ#wX6xwEe)j5A!U1b8%*ul0 zlq$9kG{{sz>4(x}CSZ`FxGyR-@rnPQ%ID{8Gpwd9JZ;TfZgw9#q{ zxtvk6@c_3jVU)1T6CX(yCuwq74oCATsqGCIAquvUmta&PHgNG!5>m3iCII7{Hc@3n zEH4CYYLr#l8b|3-YM#J$|2wWh}SC84f&WvXR8Th%@d*>{bs(3 zg(V@|`OobwGOE1}wJyYTeh6?Y_Wi}uqZr-)0Ce$Meu&liPF}AQBLzxDK>*7hgt}?4 z@XS37b@YmLkLu<#je8$QIflOyT4e458;42{_P8UT)f3%dL#GMp$mp2q z7+CZpihdSgdU1Ut7QLgT*#P#kKDw3E-;eIWJ&&rVyz#&bbOW}%b8es(Eo(j43+mJH zEl$to)D8P9gR`5BB`8xJc}|PeitI=|RZ`-KGcoHOki5MS&)JcQ01TH5-~ehG7lbx%bX0B} z+(K#_r@Uer346+^brHP=CB+&dxNi{Et1!7pjIsjAthoy-5eOa1Q{zjUiVR}D^<4hl z&+MCopJnN!EFw3fIugZl1*u#7F}yeESczT4Xe;_~R~`}9fc?zF`_ny`L#xDVAkwg~ z!aYS}`m^S+SEz?p8*;OcT0y?pU+*Nrq9`4PP0OD(pUpExYWoRm;0UCl29yOpH*v`qu_#&(3 zA%RrxAx86tXbu>xc(hzR$C`{g=pgRGVDFNFe6|>1BVc32B_1-#35!~#6eCX3ChZz z`6ol6p9Jy~l#dr_5syQfZ_s}W*%;^`@iBgOP3ahGr^WWbqd!% zw4F#QR^V%)a9X+`c7Fpg*)62OLx5qjY0Ly-UA|&1n79X6)sY;6v4s2Pgw(RAt7&8< zVny|U4qz421rxiFsLJG_QI5OPX6>6G^K`q*Sb^iU#mBpL5JsC^Bq4Y;U4nOlOGu*P zC7o3v057~_O&d!AL#DDyyaulbSHJBn-5zyMW zJ^uir;T=IyBE#AwW6_=SA<8$=Bhf{j+A)Uxl}d`dzq8O~y-Ff%>bkNOb-gjSD*l(0 zN%OZ%1xDC#f=fi;^i5dmYqX620N16mv{mj!5T(>B+iXkL;6eM~KLMWT28wBV#0_Fs ztZmY+TQi2JlqU2-!2tWrC6;m^k!2_?_+_qPpz$^;tRTEdSvMD9=Rql<-3BkmyR2Oz4ypP^qaj=rE_N7NvtuW`-&iOdQp|ejzS^XP9+i&R&eWhvHVT z97_*sxYoj6(z;ZUlJ#O>J5D!2f=Q8cWFyW(e$5N<%l2N3h(ku(=&!r>At#Tee08Z( z{+oKFdRj|&i!JXw(C#vgEO}OW8I(G)t7;>Et(XdvuyH(jE@xXrYKiX{RvTv4p*>>h1FEz z^MLFGvyDb+`lWXFYB52>DUb((CmRT*$~@VD;t4E*UujO@YNc|TAr;)00&W~N3=6!^ zFzk@@!g1yriM`Im5{!Cb1CgQHT8)c`F&8i^2MoDr_HLu}DS{*b!pa9S;9_2GJ8YO$ zuN-1nv{7i3Lq&`u0gkj$2}Nd?5`u#UW%$V`RiC!Ju_<|PWTpjI>SMc1qYDy*!$G6E z)rl`i0q&PH`WeJ{ktSC_`IlAA&|}i!A(gcUK)K7(8^MyF@nl6RQf@6at!fW3iJ-FP zQH4j78Rd$;FpbII?7H$x^xx3MG++eb8t4b1`||Hi7+1$bjLsuDnCpq(!iJ zUBrYj9+Kc)-FTKqZt(M@@zVrCt1NOH1z7;y0%kYPCu7Te#3jJEo-T@o9jr%SW=naD zv5~8f0Fr}Ov7C~H+vp0F*1nhR zJs!2yB~)d)>67~}WLMW6GpC6w6Av%fEEmI!{6DK4A8gI`{heDtjgsP_opNN{Hwq}^{?+R1sjsW9AnO|5$_bv85kkN6~6h#HF-J1^&2~>Lv?(T3usSpJR z?w(?0xCXxl0He;J>1;~cRlw#4`UH=6Ow{Hr**|er%9%+EAYtRQK#gWGoJTg)*?jii zDpMnm@7Tt)MCOi zHPuthHG+Vdl+j;Ux7bG!@9%~RqW=K$Hv=`z}l8vDXfon zBzJZDe{uUK?v;6Df3ngXNw8cY?FxA$u3jN1?e(-ES7Xs%uMK^x3FviD;sx?YKcYJ> zTD&G|jAk%l*k-8MLuUDd#B&s^2sA(7OhfEekL zC3ZP|N`yBK;6A4~(+(|d3oa!+P(anUxPUhI%8%MZFLu0N7^>dThoKzCy{owIOKJoo zZEQ?&nzlGbWhyWEPej_7M{<~;Sj02R3(jU2Q4Qg^HdwH@;zk(&O$4Z{=%)FKqe`4j z9d1%)&~NGb+MERpj{Lj12uO;i-r2~ypzV{b$akW~?) z6P1w$U{=`*S~v-FHGj%yA4sxXjxu;BEO}uNKpick(Zo~?Ez%l#zE^PpJLbtM4BYKn zm4et>Ss+pCe!w+dzRf+~(tUjx+7+KMTY5*@TM{`MeqsLrvW;PYu~Egg%MA3Sq^Wg} zupg?4Rnne`Dx!5Q1ufKH2Ps!l)*D@%jJFnqhRZ&PoJ;Qo2Y75!RpQ}VN~uwmWIl~4 zGO|A#^!>y4I`}Qh-jt}N3qE4R?AExo%G4Ivbc2$W)zsMnUd4&BrgUjX0PXE;JMdGVMb$#4t51w!(fOedxeq-f)S)Z6$!P_aHCxk9aOT zf)$qWx3=>PN`{Etq)pVxP72SP?w1xNYrg$%A)!HK%dvBhy03G7z7u2dUv7G-g=S*9?_0{LaafNfpZVp>#LGBTMj zn&Jd5QAE7MqLQ@aiIh-r(yoZlmzrHG0?hI9+s3Js02ZtoTa_(F+BNLU<7_&5S+_-~ zlT0}giwanUN2wk?NXxquIY`(c>$e3opfd`bf6h2h(ohgBUC5kCp`f+a^h>82R& zcI;-L{tyE|#2S)dQG@WVVU+B8HYC0l@i+uGct1|A>JGHsJ(*TRRUGVh&f$1uy{1wn zj*1?bjvcHjjbNWtKM&dDHT8?pi~q8vgx;%$bPFiFx4JnaVD@g}l%aP4;^;#ZeU!K= zNU47?Ygp%eFe&2IFPUBEKV|zyXCJc7SwM<;x7(q8>FG{`Wj8w$Js0~18Kl%0RcJu=-4fff+zEUBV>4&g~cn_s8LsB_#?eEgZzKl(4Hq<)|^J z$(PDd$H63jIwCb5j$;}Zs#7aexp08GsS;Q{308x-5hG38)jG$#*5N$!ovmk-qzQb1$3Z$2tTUoC~Fz-caRSl8QXsc6ZHI&9TuxP~( zF>kpoOeh(~r!r~{KH8Q;m{pbVKqnRhL}Lh6#$yRpDvP;RTO~%sb6HC;3xti8F$+}2 zd#PBhrIa6ZMk42StR{aDMUq}3-l0)WQp}lTF1e1d^F(9aVKBnHp$Wr6S*}v3rOlO{N*>~dmzP0}V@4@5$0An4*k+dGxgHo+_B88i&h+4j7 zcA&k^dK5DjsDqrwE0KdOX#Y_go!tX7n{NcmWQE zz{((c%DF*{txQZ;a|=Zd2~{_CZBV5$pT+GndjhkjUUPNJ!r+BuQH`9>?4XH z7Hxw8UykM79t7WtSrw}7<88~0vWmqBH7;)*do9LhhQ%)lf#5X?h8|^js(bs(-6dro zB?a#iWkHv$?WJiqGbQSrkgW|&&gG1?(3Y0SFb%EY!sQcdTqcI`QB(LCr&XDh<6SzI z5O=pKLCMY#xt0dG6BA}=Bx?vyP#kxFZKW{m6>~<3VV_WIxONL&ksvGxLE(hciSevst6#109J)#Y0@up}olCsEW!U(^ zrKKeHheNb#Qkkn5dI(ASt1bS<2r3snU~KnJ78awZ$@gX0_waJ z`#hgnDT2FS2resIyTTUA^7nv7x-)O@Mk53*V?@{32*hms)fB&f^vYNTDN%RaAl((V zVGV_OgdwFd39?QS?J*TQvm4r6Eozhiwk=JCgj*?#&uCqnp%=2KYuY2u&*EZ8MlHf! zETN8E!UrToSSjk}NL9@*3-+Lv#1pUp>>=l&3r(p(uc`qq7A%jE61JroLib`QQwl6i zF};_}eJlz{e7G4w#nO}!M!n_4(Qb7t&5M>Jo7C96+;YzY4vc=FS_H*Xq6z}*iDGs* z@=7ZBRJ$YfXu}i_tY2<*^Gj4w^4ApZcpnB`cC^Q!mqpsdii zpv@S;P>zP6pt21b3+8LYuQs3k-7Xjkk?c87UM z5tDobN$1oUQJG>|OcFHX%~6p>ODo|9>Et$JYAIH(l2)%U@8OO^h>wV%u7V><@ot4B z?aaGS3S6FJjQ4!wHqm@Wc(yyM)vBWg<#tPUJ)pYc{hGM1%hHIKkph-50}(aOR^ZJo zn8C#!vZb-#u-|eAdZMpbzzR}sX(BAYm}^vET2xOx3VyntAJr?<``mh%DTjK@0dTk) zha|0-TtcXO%dJ-li7tV7%;zQul{LzWT&oFX$T4!9aCa*;mdfav1Xa%_9Yl1tqvVxX zh>aRY;ua!Uq?LJ&P%h+DF*e&`)^ec+;xL)CXGUGt4H4=MYBCE^D5whnN^Jy{`CTWdBnY#xz)ES-{PAUjGhL!c?yOvZm{2?v-~F<2?0g29*BObq3D zqF&m;>C2WefQr@G9;Hsp8g`erYZof<(2-D3YI7llN`(o@7Zm%&*?%z(_jXlmTq|VR z0UXRbtQzdhZz`~*^iQNBNkHJM}2n_t(hnEeVq&B6EBja1O{7-NgJkcZT`nf)t>6s$k@h>^gf4xaA0M%pZ6u0|z6wp*8u0#{E$ z&q+&|E;7Qt5D0FVqM31SA)UI7aoxi4aG9bwd&4oS=2A)(S+#*LK|4l*+nm&)Jjw)A z4ZcQZQ)&WY@f8C%soDUtpuMG56fv3!DcoNve&P=F)hDE=)CLxMaz07CNiTLuw5%8i z$?-ANdZN{dsTik(vmE=70m;?&jHoGucaaW^ex!AX*N6#r!?j9;P~46jHNA*N44E5p z5LwN@3CY80JgqYiGs_W6`%F3;jPZ02+u~HkTeT$X7tv_>zZ-nGocuu~+81N9!z{>$ zjK2P9tr36*hL-HXVR-0KL=tL=*c5H&;;0coieZ{#G%0d-fB+9Th*(pSqAX{Kp2xi< zfd{k=vi{;wp}!Qxg-I4KD@sAO7FJu5h5+WKYEiNZ#fN&0qKd;+D=x9bG%qJC$t?Ml zE}50e{h;|$5YJV(f}oe(h=x_nxmtTg2(P6eHD^|UPH6(nw9Vao(hEkI(1p1LQuy(c zfV`9NHYcS#gxHgc)O7g+?=q1XEAne>I2B( zwA@{u6DLi|fQy>`$S!_+tZEkuC@7Q(uLYqIO}fz_&P#k;(nm5C#BDK5bek(H_J%!J zJ)Q^4GTYPpM_{@|9#ZGVSjah-r<3ZN%^3i5W3i2Esa5%LMf^`xujMkJP|ApTcL;Ro(>ja9RZp>nLC$jJpVyT0-}$j%KEr@PQ%HpGe{aMHWN0oDJV37aX5uATMEGUkl5CyA5Q+7m|UjQ^+ zIHn+6PV~A=$`s!>mrz$&q8#n^k<}ja!`fY$aB8e}VHxfLvA7C~EoG}&l zAEa3KQ6sE7Eb`8Qv-K0BuRx_vpOTrhVVZFV|GC6n5 zweUHYVOae_LX5lZa4!yJDYp!=7_WWBklAWiq!z4JOyq(@Vq=h5j}ZZa%)sCZR17w* z$(A z6#GJyOBe=)wHTDS7#8CoD#ZgJS|FhT3g>x{7k1Lp=%R`CxCK!+e+LCX7q6g!BE1cP z4a={yqtu4ew^UV+L*g0w@6k*jw_Wd^E+Qp9)tk|Txm1;1-{msonw1wyc8?17+a=n#+D5!zB=V5sVmHF#@BTb#*Yy!E|TlZ24PoOU+%f97;La zq$wLubZqdPa}=f=5aqoF-u1-xLK_b$8tg_f2%w8~Kq#)zIfaMnG`V<-O3sz0XH7BHJ>4xSG(#UqkL2I+3 z2%y9hfYM7Z4pqywkhs!48249Fj3I=I_gQ`*3z?P;TD)bJ#Cz_+0iQEC#^zEAaKr>? zVC4lCN`17j!vc*dPpKEsHeiQiiu*f3Z`<~D+sMA<Jz&u^NG2gvS}8EYK|b%haqD%!xpRp_*ypFGgLT@?R=|&Blss zhGn=yqe5?lFmcHtd5RY*RyO&Rx57uH!nH3;R6=>Cu#m(R1suZwQrt5%nw7F~3bb() zi-iVhLi#flHs+bJFEF_{RF<0NT3Rb?B>@KziLhJ51M1Ysm1L|y_|SYr1#&{`EOrvy zECt*#96BZYh^;q>EX65y0W?{XASlMyOjbO~h$_|}-`|KeFQfMo?(LL{+%~aZS9cyJ zbnHIW0oER=@qJxaGogqt#?}*6o(XnIvtWV%A$)^o(U~^ zi(ThDv$i>?W)*Kkc$tk9o4+##8Ac;BQe`QXEVD4ItyX0MDyL+1V+N4`C0LXa={!Rs zE6NBeF4FMvD4x+%&_jrt)S{H;$2A9_F^fYg?<2hu3_arO6Diw##Kc3MS%AeFr@XiO zc9sNL>eKsNWouFZqLO+l3MT|A;EiKxUhgr&)M4GuE||gD;AiLWrL@)sow2 zlQ__tt-4kGP|G&OD4d$haBtNMfxeld4b^mF+4W-n62~>?`G^X12(N;wnBh$a5K%Qs zgJU?jTDG8Cu#BVH2%Z*(3*;Gv!+p$n23|$No6C|Sg*e1pLVHmv4+8;PWj7QkD%YgH zT>c=40t;iUD3qCYp@u{{V|Q={+b)v~m=LazMykOBH6S6xP+gTC&YM)FA(df@V-;Ip zDy7q~9`kdyisGUhOL+Z5VQ4h~rYNa4)EdT1jpLa_>9f5kL#4}%Ve~afqf4vm*?1FX ziehOYG#0f9#|p(G3Cu-z3)zh=SB-tFj=P&_o40&%iYuUTA;s8=yQtl!2|7bbF=!V= z%nLkC1Wbvjm07MBq$uIS8-N|ICWy1>KpJ{}^1--8aHAQxkhV%e7Drf2j7%=!sRi0{ zL)j~H*#)4@D9ot_g~f2TELpG!^nfwYc#fv8q(cN)YgeF86J)ud5dG^^)4}$4L|(sk z3ei2u_RU|zlog=w7tWeRgR6&q3^(SDKxoCG&ZWq8xh_^py zcq_~M`o!H+vblE{k%=vvT&qahumYq7FSHgsvVj704cxyoq`|thms`_}glB1M(aF>? zhf+FE2x{-^GN)6M+FFZM(-%}i1y>81!s{7p4rB;jVk+?_XjuTel`*W`zFIB=X~)c| zn1ZviN*8RXY6J!p!0pRrfaT^CH$~KO2>vVEO$EI~?Mf*shdLJw;R4(dk-K2kM)WK@ zYNTIe=K*{Gg3xQgFyOBsMYI68XdwRpzgsX>s=PB*b2c3zRZMdbR4HNuFcC!uA8E%+ zqKDPR3JPQrBT8Zg$YUVOX#_4Evc=&)VtvHFI=aWN6obqm1P~b58kX?qhytVvD;WBe z)?M-fFss6y1eGkK5!3`#EXP7YhCE_!C?;bq7DKCTbt*u(=KZ3w;0TC$_9YboN-q;h zY#@*TvWhRILPZs<%9BTQJWnZjT8l1}0d5A@yBYHdF+&#Ls}aOhT&N4LC>%f z)eU)hF1nVCFqtuo;zYxWqD{ zumc9k#bHH*7$bO;ZDoAKvCJeDwF(erH{LSzZu{IJB4St*nz=+<49!qe@ee&AY{)x@ z$F$YNQKX1ixn+63GK*pp(u7jn8$Fpt`9>j9F?LIXJ~Enxz|>!?j}CBDSJbYEt-a6;{O0fEn39v zpdm6KRvfXY6$Aq)E(MafAublMK}t+>5HaDHmc?YU2Fim4OeFw%Fg85PR^XS#Fr5Kp zoD#+(1gP23j*C^l1gPq@dYn846du%^Pu2Z#EBoCe?oT{SJ7ah(L4UXcKrS}1=yMNW zX!oL`TeH~>#Dp1to!qG3V&*U#XuQ-y6GIpFH<=#Lu8zcho7Kc>oj+k*Cm(s}`%A#;4%v)gWEbz^2?;Vk> zwZH@d{Qy(0~Hye}nP#?k^`qCZ8{ zTZ~v`s-P9vkw`&g-M}b_^=c<;%3NrBMO21DO(S*G%W1Bkw0hgCH6G!jBoGT@ntT0~ zJnFuu8SY*HS*B4^d$9;4Zmfeo&6{JoScKk*+-e&@N?d9+sc4EJu&nbIKBfNvi)F_N zqkj$nNfY>i^b8)`3>;j)l4N8VZ*f9RUIOVkn54F_!@XG&dJFKiP%QxF5g z!>Wy%CL^k9aSl?6Ug0GoS3o2X$5F3ut=7*!>!+U46V6%vsh{ssAnuJ)Upn}vRsu?5nL$ds$h z&fjFo*fUXC$|B&rO5$PKzal9XD^|)5L@~3$+Y+P`CxerogC;1wHEwyypn5V>@9}l0D#sdyxpn>Jj3z1Le4&^MyuI!rcTY3h5XL z>LAxFUnICIK)Ue0Y6-8&G2p)`aZ6rU{WbJ4ZyjpETV@bTh$0Q7k+bIN@qN9H8!fsRBimxHz8gd2t2YQoj1sB7XiFb#Q}yjiJYBY2NN+cQ#x zgk$elj_{2Fx7=}Y&gxuaxU0BCP9Tb)LyHq8(O^1m;vMNkapgd+rXGIDd>P{mjsdu3 zGOUk;q!kWexG6No9vwp~opJ91-2$`*BI9&MmLaeb<#4Do4Wi3-r4(8-edD8aKa^>s zRI!*Km$aiX>Z}ZRxf?Jt!6-QUS6YBmPf0=^aVgoU~V)aTHwsHvIPNU?CqSyfQ;F%$@; zC52oW)k@-18tMd6lH7zF9Yu||gs63ZQ0g>-V@_%Xq`uV)#i`;HKd`~s{E$Yq?^6QL zl|n#=gfOa0(q6$I5}X~?MG59)GAi{HyeKfLj%lj6UMr`KAQ!|ZdNgB3T8=Ftryx_;>f;8KVj6Z^_SiZyqXf`qt2DL2x^F!GOXX&CB`HnEb*h}k^x}* zS)8DP!rDs6TXMZ40<`W~BGiE3Wr)o-icFj!uOcBOY!B#-k~o}BEJCWK=MZd5bRuE> z%*xlBT*S^Txw+ghj=8qeJ1!X^jk+KN=!0X;LT+-(t%ZKzvB}Fec?`_(X<=&iDI7Lb zO?|k5h5&9>m7=IkY{E*k;6aXwvTTnbi!%w{ z2i>TiD%(`1#H8CeS|0Zkiq`@0L+rWQLM**EF^$ILT_ECKIM6|<7NAs6sUzAEjFT5` z2Y88XL>JMKzat5Vyh4>X;gr@3biVj6qnvobg`Ycb?vAj^W};v!GO?SL2#w2&99a%0 zWZ)sWil6`yNo1nYLS$w-vnC6rh!}G52_nklNVbecQe-HUs=62H5|XP4MrApC+*6_6xoF&VCu_U%>NF}|+NxwBe5`89<%@~{=7x)w z`z~-98HnLK3%a!qxAieSU$0F;L4p+uGXgiWy z0yk)-#AGoU!z+000Tz={T)_y(H3VoyPf~@Q+=j{Tsl>rV?z;>JcsIgr}G?$wxB7 zQOVLc#LAK_7?fPf24~_WYmB!ksP2Ul@Awc#x9(p~%6=nM%Dja$J(lgDFyPHI<`1mu zl}H6pi|&c|bRVIY(>s;&KVMsaD%pOtR?HiNYN+oHCEFiGb4p*6HMvOa6e*Mr@5k)H zyc=|)Mwxdt4v$-oX>8r3r!v)uBzJr{Duh!4yMk@Q_fh#E*9%rj4f*smluA)Vg%yq7y$Ba>NHTQVqb3n zcZ~)ipan%+6@>=aFSwfuswy@?PwarrJ-9S?`rfY!`UX5Hf&AZgIpYf2ZpYB^Y%lM7_|;iWQ*Evz^GzW=K?5cg;@J${F(T~ zLd9<2rf!4m)J@}KliW6dkeN6JaRD%sdDOLE^ibsQ{od;;7`+uprpB2Zj=ow4P( zt#(O$>TjVifEP{XE(pr^gr#@~>Rji)6EYOHHD7-}V;G&xp7z*#*SHn+5sOj66Kjx5 z$^;9$NqY!Yv?pdLgi9lCE&dT!a{m)E%2b-0yTtsvifb9R>@=84iHlFeb{{EqvR?NfdEGf z_nFY5WOnaJ7Y~j+!Kln6|y%v2C!_0%QpUu+}cfs|dE^3rcR8st@p$a6lXZq6_3iv1?}$J)nS6 zzGFzzHtlV~L!CWXl?}E^r?#Sq$f4brrUDtcJ)aO#oP*U|$5R0*JJ)#r=8$SwSj`%^ zN;hv3*mD~(o`{g`A@Mi~C9Dm`z2jQn3@bSLh{giTZC)j*YFhy>_t~jZ7{d2ZB`BT( z!H6vpXAC8W04uaFH5Q8BOK?;e^#wuuD*PRD59ZS0mdT2AcM+u9L;R7IaC3%1UtW0d zpEW=|#mq}Eub@(xd7?MxEM2-5?CJ! zW08FP!2_z5^hREp9zDZoYlddz_tloj%2jfJoE)ZtvT}EhEGw+u#cAkDJfObNGQO1P zAWgC(1)cU;N~Ej2B?`_Io6z1D!yOjJ35+9rOFmXS5T?18uO^6&#wLVnE1X;>lL}lc z7>8Rl>JY}3Bj2)oMS<6zA;Gb3T7#N%6wArXNjd^TM6eEJu6T=wxJZaDNK`>A;-iLD za}L*chl`bObC>u_(aj+X zqE%J{6SI(jpofJQk`JU+7Rc7RUt5_*68+5A1;cFE_H;gqEuEm;UY&y_oi)kB>)0eO_gR#Kk?6!GaO;zI zL!4m~W`q=C2KJHK3;3!>*j8UEa*v^0HQs+@&Y)7vh+>@u9!4QxSt~Lor2urST8tRE zWlm#37)8-C5z$aoCeb6q(qqvW7Z0g%tB75CC1SR*s9&V zDHX6AaL}Bes7~O&n4rW(`cy&|0wGs>A0uSBdy|^Q$QI4#wlR*{v$HCwtrvU|^tQ~P z$5Rseo4J=q2^>Oaig6SZC`U<^e&!n>7f?90R>4h%T*HJ}*)ue?SEx~|OuR;YW4ESG2`p}6e3 z6!8!iSE&=$#rsa$ww%?jH&BqdRT6R0lmIa!3^Ga(ML?K-^?{NW#JfC~h&4TJ4`r$e zUbcxGAsaeN4+Ox#e^&z9+o3KzySV(ctp%U6M6pLkF~>}7r$A!iYMt!E#6IcE3`Q}+ z06?PJpr|0V!tM+7EV%bjdn`zlP^t_rdj%iKQi^;BuH!XhP8%6$<;2n4qhUoL3o@hd+n|aI4W5PrvRL zWb^dq3!=KO5W(eh5Jjm#tV1p2QEQqFO527}O246hPLC8d(+S)#J!&Ws94s+bP-7lr zt8SpT^rdvKqNBx`3gB(4x|9&1*VR+8{TXQ_+^K_3;yE94o^40*X_bhgpputtv}Bhn zR~o{qpgX4DF&l&`Gd~edV?P*H)${k4>dI1ZkhDyO=kiNt2w4Fa0<|@N-o4B+LJg3 z1Sxq!hmss@w;7Sy6hmR;)J3(mT8hs0NANP{>ZX%-z9E@Bk2$thYn@kjDMuK$0yAK6 z#|UN#&|Zv4RKf_dxyr{lWi9N?VRaRSI+E4HwV%tEDy#BU{hv#~>JivKf)Km{T9CL= z6CEP~#56tnV6*0VUo51dNwiB*SqYx4b>#CmfERceV58O6^2e=Qh(UJRd$STCt|5+6 z^%nH7gvvX(Lkyzem?qdG87YrXYCTkH${?#sgS>{f3?G<=Ero-T9S;CRR$$Z@jC=%B z_L0FkFb<)rlu?QqoRF3p?%{walmi*`MlcTSVInLd6xu-nWUKJP*@9!xskx?D&PY53 zW${q^tBa=EY?*A~sqBRQXxT|iW5Uz0S|C|N2a|HzxLK&bqWYPSldq&}CQfS0ju}u^ z?pbKxG8l&WX6o}$ZeP$be_7;;V$?#;K=koV)NnO4wZJi%2ZH2+6ygTm4Lhb`R566SNTLbUs*6&{B`4V(fFc(1-OO!T|M;1$39hK|B%nrTh zK*Sm~IHEe3q*;&HZz-%u)oMQtiw8YKi;=fwpJ&k|9WJU{h9)}aN$j#W+nW>sD#2GX zemVft4iPUb2Ff`UF-}#RgE*L$9XZbn67{0g@3f)|qH@Y_l>0C_>o2@TOi zWQ3b%5u~+{sev~8N^2-3QdwM>I1<6^!cp2|iI9Ae6HAY6lDF}+rymKlG%b}1K@rMV z?kQ7XJ_t`P3E;5sutH&Hcy*R{-Z=}Rc4^R1a6yAVMg1H6y-p0p77Gw%AXZi$W*Y^T z)HUzW;WQ)fJke6dTXxuc%tJpYiwQ}>Q}hhKpsmIf2B*XtSD~IPgn^4O3AEQSs>r!6 zHvqb)s%#xzJ4@a>p{L$mMuB{hAvJ7acaF{gGYr-#*LVbT7dCAD!C2zi!&HFk>u@mQ z_=p9z>|l5Q0LdJ=0_5fuCCO|xaLaS0shLE@X7Q+E4VABkh}IaQrM5U#N}B4anTKXB zFsL{CNCjFA#gn_d+~{FkTnQL$ewv^b$ywa7f;j* z>dC6n&xitopz}dOWG41vyaL^wlxyr(|k@+>Q;JJK^qZD zxCJKijs;XdF&|?L+VFNdW+hf{%%eeIWsj#}f>o%_U@F&HjaxAuvqw+jFm zRB6nomUfMhZ>m?)XDx-%o6+8-_}E&u$XvskR!}Y?w@apr#id15Hsa_B8aJd^yEz=- zRcOn(aCJ;`0u3_{G1RylAQQO6*t3YiyrWYW8*`X_s_tOxV=aNTm5c;$yhUMd*edQR zFj~D+02h=7$>`Lr3af&fphmTI5TFe{V=H7B`E~D+2Y51?4aw-vV_Ar@U}a)oSyt`< zHw@UCwfU9OZVbO7^T9pFO!`bE1Kihwx<3jZo0TwQ^f;Px5JDAkrZYFX^_F5s)XS&Z zXHhs+=M=B!$Zm@bP`|P((37PD2GM)tSe(YHrTO31kc6yNbg{dO^b;2;$DrNZ3#wOQ zDs`K9eUkvUN3fCNqBVWsud+`|V(Z+L1B^c?n+bB`GZBq$i#-61QzxdSb-U>1l8g{k zZPA*8&?g<#M_5H;PEhZZ6gj9Dy2j;}q-;#b!3UV8m(3MNequHAo{;BW$Gm)4q6PXy zA%%9&DVjm)0jnn?I1Cb!Dda?`As!{Ju)oZusapLBU*Jn$+YzNTOU4*zTKG9vdXN6{ zcVkszLNH=nub_smH<|c4V{sV*ZosEI?G-BZ-pC@>Y1bX_P9X@LI#`mo4l%h#mOAb?C`s+ZGd(_KkPWEzJN zV3aLf*12PGu?IT)rRfL)az zb;Md34jAB~E?C2P?-nt~EJfK${8AVM*sv*JZ{7bu=@j5H&O+9y#*NbsXlsV$%i|uZ~)XAEf+A+q|!GUd(-bJe9 zi{TblHq&a*!r@k^CDj551sJ}-AY_cO0@UcYiits$#&a&P1Y0eZtDaT!Vtt?sNy^LR zPe~eTXj8SB-AjU*9zsGLG+0gc`!a65faqeim&`aJ`>l8>aRR;S+Lo)LCSIrl@I2lk z%MX``y6UXj$n`(TFIkUetB+xOj7vlVcNks>^$+GXfwy~>mlg65Z8=DCU~iu=IjAPj zlMaDrm;{MzQ4Jask`l#Fz<-&2K=g=4*USgyDwo)PCT2IK&cnpY3~S}Fu#n+~6kNmF zS*-60~U6CK6I*arwLh92z7X+xV zM$xU>MFA&NUEV~b#fw2&l!GvET0QCxi#@eurT9lxy!nG90dGrqNmLhNw`=OXWkwuE>sbuwHs#>4LSP6eVnw(a*floo?+xx`#Uv{>WA7 zSI@jmYPz4glTJ$(<1=WKR$(8_;@S0fRJUP)uj(q)IFA5&LS;OK#y7&%@JJ`cUt@y& zL!=nPmx*@Zor$HQNMWYg%ZcS1*^^1sDvr-S9ym~gtlLO z#2k+=xk-jpv(z-TX)ER2?AO_I%3#9^%tguVo!633G|UfU+ZDj9SYT+2Wn@;c^hL!q zzF3S5w*&c9aATv)7%nOTtl}98jR6qV)g@IpX_cq-tU5y3nQZNoY^k^-OJZDFg60v| zMk=V&F|lNOJ4G(os2o%kvjEW|)l1sNk+!y&8pRQ=B_&X~dAR66A5qLo`$w;KB}}<1 zlM4)MHK^jHurfSAqB+{?8m}-Y@h&}|bID>-) z1uM+j{-zXu;NCWaQsv4{;$J}*T)>Q^Fq>*O)&~Rz@h`Ght0#-770Hf@Rlr7Px(=%^ z37I4x8?w)bU4Ntnc%XSTRj*T zPl%xDE-AkbMjz5>)$s;ompOQ8hUx$#<9vh(843lSK&Rk_63;|7*w8f0!&~zxX>)U84!dn--0DVT+!*}XJ6;YXGPO^a zObfMZy1g1mMvgF(RHxL3@bj5Ml%%l`Z>Q!3> zhMD2cX2#u#b#W*f5Z8DQFp(sx=-jv^BLD|P94gbdYzb%x;qNPFXt!G^%rt|j?3yuf z$uwcxP|&R1Hww>)TMi%-L{d?bYDviL8u@>8q4d#$#tBm{T+Qehc&I2L%9Kt6E#Omb z)M=&ahfV$yvO|M+0G3;1Ck<(9fpxDXs>9YpR1}Hsg+yo6GUmlF^7W8EaxU^yRM~b+lEuoV)>r3txxWwZiE^q05Gi4Xc}pHh)mbAC4WFwO2)ow0u+$W z>^FtW15LGv?c9i~?A$2)qRX9ij96rWs4y4RExv_l=m-JJo3U~s%@WC%1Xfwc{&6u> zoc{plAMJmr=sCJ{GAVnojDPTxIQ&F^_;a2(a{6;a-dH&sfs?r*Hgc_pu)VcKAk zJY-d$WGIYE`#_FR@`D!+)>$gKFsTJ|Q5~!oR19vxj?r#bVp;`G8j2dXzLE9~LquNI z4>eHCLi+H^dqED4#DoJu!#G|^P zTSO|QLa>PQ{PL;d3$>?f6252_ibaTpOHnR}q=k%J8q~J)Q3}MFf~%O!Ok6vbg6p>g zF<>n>4Gpn;dqG9DH=(Wl?p)nv=2K@SWn^zCZ##{be^^_QH|<&jU&mIbg-N;dc_n6cW(S9x&h zYRv}VUZtF?3PHiz+(fpysEko50o#PH{{U>>RvH3`mYRZ+*a|!&k~0e~kVUqw8&nZ} zO0vqAk`2(bATQ$h0+j;Xph(K~zQDBN;r(M^YeN;4n-J7lqb$yA?I8+oO!&#i^Oa#* ziH$1Mv)&N$%M}RwJ6dvPD{vu#Ss!Vg+a47r%BH-CMiid^;{wKNq*;&Ev z;e-_sLNPgU#k)-MV5(HguMmm3siG4~A{8%6sFCm8IF)w|^2_hvs->}CbyYdh`bIDH zu9*D`-HbIEh%i*BfYl^s4pvq?93@9~BC{v9nSG7vhz1+xPv?uA?VnE$Z-#k@7bf)`iB9QsJV*w)*K{c&2R^dGpe`-*Zd+wea?|yS6^sA;m`*qG}*vDXlXYl889r+@i!^_O;Sw>~-*fx}w{{t18!umO)js z^y=e6u5zfw-Ntr}&?UZ5OQ<5%u);HxI=y)0OS-(?*uPy$mG^V$YL`5+eKHBj+ezWX z6$Gnshdz>`-)#?O10o3BQp%K$$&K?S=oNI+mZ8rS`*wisQ44(WP2ykP-(8b>%oMVd zX=1Gv+AHi>VP92SG%kK-X~Ia8-ID-58Hs#M6{Rbo7oduo!@R`prGaY&fJ$})gD$-* z%4|hTysz1NHWMB)oIqNLFm}WUPPAHk#=V;J4TV8HuGc=%aOUwU*D}h=LGH4%x=UBE zx{V60Qgq69pi(w+oY@u8GlPV=QWZB<-q9v#?4kZH?U;Y(#|@|knBjiPuX6mDNOgRv zWeKIlshFl>k}#Sx{{U(`){zw~Q~@xsjmrU~yRradlByJ1FvNV}F6BhQECH)+amzm` ziW#D{xPCQ=k(5+cm6Mnxg@iJNBXHq_M4^s zG1hAksS2$%a^KolXl}c}Lwm_;Vpi1!wp#C~mxC+97(bwtwpoJdvYam{wUQq0UqYoy zmHq+E5Cy8eEoM~isSuc;TdKnp!@Izj8DUEfzX^+?IP_g|M+c)V7i3YX+ag39MXjLm zL`s~oa?^D-QU=$|XZ49cmV(gdzuuR^7hurU1wMe+JQ?Ql>ro3g9nbU<> zWglq2DQk_Z&2C*|?Pk&|kJi3_G&NK=s&|v| z$j|_ygw7I}wPmQX-ooYfjvRDr(vsZ8qgA#mnwVaG_$?H%^9T3Bs*dPG0f#u@jxGBd zx{C1OZRy1xQtE5M&GZg({gYDQBUauZlZxbV2jQ*Mxru&fmX}(CMT(BIo6B|xkpWV| zxqhAzHJEnb+R~!R?jOsVL^FuxP!(O#fSDkQV1b2)kV{a`q~rNa}Fnu{Q~RClx1 zC~!Q=J{{%bmL)S#mDmODRFp;q4J6E3menE9);HM7U2bVLWIbn=7{m!j#yq4&S1OM^ z;JX5XUuC@6I8Aj#M!C(^vclnBRuO3zOuWabuMOO)d>Vx^8M15iQ?4Hxea&I8n!Hg_4YE|3356?2Pvv=pr((3p3tWs5NE}mh) zUuvQZ1wK$+ixzMBpRAQ`<^Iz2ZYuF`8m9^txsOxwTLCkYNs$y|ND5X{$pXQ>vatij zMfKW+A7;66nmb(r=X)s^qX>RJHJ-rhBK?5!-a`;z=-#pIv7FrlO?G-2+&I9m@f4tVO!@5zMG5i5rudJj+}P*a@%^Q)GuM*6)c~x8Aw2 zh*9%vKW8kV5*KN*gOL+sOT4Ko=ncH0Fo0)##JfVeW=UJK7HZVp)Fr^<-k@Vsjv6ko zh3r}9Xs7lw4Z)We1C%Rv`z{0nO6FZiY7`1a&X}lbAfX~VtUg? z>QTH*M}^-^4jIfYc|*OaBQ8{4>2YQhd;}UA5+2=IUbXvt{@k zu(Be7@UA&Cz39IH89Uf^9V9%@AX%^FpE(a;JN$RcA2lMMV7_!gi(Km4300=SgWY z$=rh9tSQ=iwJoZvHtg@b$Cn1tRAQ7`8^mJ}p3s2?J;vJ0PY85vaj3Du)(#8gBb$2H z3$zt_kYd_PEVE3^6-FpU2`r{=qB~FowY5^H0@b#!f(P0z-rWF;bi4-)0iH3eG_ZwD zXgfw|Nrqo5OYXY_uF2SyKDCtd-P~IH9Hc@DV+;&o5Gyj%mza`*QSr9GS^mLA)4yw;&hSqg6L@g0#uMQNBV?m#s57~l zPsGdK0nwRFYcM!52#e%{ z+QfVU4Q+-srs2c0DHXUhLJ1V)h#6)o)gil461l_l@{u|!v6>}3-kf5=a${+Ue_t6C zM7QNL@C)~%u5e2G3(743AyeoU!0kE(%gqm%;AR)%g0OL0hgNV2OcYKb(rW{)_mma- z+usB=jBbZz%pfk34st*QUInzseEkHRH0%+@=P!W*S1YVJqASU^CUW%Rj&LggOnt;? zH&Z{AmSKjQdwpV2w9>x|(%{BiB}YgSwbTw@)V9)sXwc8(=Bp{0RyTNJAJEME<37JN zCm3^UkOpMwP3U=uKUVAprRoD(E5OS5CsxWKEf$hL8Rby%B?sh1NUW?37usmzddOL} zQvmQouow!GiP!g;2d1ry8BNMvRdF8ni_Dyi2hp!u)S}OUd{IysM+AQ?e#N8+#aw97 zf?}A0c7syj#G;{JO0Oxkz1*tn2-YqfCxz}@)EygItVW+Bc*++uE(=ez0{)qmqJqL}TO2`P z?K2HalC&J-8D#go$xqAd*LoyoA)pm=U9L9U808TNno1nw67M64ev6M{P)mZ`D21}T z5moPLrxS5B@pS>xx?0`Yo1iAgg&-f;%p}ALH!mbOO4Y_-%Me40mxAG;Cu@L?2H9n< zQ%zK;Bsl17!WWw14-1*kCI%$6&cwpVRJL=0y}|?x5J8xfxU`Y5MT(8W#7NX@5awi* z4~VI|e%V7_m+D23*5|x;Ixw7-rU_!#Tt=rlQaf*cWs&~nw6!e1Su}vN3~vG&*qH?+rS1TY;ny-5UKW(j-)>u+Z8q{ zaH|cp5EOK-95~6)$nWr^1a4S;u^G5*yvIOzm(;tAvph#A+H%13%D0%|zQVY)?3C4% zRZ_CyLLEj!#9>o%$mXc3sZ{`=nMm%d@HnYhbJ)6;O~Ru2haeV34dr{brcy?SVSa#O zH-Nca_h3MKwG^qBwx!-Uvjbyns_gO3wo>4En>!9#jqW5~pelG$K|NN+hy*8`oOYky z-CH*pW{G`_OdfI`Rgh>kX86+WtMP?!8ZZ|huA_R^q!P#!)TlI<;sh!NFd0^w;JRkU zUFk;^q`7t+D_TxvjNU3E0tS`?Ev8_8+A9h?aT5MePl(_=vg@UIcY{SZScHlmU*c}1 z!s&K>W`U?1f{+<=c&I8WzIc`f*DB|kra?k;+EqmEr4i)4N|VEJT!sa3?aU(F%L-wl z^=1m+9r!%4x(yacFDB*eZdFl=AUVCk8`&!1fDbbh%n;KOOv_6Sl%rna_gIJ_P-c95 z#`67=(P)Vg%11bJ8;Wdk2bRX!aBrCe+uAI-i~|BhEtf17!Y7EOJA+K6>l1}UH0&)GkWXOJEg8B*t;5Ous^S6%Eu-_gm-%VCyMTt{H}6)Z zAa!Ab&wQXvv7GQdfR+a9`F6%G52O5@OZZ-#!RtEwtV(p|w?Ly%&iKQ707OK^R zzQWe0&4Lr9BCk_GmL;=l$Sl)o$V|moC`(D3gz}{Ga`I{gr_lg?j#X%+v}H(iM#4DmQry~B#IC5>@j zw2Fn?-9uP{BZKo(4V~CDdM$Hv0-C8rn=DjRF=Ts8Q~?^bIgfw)>VqyfkxL?;!};eh%#PZh=6z&FJC5TE+ek_u|RFQpcuDM zRv9j2w#OaV@da*>+b$$)03u{FQQt9t#003;YzB;t@i!_87lxW4027JUc_n_4zylrD zN{pqEPkSp6#TEmCOZLwT!a>z5r<4dF5XlDY`G_FZTK0y>K;&F@fw4WUFGuxZr;_yO z7x%z|Ff6e!B=+f+c*@1v63)y~AeU-67~&&~DS#qp3?Rc+=2fx-9BcJ@hZNlPZf@>d zvX?f>1=?gV3f^(#=Jg5$(ygm<##gT%-eL+$wWzpJaHF#S088lwkMyz;96yF?eB36| zu3VOafGAG5(AWTxXxTHr70T4eU3G~3t!-N_{kPD{CQwnsP|^rHLd};#C#l(1O0O%7jW$AY6g}Z%+hL&ghJsj@U>H@3 z&Aw1BN^k_gZQ80^6^UmYh6rV04-&!O&X`ZY;TF>iXo|G_JlTUoNa`$e30~cirel*H zH7VY#!*KV1jG8Vqy}%RWi^g5v&F={p2lC-LK&_R)k?cK2$2SgAqt39eEH2L$sp9#H z+Un*8nQlB5sPaY|*TGQ4HtK1$a0kyiSi35Dx_Dplcz6PVqkW5F{f*0{<_=ApCV~}B z)&Q>%7m+DMfq_+uMT{!K?wYkP*n*)!cw<|vLmX9OcSY3w&p{I7olJ)YEma2g%7$ew z;1HYvq^jvHPf}dZLL%c`lQmxOFANGE&;zzn6=DlFay}rg5F9vdVvJTMn8Q#hXaSdV zL+_aH1Pr;xqjC_vYNh9BbwdF~A?hOl&|!EQje%%FqQ?SaW!)8B{F3MR4mADBlWg)T zDkgaC7|0vIjOeHhTFbY9%8ggac7RYK`^D@%322<)#Z(H@jTPo0)}dr(J)pDxmkQEU z_?4=!lX9C)O!-`FX*}*D><0ZV6Ny&XR(@rSM7c$q=05Q?R~ezTVCR^_46F~)IQDqQ zyqK>;rs22`YA`=>pg}bQ+JQIk@GMn~kEBO+P%c*i3wX(!`!*Y z`zn)i=#gHAnZpGR$#P+E!B&qD28~4KRVt%uyTR7QP_NQ6)k;okCX>fQCiKXOlZAa& zSY2?|j!9K?9e^N~1~d94xO8B=ka!1D8ZM7A-DTi=QDwpzIE(=~BcPkX(>N|zVC+{R zRC+n!HBrLyHv*~UeXtQ^zU7*|e!NdYH}|MUh+Qdpw7dj&q6MD*>wv2^%r^EVI5*{? zffS9E^0Mo=3tGisp!TiyOU<( zw%Wpk19fq|=>)Q`>)FFFkpgY%#|*(G*)%W!Eu1?`@`wzV3|+3?C3CQ9EJdS`U~Slk z7V$kLsYNWH5T`G87QuWjEI%nZV1|MI|5H6DCi}mAq`85 zjpdT16EG6Q28ejfh5rw1SJTV;I7h~Zei9WKDo1tt;Tu&(Qpe5MS)9sUUav+j764(8_a$+L0}@jG zt~;ix?h^?bQs(AHWDe1kFZ8G-Wu9muk&4nyOJ;uX^w``;sZ?$`c!tKUNYyl!E|8?R zZUo;6 zw1!|@O=)v#Vd${lE0Xvq-(&Lz+$vi&EptYyB+N$FB@(Z=Ns`L+#s?Fg+&s?ImCH7QD+4~u z9l3Bjw|Derla_5)XbUbZ%n*~yL1S?NqE8|colMdRE}OHFzMx?WZ-eZoe@KdKkqHSJ zB)A!CqC2Q1hE1P{K4D)d&5DZh=6T3h%mDUp=Q8gX@rYe_W>RvOD}pI<^FXL!gvh#= zq<3UthMSFd0GK66(&7Rzx+*INaDi;1hP4S66)f1>0d0WaP!Trv$P24WC5QMNRcsB2NhcIf{HFQj2tDW^D{Pleyk&ieg&N6SKBNw8iyHD{WXV)*6PI8Ttuis~5n$sd{N=X! zm6^rFHRcS|5+g6jm?Dtx#6l-+6?G^|)0vH|fw+JQbpi=PvmmP&yNa@*bWY**!E`{Z z;m6KK7Ot?fwFCniT?!OdS(KUF^>*bsvd652MhGPYCJK`bMqqF%B!)MJx0)lgTNAlZ zpeJ|wAnS>RU;(i-AJod%c>_`lh<`33dV+@Q9T+VS1HN zG>*(G-n3T605uMgmUJ|+5>c4+U}IH}rio##5FYCg3<1m$Ms1Z4wJ8>uw+{4ZvdqD; zSU8FUok0>AnHEKAAjTtYFQQuRf@D#1m|9G4=3b$eqXZuD+qhzk#WzAA+!vVLurRDE zQ5TETbq4$-r3_SM)V72euI5;=drPJLZYR*eiqeZ9RG#fYg<`1PG2UaQFH&5hRpG*l z;`IZy-jif&(U>SzHc~f~Cy1>EJ7iu5}TIF5dwe_lg-=zkI zFWm$caCpgZqKe`rOO;n}A*QpTJmNj`BS7?fxf>)wRR{zbc~J61iJNQ=jhm6eDw?cR z!)O#!XSozrtbw93mZ&PB^5|RUOZ3ocm?D;{1X5D1dg?UXp}@+`MI#&f$8c5yF`O=laa=?Yt$}QEiCC}8xNj9(TfBWwd9#sME#&h?<;gpi zIb62(YEusOPH4_pULT7q(B-zN-m#BsQhQSHMNW=`r9`<}p&>_tbpcQ<~&T9mR z!k2K3+z2AVx-l|1q&ZLpD(vPw34AcNlsKx2TH|*iK>q*{r~nyFyrYU+xM&LI<8PV; zsHha1F3TqqnYb7PY|m&|PkNXkx(vB!fk4=j-N7BCTvRKT3sGXH7!%W&FK^u`#~oRD zBUl9+h2HEEa%*lW5dLFOdT8J%v3HczU^iQ(Apa%YswdSZl#s5mLqXlV^MZ9V=e)> z^C{N%$uwbDo0Zm@C6?aZLM_Y6rB&>R{51D461hD@XiCk zLYRVeFR!D!z*9nNnWrRkz7(x^`JxNZzg2miixE_maVdOfhJZ1ZIsK};8 zMz*+)NiW^`aEo(x=#Duul~_LlreXcEqNWR3j-${%jT?ZHx1f79ky|f!?=tuMS^1QZ z79crTnJrh0SYYukrOSg>XNslVGWlh00J@O8TiI-u1})XFqG5k{c@r=_TIS3(My}m1b*Di9k(iAPEEC6Ko2AF*k>fAgRrRLo~0JoTSES z_Tl|DEhe2Y`+#_>ivZc&D&{S`$3u1pMK4_c0NT)bKH#mlHGJh>!$+d3MP}idQ7ADf zDEfsmo{Xj+KsHEy2_0=KyMmG@)!hm&D%!>S3K5eHw|5(Ya;377Tbab(72e_mm+bRZ&aQL6GhzCb9xJ*D8bsM|P$hcggRMsfviFIEkbp43}3nL`DK#(9qbBQxr623z5VRRHWGQMsCVNxJgxuteCi$ zsx0JURu>H4XU~}W4MtWy$te+%XDVXZ@f48AC_;lm#UaijtDYlBzJ;Sw)2P}m9!3-l z2dU{TeGC+l2Y^|bc1*jKFj2{_EP!_lHx|DpwqT)n7WqZ)5S+=CTo7N^W?GyHeB_k$ zx`XM3H%`;dDdmcETEn2rQL3X%_?Z{7RHUKk3iltTHU9w3%ysMfa}{L2q{~-$$~(n) zg(_s%7IARVz`Lc*%YjL|ZHAYl;*b0fC}i#NcK1q!nAp1XnIGvb})ozi6Njiyam3*v>ws4McxvE zk+~51UWnGDT)V{SsNidd@^lE~FfxGE#FkLge9Z{kk22>T)I9<0f?FzdfQmN#< z%_LX$^y!&IJg;HbH8;l2;h=L4Uu&s$XIJmdUX$YEz;}T11P7h9zIBo%^>fmf*lN%rHaOf`O zTmteLQmgL8nv&C)tTEyVafOnmD84!@At)OhMl8I^V}fLCXO;q&d>)e@C-0Q%L4u8p z+_i{{iqnf3=c)_cFnL=k=Lkxw)bPDRxpxG6CFmP&R4$=Py8u_Xfnn#;yj6MGVgdNB%85iUlsenT%BRCWky87OQ^8KT9Mr=gA zpuJHjkhgBwsBp^#32@4S1wONh-H5T{sfkVZK}W#X%&L`QJWa?}LqBr~#6$!cbmn06 zQ7MMV?kz}C(89b-#EzBc5msXMOavG)0L0i#T8`~F0J@D@B2_U}Cvh9vDobk1jD{-k zO~Oj;7>%+iAfwErDVbAPVS0h!fcrJZh%@0G6Ib zQdooHBE`|ws9Q)g0_zB&ZnS}aOVq{MWIeWpo((bLD`svGJe{HkLO>>t1Iq7D#Gb1D=_6!SkRln46wqbtW+UFs$v05%+V%P0&J>a zJWZ(*2Q+b1G4lpfo^y!S!7+)L3ruZHpeuxMn%n{91UB>qNX z;2ZB1Ko#b8tp-TH3_*UbA!f@lh(t8D+RGG>^Oo)Y6Yr!rkiD<3kkZ4LQ+=+ z5DLZ$)!c{)Q&(399*_nTve0GU7nVH6p`ZBNGH|=46n7gj!fs8KwnT6^Mo= z3|nGUbttGKN=J%`dg#wml@bf+R7o4EKtYPKq&T82!EMXMP?6=^F20iA>1HS4Gs&17 zp^*S_K#sr1Fi*KrondhJn<--yK?N!10YObMuxEJzrE$2R<`T`*kR8cG;LzlP7+YS` zwHz-;W+ekxXLvGm&K1`s#;l4?Dv~XQP7uOWcadFcyk%|E=-3HWDX2>>G6@|jp zg-ok#&uB_spf#j1nZ&^YLp&8Lh)+xamjafi5ZT{oR6N0R2OZF-Bzgz!TMMe6fIXM%U)aXu#KU^ zfA_>YMyo}48D61UL{+t!dw6e}0?HdT!D@~ab|IGxMvE4jr|i)RO0`cD7RdWYbGa%x zkSJk>I>m{gMyX(>jjaOcl$EjlrzR5oW%5=PdXp#Wl}dt)LiaXrX@g#XsX;;_Fe>pV zJVxoLs-5VYd=XMyR8j^DjK0yR31nFb%LT3$j>3*%dFiyP=vN38V8X=_E`|W6P0HEr z3>ud(%0VQ>(*Bniu%T;^WWf#=QMXgYdg4_PkQ3$$v}{-l={cUU7|n5P$=O#mMS1wb zVI993l?Cg$ghXr&-OC#9?Xrt76>q53wx`ORg8s!ux-CP8(i3{(s@b`~aUk!>cMs68^Mjo11>l#b%FpE56lS~4}w#F5*K(_ zkwx~gC|5y87Tgj#n5~GW;8Sd(qp=d9po>>FN~kue(-1%)12Qm0QBqJbz90>=7?^^H zLO1D3Wz@SSrAwfhCCd&fNc)o&uqy~nj}!Z|a8+m)YaX1sf?S27Oc`24EW>QRh{Xsm zX%MvFOGbe*JS@Ntf{#`oW{X}EA63uhe%2z6smD4^ZA?wGcH3d_X_!97U!z7)+`@QZ z465|ZCk;n(#WJ9_V0Vb6EHet|MDvBG?wZ`-o1B8EWQz?&bVw}H>zP~^6f$N4(^CeM zFiTa1q+pI^M2c2Quw6 z1xpk>UXfbpWq6U__*7 z@g3W7xpJ>|R6MaxBC}9Liuo4d8<}{oa&e3)=iHcvLFF5lRy4C^S(q_Om;okPR*?cy zR1!*SN>fCj4j?*Zh9ERe%0r@KY>|;|aVpx>z3&mCrgjKwo@a?9?>XRw8ZZ=s%xg=c z#-WXd4YU>!!zmVSTHu!Aj9w-Jj59%VFi0tvvWCc!Yz?KdqD#+69id|S%;tCy5Qt$S zP;*C6)@DdrLAkChoQxB&i@BznKDD{-8=SxxmVr)a`6Rx3zF6qGm`UI4DCjH=eHjx1 zN&+h|DP`9@YvXGz%CV_%m#{1Z0QsqrIkP-Vvo%_5&qyv>E>=s$%VNbw@}x)36}ke8 z&uQX#vdMmy-aw*Kl?+WGTQo+lc26B(1-<3k<|1Chm@JuCiHV%Txe%n}jsaQb5N~L% zzBJ4&)DbRp%>tY1D~75ngj38LQ%+{Y9r%mZWl%6X2uP@A2pQ1FWQDj@P_PP;oXZE6 zSmSc@cKtAr6HlP^V=6G3Ppf34wpt-|8&m@-yLI+ylCCa*h^HgOe9Gn|Wtd9~tATKY z4~6%N+?WhJQP=Ai>lw}{%F(v2XwitO_B=3f3!&J{*SV^SkHN>svU{LfK zae*@84_RwRYf~vp+O8bkd^a2SOnI5~mTK8XZRkCPD&%E0iSH=m<&9Tq>jeDl#s;t& ztun?I$|PP% zrx9n5K{!2HcP!^5=CKm6@x~$^+ft$GD-vI(OE2_R3yZu~qR~v)-i9Kgaol2T=~21wg1puHYzai(yGKvw}+>IN(xLtz}h+-`TWy>MT>VGQ&?sAmh(d(9FklB`M%L zg2Sb!^an%)Go2)`m6Uh8#px=c=|tfP*o%*p$y{bMOz*gtV#Yz7FffgC)bDYOx+PoP z>nuS1N^H!u%Rj3~?t?O^(l3c-&=q?yqd<|^vf1r2_Y8XNFt{p2tA|@D10^U_18Yk; ztZ0S_TKPgfmQ+cr0Sn z8%#>oM3#a<0IlNU%qZo!AZ)%MP=Wwgwr*iK*Q{98=>%#BAV5aL2-VP&J>syiIAW6E z=&ME=R9lhbsj;j3lky=^bi{P|`gqAI=volT?~B~Yb5cG-XxUH#^s)KiV!W1aVqHvK zKu#s~LVT6yv}6t%{K!YJEUl&&gVGqHtwq^du4ES3*?aR#6nCv}qD-4ciFp(mCNE7)JrV zVXxcu5ZGKry&tWWYyEB=IqSRv;wT3%8OMRZlp-4iEE(cxQaPv>)WjH#<_d?$=|0H* zfaeu>2naXq?gxmOI}(LeolnY*;MpDspCni6Foz0d-$DbJuSuG`eTULv8%dP)EFNXM zRZGWjKG9G?XylpdYGx^TSR-d_29`4rjo6wsXk&}&{1*|eHM{|V_g5#9;tW8tXlqy& z%vPE`)}d>(lS)gnHL4RfqHd^t3tb`eNK%pvZc0 zf{bv^OJM_bzlIE8tT6C}{{UoQBLGlv5rNvop&$Hwq>5T`M^_aV0yqNI$9o8Q#j%>B zo4gVcXkE*_$kvxF1bJRmu87!x2vtFSh;X)w{BlP;z*Ux5C9R?^hBFueo4HkzgqbCJ z<;5fIzU9<#5ph$o_7anS`MS6gv4-m7{K|oKCd{R1DHszJ#@jcSzC%{7kdot1VIYcS z?ZMW)yE5fxCnee=uO|wjHHNb^B63_#MG{!u4!2jA0Jf+EGwele2@l-*3#2y*mQP>^ z26j}wRd$=MQu<*o$yExt^}Xf#YxG5l1<;_|RSh#OTwM{Oi}bKe)K5J=h?r>L9L@2V zg4<{k@P3j|TPz{{#$?_90B=yED_nZwyualpLh;dauZliXtZf)NWT%8xlKESl5J7pF z?y4%s`&ZdSAQQss4e@+jtZNJ^dlp(8moq7B#dR6iZsGO@{6Xi52+f3umj-9al`=@w zwDO@gLUgIxQ+-R289i5NaV?qKKm~AHa0i$HMK-`dhj+`&qC&P*k}KT~Ov8+$szg1*yE0r`n@;m&j$g zww#D0xS(H>GBz0r3b=S8!J6hE`61*)dce;tCO!%w#gHf7hWZE4n%QdxT`)-%u`He^ zA2)P-VK#Wv=B8bW`>lsfQ3+-uvUWzM>g{-awE&jDg}_Me8==%O)f%v@c0UDRS6go%@0x zVI$g_-sS`8pDo4`pl8iPbSJ-Rip<13`mf$YxDmdriL)&VBOV|iEs?#BH!N-(!vYmK zt(E5LZVNs%NxSwS>u2UuSx`qZ-z+%{E?A@hw&3ofOj(A~;vg+igXfqkL#;8qg&8Z? z6Cmn$+$;jX_Nnxbd-WrQE8QnRXq3G(+z4G|EDD~4I+iyOk-ABLIc4e* z;k1Q4XZYdC9KJtG8=w2HUeE>_O29dagMH%TRN4z-<7Q*{v&Q^j&V=V!wvMr&+a(*P z#Y|qELkk|T28I$kKCi+X`xQz=wpvY<3aD+1EI{87gf9icKZZtXRXaBf8k5qyPs#~u z(D^Jf46FP@=zw6OyEQA-Q-=6LF!e(gvf=I6)>swPWX0WZGT1Pik282JVuLsBih~r? zEim{h>g529*R=$wmM|?ER81xGH0PF45K-F^sncW2B(@^ifk=T0r(!njj4G*25m<&C z$l0siOYL|r=v=_wMF^%<^Tu}MO~P#!G5nT3>G4kw{ibVaM+ma6s> zwO+gssgqg5ij}qMRiI~3f>mS)dpj){Y}8;bsd+CjZXARYSB1C10-=>rw+mn?-;x}! znwV7XmSKIKAvC(@1S}SjVVr4Y1A_-u>y?al;Jh~oY&=vl6R}ZVqXH@+dlL&lAO~bb zhR7ydRq`U1;oPRxaH^va%&6%90Ht(OFQPR9R~ZwAm|Z-qzzvXPFq5_Y*AI1oHfx5+ zYBaM3FJoNC6Qa$3TP!zoS+=5Bc#fHj{bhxd0dWC%cS_1@qR5iX!^Bj9d5by#%#0|( zZf5x7qnt_wOEWD{u*T48=3D7}^s#tAFDz)-&2Cf^A*tFB04t0z!bA?J@>o_#!B(tI zlZ+CblBjDf`U?X2{{Va@jPRL4tXSJmm;h0pWA_a;q3I6bk7$g-C|S9Iw=S$-GLVbx z*^qF4qwKnklLU?`G&)e$RTf`X{2=?`%-bgST+w--^e$ZjEqx%sLa}Aj6;#R)Kr!Ki z0fD(@DhC{%VDRB3Te2?XWruqKmJug4pbLSPj{b3tkZoUZPC4#Q#%gDPo^K)H*8uVqw2{mjTs!A85D_&r?M%&D_il`Th zV9>_v)we?(kcTODVjHQHG;sNrmHxsxh%hYFq#Bfn?JGMa=neWJQP3d{*+qx|hZH!6 zAO>W8%4$+QkA)!ZH3~0QRmuXMjYit3=F(#%bcj8FO-xVsu9z$@N9ZpcVW(xrBw5h{ zJQCzAw@}H2u`>`hOT!S6dZsS;WnRK4fQ1$w75@NRJq@o&7wd#o1@IC)b8o# z5wE;o7ZJhi60TDVD#PgEh!%(KguO{cQ$&F>_A=umYRt1baz>9Yv8tqfGU(t>& zpqqJx>AI6;%uDwXpOO!ITMCyS4yauX-POUvpfi-A02ym>N^%!OyNaU8teu*IQo--4 zMN9{olquG^6;ObccF)7hEQ|wW5*<*tIe}MbE%Xbfcom zK^P*KJE_PF=EO48j|+#+sca`c?zpLB@}gg}T!luyx+booMc_EV34NmGB8i05qjPLb zlBFc{mr;R+;lmp$Y*@BbR>GGMOrX&c$Q2Yd0DyoacLwHNSqybjM+d~>>)8E5!7<)4 zMbQZ%A-rtJCEB(O(Q^4jxu{HZ93A1~Gs!JcUu_Nc{*%G>Rno#( zqjo?oiTNzGm&L@y2!QR7xUHr?Zai10sQ1tM-tX2W!Igo~EzzgYz{;4LgDO`_AaqGm zrF3g)PL)wE2cii`Q5t1NVf8&OGUkv5Fv|gOkvBGkOkz>QDHja9EzMDjs>3OkJR8Xa z`+Rf}--*YixlDO6n9PE~YjtbiL3ls#V-DzdnQPM=^6<yO(SV>}2-6(6iG3y1DT{LIpuJ3{)W$-kWR!AjnYS1W*u{i~OH&&V zY@Y0cnXcf?|&YbjV4d{NS`^gZ9C%pQt$66N%~#P6azuqbz`iG3Kw zQ+9(!+QAI{L(=0IeT$d&Zd_icRV5+;#m$I^pE{ z5O*$bOtQzYEL;^Zf?8qfqs2jdHJtL;W$l0EWP5Hy;*gR7@Ii?Xm5L-+qcw_j-zxVC zAQHwR%|#@lQ&%Zd7FD$|E)Pn!Bq=Ug0vIrYah6t6VG4?wdD4)>6}J{Ei1&^rRy3Gk zgE2c#Zi*4nj_}>%zij)mn7kgB^deTFeXL_`GT|e{Op)f&E%0YG0dUrZWZWvsYzl)> z0oM?YD$|8Xj6;tV$i~i*EY>>p*?$1Tb%5qLl%vCBLvz=o>dIhJTHVy@bdt|3Ri z>GH)nf=g&4NMP*>>iF{;v%!>s?BOkhabM`3U&#lf^h>QLVHjhHtYu4sfo<**p&UI} z8}CEzqQm+j36*Y=(=z%hS3xWMRv$(6TgKWk4+ORk30G#AIz1zm(Tvv@0>$k|9fUnc z`n@V5W7O1lzXK?@G+LxM0v7igN4YL(cDP8LxO{|0DaW;*D61>%a6HC-dsWyWfo3ku z8iwh@!vgy$KSpHR0ZaIbKVlq1<~?_eqU+Ze7^;l6tjrjeYvhGj`6n(8_Z&7pV3?=O z0ZQY{$NbSh`%IPjqLio12JwC82|i*$72?RIJjM+S#G%kGX>hd9Q7YvGgKH3bL;}dA zo@UiKTnmYKh8meOI9&t=ItE7IqvmJ+jOHr3?)m|W9H$r>A`=DVOMpbM)*>Pd%b;OJ z3jn#8j%tmBa1BthJ%Z~h$@>)C91paM6o=j!8aB42xIvMhBQ%-bgv7quRevy1Rm(8* z`z8|o;y5A@*FT&9GEKO7pwXwQ@(2r)6Tnh1XgF(1%{5xVP;2X?h1BK;? z__0n(tTzE7lb#rcsw0?z4hgt-$)S|iFn3}!beTCw5xQLP%%ekNxpKoVVl^ySwRDbz zmq`s)GUYs#?tv%Gr9%qWH4lWo!In}BX4^e%@5;F5C7}|zT`|PDOu!=Eax3$wD5c^E z6yS`?i4{;pN~XTyf*BNNRZ7Ce4Xr62W2TB?r=)zlj}!;mU#SN5V@$C7FB&5?+M*Ug z*$5DmDpf{u#@C&~Ldk7JV0VITURdBI#2H*K{J{e+X;;X|ny*<1m3}l%zgm+P7r}{1 zQt}AGHJ}k+F7lv|Vi~0y);B3bRlr)xvfH~RRtf4 z^5b(+p&oor@qGE4P;$tvP_PsTSeYf3*bajUhk?yTS5~2cyvuy%mCX|8MI*}r5|f@c z7z6r_ruu-~%3dXS1-go%Hyx8~maX>Yy*|}AOWZQ$F@4ksAxrt0Ko%m`j7$&p%q#wm zS(cskOw`?6MLL=;`PE!oH@hGOEQ4$Pa@{pi%jj0sz>&p!%5yYZQn;%SI3iNw_(rW# zR9ehEwiJ={hN_YtZRt}k!U9P+!bHaOim}f6HT6Si6>BmLsjeIh1#=yeg{(W*7mG&V z`>Y#*R&kG($g@eU{2bFGS4CQbE9ng?g_J#o?NUB&nu>~dMi-MMnb<%lKXS6F9shBt$n}KJtWfH{{z}Y4d<8|~ZEb{itxU|_s<2H&}BN%(;B)xU3+e~V^ zv`;vS;)0>@x6u%a3TD{wY~2II-R*=Q)Bw^DYzGHx#76$euReA`+X_Dd;GysT0G_9u zD(J7@iRNVFXwc(RSQiH1eTwp9uU3dLbychov@w{Hg)?&8YhekkWNl`w=$OhKBBj5r zG_c%%_c4Q-X3tc|0HD@TA5{J@B_;lV;+ zZiPK2Do;h{Xwt9=t)~g_w=DY6n!^q1J2|h$sK#EIieXIVL=}S8;_nI3F&FsD2Pc4< zeT`h$XcxVaNpU))M-kZ|w=k+%3>FT=ShZDArkc5Tgc=rOw5p80MP-hSyTHNb1_5J& zGhw;sf{V>cOi@y(MYzt@bk`yA5%+u42#U5w7#$?2s;xl_5U|~qFMM9^MOeLnW{`9gCTP3Tl!#pq5pp2;$RPW`=D5k3|#F(HVL}Q6WS^~4lnSGp1m3Wp4RT>R*&BG5kuR{Sieq@J1MlcqOd^;RMq^lzLxzd+RU{nKiY^ zIlAb!Krbd>)f*#qOey{;E!|@uydx43sG+-NH(sldgEI`U4~l?GGwM6wZ;cp0ZbYe) zYC6Y&W-Nk~(r;V#Q)5IW%K#T z8&ud3sh46#_8m&WYNON#0#tIrBa&AOWCdD4ZW5rpwD@M5F$9_(@G6`t z&{9V@y~fp<2(fns>eZwwN1h$@g^fpX3r|*woux{1kY0ksyf8vKTVS&fEU{%iNtYRx zzey=bB_zr^WvHvUlOW0+H!d}A)@9YT0uoMjh0H&hU~+&kPzLPpkOgWeYg*OT3ew>F z6^Bg~s#I8mP|DSmv0PHdunpGpIY^pN$IFvb7*U9wQ3UH!vem^HH*wbsNOP(-ZSw6Od|Z zZPqGSqrp`hm37W5Xvjv@3i{g~5=)ZZCq>k|`+dnfhfIWACTRR_g~J2k+yrN|tzAcPvOrsXQteqp%u zLo<-Y5l~qgURDyL-~7bHyL7RYJlz~XzL*9v{{RBR%d2oEq1R951nrEn_Tq^7_-sA= zivueMMG06BtWJP216~_qB`>+0W9W*Gwd*dCkC^!>!^nhQ2T}%d> zI35O}+PaC17PHdfThcZS?j0FIUgNn}APHuH zU@=^J6fXn=!1|Ccp2S7PL2xzaLXeklRSK0`P+HEs2jt4&u~VF&Afs+Yh?RKl7FZpG z2>W*VYo@r*O$J)#+F9=&a;2`{i4$`*td~S>eI;_GR3T(7Es@*aBWeNnrXR9^PT$U> zv|6S6lL5Pzgr*>H#Cytmw4_{8t9_@<7&6CG6nHZ#NVd=$V3o^Ow=!YSlukU8n@@!* zI;>&}#OBkOjh7C>UQ&>ys63?o%JSM-K9$-ZUV7a3+_)C5&-t&MU=+>x{YS7pDa(d+CqztYD}Wxeqm>W__g*ds4+B!9O$PB;z*F07S1w z5N~l67B+qy4587*phHe1(eGc9OtDhvaRnt8#1yK}EsnSbYuc##2*&;c5n3MfpYOyf zNyr%C70DOzGMsS3_>2JX{{Y4_ScCA7Pg#%kIbLQ)AR(*aDuLL6U6RCc)5;ovfP5xlvMab8G+OP6edD&6j?XYF z8%iWZOoGRJEhQ>igAUeOquH-hT?XU+vZXDTU#!|?+H>Veb9^}IVm?np1qh}$(iSqo z+!CQ+qN$x#EU3Swz}InzG^>PV%h^n&0=(8nQ|qPGa$iIeGh(cdRd{I2KRYg($})s% zZ_JlD;ML)eSYO%+z6cJu#c@{WX2bNCW+Oe6puE}2iP&+0hVA0cU#QU>tsNJ&JJ7U2 z;6W<%YJefEU9eH2!dYmdKI! z&-WdVl~%=yll%Hwgs90QpPnk?=9*dgC%N)Y{F#VrySR9)deU&;Ot+MK$tnfbBIK_S4F)#uJjs2;{{XOO7vW9M#hBNR##Bu% z>ao_(!bKd@!7xt3QMsD*iMyZ>U@Lm3A3`l~FWrQ^mUQhY(6<>AFhL)tJMU~YPl_Nt>mD@D)rJ-{Atj-6nX}Vk1*!-&oAU zMxyO1jnOUDR+u|@y7X+pxGSq&2J;gB>A*WP36ut$cmg!-P@LcR6qksI(tS(OdWjyD zaMQd*v$Q!hfI&FSa|+gDBA`siD>VM+@+Y-^gQBuK^5`RqFx}q=yPGNC{3t!z zS~xE)Kw$GI@SR$`7IGyO_n}-5j__q42%Dmd8E|?qF^0O7?*;b?mwizkZF07|VR#q; zMDuA2?U*lmSBQx!#J`z#x#beLenM5h#)FLs%Kt)1!( zuJGiG#So1U8rquu$HUe@Q7^5-oWMqvDX&ln^wy)e@It#Ot_Z_XWb^iP8;%}W zfTJpeA910@5)SgQ1Vgmr=>^s17+9q&aAT689egE9%hRMGk2Xvq>lKvOF0he0_PV{y zCSFH3DoZ#drN!a@04Y~PyNPm#^4ut8sia2N#`11z;c74z&u11PwOtcJkX6^JYS!Sd zOgT$rmZ=j`pqRxc*aFOa5}}HE~IhHd6s_iE5il@pp028hxmO zM>%oaA+Tb1Uavy^60P1})-?VUGWrP<0G6z%O9qQ)0B z@u9TBI|QNk3k0n0sYE{=FUBXlHMMnzhtkWvrT;N~HmvoL)stq~KN?*><|1hHoV#{OTRgtxfnj zW)e0#=Nix_D2}5~iNXS5{EGeW2R^Lh4hUL-sasxCZq_uURBJLQ%EYm(gya{it2)|#|h*8)<62}XbTuabIG_+eK&SREnvX&*oUt2B~ znd0M_^B`u3siv({YN2!~<}wlJgKuc?_+cE&H1Z;n@<23?eA0&n9V1YaUj$#XBMDmt zY6C;KNK=@0a%j;@F{|pjl`7QM59OLGM~iFs2mubvsg3>F-j*Rguxd8>!Gl0@m&>rg zm`1VSs#;VP$N(JH(tG5CK`w*}Taw%<)*i$#=TPmCnvIMiS*B|Z7 zZdXntS2nc1#0ye{ftVlrX+%M1rW_vHzVRFwmgpSCFotSYnQS^SgV}_Z{v|6CEQy_T zqbh~*n7QAr3IQ*jp(i!Eu$N=@{*|8CJhR(cGv2s|c!yUAdI}9gq086WV-;A(WGo(g zAxAp6+-A8UgBy{QS?yzgsF2Wu?UYoifHyzI^kR3f<8Om$n9QQb3qZV1Qw@~_?@|k$ z2#vdf65&6iAHY@1S}coGypUq$Eu=5Wf=lHA?R#8o^iF;Hl?^{g#k3c$D~`ee*;RM% z5p^z%xl9Qzq2?*Ji^6yMgm2jBHTEih@(y4+#Vj`gW>VazPiNj+s({0Zv`=)PrRiL} zNkGHGEhPL+#cU zpv0+h+nR5uaEi=P4UyE#eUkg}(`5WywSZK@n?g%Ec4?wz{R|-Z4wW+Wa=CpjVB@0L z+tFUDDqZy<3Pof>yqSaJ@<;x%9dR=$MdpTFaw~BhmMfS|MqAseDbUec@dN=2i?qBi zmQ_g6NPBAK>x`n<6oL*IQ&5yCZTK%tFn~33Bjag~syzBWu^O)&w+Ed>Dbimw#p5k= zb@_x`X25vjTqu-!o(3ZHtoMqrBU;||5xlCkd=x~NTO8a5ry9_Lr?2nG>CnJOjs z5Z%JN@Ift!L|JgOrW<3j^D!m&SA`{}a*_KtJBcY_QMRyj3F1;_Jj43kuW6Eq)BuZD zFV8@x4He7^YB5%z7NR|1iq5Js;Fg6i4vLpvf`U!VX56$mm(XSQiUsX$Tp?R5>kB|_ z_L_|pRAt5iUNdlRR7IyLD$q!6QYuBx2)X9PH!e27TsEnN6|Zo0$7!m==R$oHxrS;| zIT(1qISIU-WC?PcZ7^;S322WhFY_8PSq-3TClZD!RSYw%^U9%(5kydmBaD2v0{g7E=;jlCj4dWlKtNg@QKKQLYF$PGGL8EqYbHQ%q{l*jg=2 z_a>~(7;B(#pekJtaEj*by;fbTN@ffDc`ADfd}3gf+QV#1K`u7MVU2c+Fqa@Wj0;hv zsy3ZwT&F<;?F!PRK(;3}amO*kY2z(gFdaDs4W->%XT${i7567X#b^Z;eyiXiEFM;h zqBk_rCBR26`-w-3@u-y3Otb*l6g@{U#-sO=R3?yZZAF+|EU3tU)&ofI_GTD_FgWeR ztg_W}E{VFFkO4CzwTPsls=HhxGzjtqMM-Ng0@qfWcxnwg^Gu|+1Bz6}7xC%NItDFnaZWiQr9L{hG1%1z)?(b$4(7Oo!UVz zU()&=dS9YkzoPmtN1+~;Or>Dc)8CVd!Jp1*Vad&9oS@NpoE)s;mVN&KNMOCCUBy5$ zF-~~Fpu1H#Ph^9q>qWeoMwKbVw&D}QMZjLA143HnKJfY?AyIl%VH@-a8B)PS{$vR{ zK*bXz^l^lI+(5M+5OXS&RTX*^d!8l24}O*GsVG<@8TbQFIYsJ}*vDlbEA#!>&(LO2 zsfX;<293^7#hu@WIiChqYu+()@skF3iFsUx_jw&VtF#zUe)xD(hA4|rx7aak{>g>H z3sl@@Y6!9w-55QQV5nCHU7g)dXsCBqT;E9+rA=7rXu4x5Xhm?L&rl*Nrf~jQTBaP_ zT##zpYEtNS=NZ7ST$i|+bQx4K{sNsCT4kp=Nx1k89s?ATuf1xmA;ftZGGuq3EVrC=)sOUuwt>&;Dv!k}HcfyG(~`T#t(gxa=* zTra05MVvCVnX?{;$%U~#$7ccqW*yXGZ&i27&F_xumVoP&V+43ntZ_zVT!ZrycLZ@G z6K!U|ZYPEGdCbhDlou^zz=ha|v9;)Mr!^Ls9fQjP+XACjrTbk4meCo+qzWI>kZkLh zr;zJXrF75Jap*;;FQ5>zKr%=%U>w{x_iV%29;gBk3=KP)xb4?$o0jI}^lmC0j(QNH zCrV$IUGS?e8At8$PAh)(4x)vbEQsaydDUS506Oc$sF;enw>y}WON0{qcp>kp>?)>J znl$afb1p4|qF9EP#5?g48(xHIc>e$zw^a`6)m4nE&11nn*)i~@eZwC?EXyibh4U;? za#(>C=>e*NVqmoUrWy3L6bZzkmWuB9sig69C@?K!WtPKUw&IfNO`((_qr}v&uvc^Z ztl79X5+Tq{oGu#LC%X+bN}pD#-C4C}=&R2vGc>Z1nV=x3xQg*Aq5Q(5=7gqc@=%o8 zFHNylM~Nx#32R^a6_o_Km$l36mlY7i0_c|p*Se`fIeAHQQuO#xmloqCAx&PwC%Uzz z)hdlh+1L~vjjhVbLF}Bc;g8j_ePBy@O?IZHvWZz?0Zp!Q+!q#@Xp7M$;e{)qg5W!7 zS^^xUm1_;+7_>f{3Yka?R_X;;XalQjP#v>6N@pmSh~!#vQ;bhL$b;~%vv zV%OP-j|@pECMztjk#fo1QscFZ-4+iJ;klrSt;|AZo@GyW5i1T>#0!ADqx-JObY2dw z{S1fD(b0V_U(`luW!_<6;-K_UnTIdSH8D3!m|{j_b_n0YaQ^_zoVUD~O=RUDC=7i1 zy28|vF68;Z$AuEHP?QlWu&k$g9KUfwqRCR%W$F}R6K)HGG{0!N+xODEp@eJrZhmCW z7q0&0(OyTsDb}`(7$^vfdJ>w?66v$FUT7*(>_-87E@?ukd70pX;@s^$k0J`PjSXlY z;9T6)zLgimdq1gHNev{e?trb2FhZuXMvG$Q4?o)L{f(hlYzm`tlQPDa8x|=6;ti)$ z5NMb_heNZZ$Y%l*ZtzubOeJ6`%Otwdpn~X&_K4I_{s3>0~Y`1?AO}jN!AaWey z$#WnS4nU2=l^2%aw{>}_7f}>CxETQ(2Es2v4hWi!uvDj7s+u1enZF1o6~UB@GC~DjBcX)Jp{S7oUw}>NMjE!>{oo)~zeK!hmQyXvXEap1(UO9C*aarS zB1(cVPJ*p>Ll5~7cn zJWPekkcVPHiL=lBr|IOG2Tv$@M@OD}{L>)yX|ax6pZy%0cmi1izxb~gSU|*Z&k%AAvih#18V471DMfeE39UJKZv-r9SLYCmgkvw{a(j@s58_sM zN)%MbXjJV+t{&71?Fcb$GUYI!m>6!C@x`otquiGG4G>r-z6NxRbA+dBZlxK}mk%O+ zL_|$4jCxd3t|w0dykW758hgK#q|Gi=*1OFCS*!O?Rmu7jzU zt5W(`RUuNM`YWYlH2tYc#l;}KDag&jbjLa};B z*yGcqnw0GTaRB0AKjA4+H>e48PDT*%qv(2?TOo9Im(q#rBVzqLKq{D zz^@0aPZj=A0@KSaHxL_lxkTCIfdajDOF!OFQEWtoOQTF<@Rrr&)M&+`Fn}J)C5NL6 zd&33NXGZvmx$h*D=FdnU_Ij~2}^C#fZDHJh&!7F7Px=iL{IZ0mUPcrZlQnY(}jMM6c5j zWnV_e(|++roi0`$mnu}hr1ZGpSSnmzmDZ(7jz|?R>=Nb148E824RkO3B4g<$CifcZ z4-PNbS{6J@SNm}`k)LTwWWjDO3I`%8;SX_hv&%3<2Xn0D1`%pjYIWi#ZZKBd9%ZJd zyi(=4+%#Z;@)lg6eg2x2CI>)8*DPXkK%2}t`XFUS4E`KNk}5-aR}V~z$$Aks%Bh9I z)R2{SL4O!#3reblcJo$SVJ@Iv7H%qLmY7|tVOFb0iHCU*gQdaTu!2Rp5rDefRrp(+ zoRbUyi+Z_wl?!pOa#QA|`4&08WxQ=qMI^f5K;Kl;2R+4Z5m;mCTa|}`kq=us#ee$W z(d~ox=)RXP>8W2;LS8GSeGk$JeQsaTa{hzqxW7&Pij^*3Q0a9S=&gv^DiW^#(JsAo zR8_I=nf3$Ng+-Cd2_~fvAr7gglpbQpR&vbE1a_HtE+Mk^mb{6WS)dJ;0$jBN*GW6z4s$>zDzglnEgX&BY9^Y$c^oA#&@O z#)m59hX_u>px7ZnY{UWD625N<8{8OrU@K0AcJ`KJfVCCVK;}Owe!|!^KD`9(8bz}O z=Cf=>JgHr$kHq}~{NwkIev6kbT)A@P^?JDLa{ii?*1FWGQl(0jDpU@&E9t3HrF~V= zT`FhkucT@$Wy_ZE`eXI}8xa#h`wXqw(C;@j3Mj!~uoUhPn6WT$&5r5A0Nf@q#jSG< z8-kMJSK<<-WVNe?3AsadOP#ku9`kaka@~Vqz|FQ$3@SB_5}g!+)EdEDo6F(~sA6ix z!}y?Wa7&LNmKWJyxRv0WK+q7RwN6vgEZFFM7rnbDt-cz{PB~dFZsZ<1nTQDmNDHisN8Aq8~U$N|^!-TZppYHjWyKIx<`x;uT8O z%jR4ZsY<+6yOqp5$09}s$SkH|qtD0f3wv7@hr}TZRz|J@Q`2y$ma>@=>QkF4<3S$K zpu1s`Di%sAjmxs*4@;LxZnG(CtCXGLz92X^5GSc9vw4Uo5MbaVLSRL64Q9#$%+q^k zupoliOUE*%N^oEjoQXuaVTKn-AZS3<<*8OwcT8)dPyq~Z0l0{BZ*rk_V>a@`yc=B-dc!B7su%2>e;hrDsNC>MdB_!BZ0%P=nlxJH>zYl*>T7zDov zrI=_USXnXO-aFAVKMd)_E~_C6UGiYGZkn&wcqt1`0q-z^u0>3+bv8q0I5J$zXwr@@}$fW_lV1qq8g^Lu8rrcO0w*0-{|}d z*?&dD>8_*n)UU1jAF_Q{_ABfiFQrQRWZc>ITbH9OFCV%Hht=$+LRXy=22fLiH5e=r zvZa18TKcfut(^7@w^=8|zcR}QvAJGVS9MVU=0nDqYBErNY;CUSPnhOh6F8Mu~7LA((*s zDTFjj<;SNf=tT~+5Ww)8rddfZbB-aybVzb!m%<*#(y|sbha9GejIdb7@hP`gm}g+h z0b5Jb8I=fVl&0XrI-OO|e>x>%#AyWz73N6QFs!nccY{I9RDHgQUyfVStF29aY(A>$ z^ji7|{hIo!RH;(Fx|Pztn)?+Wpy{cf+0kM}puhSjHvwd8(Ki)^S9rLB+zUX-EU0Es z;6Mw{PIU?H(jXCWAWM~(-d#&o9tHwruE>#7fkFJR+=)`?spNv5c(Wm}?1*hqT_DhE zXBsw*r^Kg34)us-fHJX4uuhKPOQsqk-h4(>fFTd^7>UlU!+xR|c8kZ(jIPb9|XMp~*)Ap)yaN_z@d zh{fjlf^2R`=3xZB2FUF5E+ITY3Gjx^#8xLUh{*b5PU9*(oow(bAEChi0Do{!j()rP ztLUzYE9t3HzRA+1N|pBNSJz*3=yWDtKeYr0KdDO6@E}97tz%8f;uwrW)GRQ_x8sN~ z(9?1fsIak7>v3#Z+m@GF=}WiQd$0{v9MxtZVj<&j-~&e_V7ugHJq|xLMRm!jN-B*kjtrV`G+tZ!F38C%mssRAimKR;c%5T30Wym3>fm<3yzO? zX5^dqpoog$1B;x;<_AFS4JBf6oKpNth^|1JPOrnbYMJcq&_NQ*zBO=y#C5~LS1nCR zss8|k)bfiNHY`0!y=<2XnLt6v+FxDZjS~pq3!%-<@+x5g@1NmewhUd%q&6O9szaNP zcmkl+;wAkXpN0~Bnul7I(!yN0q_|3yf~GoC8DB*}l`2=?sZynMsZym%=~AV1ev_@i zge5_K7xq_x7__#=prOIWCw^Cha3N26m4V`0 zEZl7v&C63~p;yoWcqc}beJK^@n0*AO7hYgs;vgl4iNVCSbjQ~^Rv`zM#z&rKBA91o z+q}wi8Q%_!HkpZa4#B8$U=SXNbD}MXz4)A3AZOFOyKe-oTPt1x%|nW;J-Zs*z5|qG zj@h`#64I~IZeL>MKSfIV5@TAK3>;|jFCWW=)J8u2safHViJ$T8qb;~hX z2WB!1<0wKsT{7o}JJU>6%hAkJhvBJ_V z6pEEXo#gQo$KZu_Qf-PmfPm%v5pz#wcOdq|ohm4nhDsAGwB}m~YUqd}s#Wyy8!VI| z!Ru(mg0oRSH7d0ke`u8vfkG5Txl~*xE10UT2?X2=t!1H^2M`pog3yrzNmGe~+6{_{ zs6-05fHX>U@PFk)=)ctZrF1~+Qo7_b09)6bJAn30Hw8)oLYeV5R7dWih2?y(ob%Us z7c-py0450RI!`fBEUU#rOV43pUc)e9(frcRu69F}hRE&5G}fsN`5W31bxRap5L=Sr zO=K3A!d!R5D5P6YYDkMM&5_{qFpnMn?;h@xA{*RppFJ4$BP+qa-=I0T^u=Si(ktYN zD;K@7YD*fsm_)wxGjUfe4qaGU3GYM}Ri>DpXWajF1jOJ3k|$&d}Pb zg{>bbXmSs>H%f8)(c1IS;g)XGLiLt4H|E;6n#$8-J_Q&%$*d=NAgz>kxKT??AF^lQ zmJ`MkZzNRj5ps19hXet(r+?V+2o!cBI8@aw7)PbTW|^3^v=WL_#SnQ}fU82N)UH9$ z+J!QplQc`ZmwH|U_aP5e6kgVN5amCDMmoB2g*ReK<)OmK6IRhtlfigoe-f-9sXf3^ zHak1b`HI9AD$1p`W&VOpYkxL>m~0Lrs=S862n;W~jHx_PJr!xGp_d{nUlu+b`H4UWgjvRXvD%~*K2(l*@I zQc*H9e7GKAWtsrf%}no1mL$m`p;YbbHGHMSHByiuP9qpwt;>r1ww4?-Nk z+7I{w--*3yUA?T-n%1)i_<|!w*49JdKw$0wXokG=x(J2trW7$~S{2F;7T|$PYFrZd z8GT!*R;9hz9*wjul>opQPiCfRl(yhQszuBlsyC>?{7TK;H7)x|{{VH}Iel1kI$Vtr zmz#NBB?i%oqZpuP^~F%Osjq1cajWdbFbL$b5}#8_d5X3%aSaXjVT!+m%*3daMPG=Z zc(zfMfpT^Grs3VbBVR8BtSYZeXhI z8weGgmHCRnl0B0ATOz=1uEaL&BZ#_8EYhorN|Ck$&>_lE2H$L78j!`t4EU$6xN-*%?_GdHhcd77^yQ`mlo`n`mYO${7Q>_ITE~sHExhI z+LYu{RaiuCD=!TmG0Wxvu4`FZnh92|r7jz&?Bz|sWr(<|mYu1G!s-yjF0N(sh+DL7 z;-H~r{LdsMRCd&i^FaD%{f-!cSeG1!p%65Qkr~0~5FY_@j!XJV>Ecd-LPmdP?2G0Da{_sEOqU&~TlqEWn>a=O^Stur4$GA;4S}_I%&>{64hVi?JJ}#wDEsP%xkiesbh*ZSek_I8dxk~C=o7fIU9~+w^OvRu)>OQTXGbUy2 zDLo6CAmvsovg5>2zXZA7T;w!!edruc?4QvpOY~#w+ox+wXd+w@RMHsb@7;@JDDNFj zpLPn-Vkkj&f!>xaZG5oFN8;dAEPTc?!%MWa64R7i6lyRMzOb8FH5FT97BLsQN_)j2 zuIx=$^HTo8oZMAocp^zn`fz55tRw2MVl8N3yp4(DB!mb)-Qm!oNUs&pG#q~X1Z|J^(6$W40L3Qdf z3UBW-h8jww>vrOXqci}VX4=+retcv`6(~vYqxfTAZEQ#CDTj~Z%S+BTC-SCk_$X)r zZ(+AMpypAoZP9$kB)=53dl-6cs>KTnxNHS@m|3Oll8cO&IkU@rSh>53)wrrNntu`o%fZepiWC z6N|A1yXBTxw+6(0*y1@>XjBx8&IQo8-hx+n%XqHB7en`{fR4s(Sw+euPHvin|tYEb)r_o4KsL1eu!{n>Q{D*?OA1x+F}66A!fOo);S?9~WFIe3g_Wd$HJ*xSJDt58X) zS#TxE&F3u`=DM@PcG8O1J$Z;1ur(H#v4K`0kicIYa*H8w4o9Ls!wrhO7yJDc{x72H zROh8XO?7cA^TG8p(PSonzv2wEYy0XNzpv>uph2&?5C!B2C#np$G1YJTejthr1tU1l zCfl#n9LCNxY&RyS1fZ7z6@b!QD*~l8Ovt&~q7JU<56N0EovsD70;DFGvz5o@-1ch` zQl;6~NAbeG9|&cB1kpJKI_+D-em1srd+jhFH;oTwTKe!r=t2${gwo1HUt|;Io*9O> z6}p61mL)Cs$||v`>FM;$jWBJ50wU`cB@IkR5d&kKpnr1aUkoX99KFaS#^Jve$K;|7 z?-KWNVm+bJEIELe_TK;&1WxxWUAtR`dVMEQlo;3ZIAh@^we6L$Rnh30i!N)2Qed!l z;9?APV3+tYt4qWeky6_nWSrf?bVmi28x)c7tlto$DEewEqmS7yhFX7E23Cwc6T=8H z9SJ9Y$pQej6v0M9tLaiG+#H%V7mY z>ANcG;lJG|Td9sIT+=PctKE;ziZ+?4mfLqyK;pYC*%q3$D)(Hmds?Xq=<+N`>{2g0 zM%wKtP~oUi$)R{4fJC`pOC|*NuqJ(J?q|?<;F;E?eHAJsB}(dd4=!F>B#}#oKV=sj zST1V3ZxoG%s(I{+0cq1D{{ZEpG_-RJ^o6Q0ZH=|4AG*bI4ZvmeVTP;ZNk<#Hg8^^4 zf~ms5@>(%&!5y3_){WmKP@~xwtk1$2cVheYE>cU-Dlq&6Lu47@DGHK?RH#eUoYWvT zXSM7`F0oEtTswUK0H-Qc6~f;ses4lj*N@vJkD!M(DpJ|kpBm*u29_PUh1ypu9xmrn z)%9jy18BtnJed-qN)$eYs$WPmZDodrQ$X6wlh;jlip&)(sX>$2&18GJ376U}w-=f> z9nYXS?ihUsTAEDRa>I1N9DD0{Qj37lXA<@1n9ARTL0yNM%hqzib3zFA3E+WRm(rzl zs6|4f$r6@);Do7t7dxt8!}QpUtYX_LPjKE)?G@V6wF3BFSK1H&p&n*$afUVYF$P0| zEIJY}`Uu0W{*^Ys)Q)BJJ7MUzpsWbuFVWlai@#`utXcM)yv=LGF&!Hq^HpS^AHr(KDhw*vAdZJ{Oo@{Y*42K`BZ!WMZQH zAWB%1%yb$~1-nJbzg5C8*5X8uL+d`1pWYY-1e{1HWc)! zm9vgMy`T9_9Oaq#rtZfFV|hQ~HD0;@02nXkKjI0g<8gW~@dVem3WX!gUO{?P$!tm zeh9Csm90Zmj}?>=65%oDp@lX#=)c6ivFO>HzM`x38asSf@jI*Hr*&7PP;Ki>qF3n5 zdHgV3zm)ZU7?Wf1U&JSyWb*WU^4v$eJhRC>ui&|h~$5lLjSSureSl9e$ifJ!3;=ll080G+1~0$vc%Rk3h-!aB#5F!V1O7z*uBUP-v5pvC$VDN))Om znhurrdvdB<=ypU6o>arYw+dB%kNjdUz5Ov8xBA{95AS?OSLL9MJ;^hJCg2Z@R?+O6 z44{ZbmK9Z=FmoKnPr2D%{{T#l?Mt&K)%y|0FuvyFF)GK0kV$iCRgZr>_Q_;3#BgvPMNHi zsKYZhoDuv}pDUIB0Q;0U?z$LGG(pGS=-1wew*LSsb|*fGj*AWd0K-EA z@{yn3`3QgSpGt{0{h|lN87No~xCpW=$1r>eVR6Gc)SXLM<1PL878|5QJXAp+< zmqZl0k%}=0*x4Ym0}EW3Sjmh8LYUn|ccf#|gysj7lxT49g!Oi#b|5n%!`@R+fHg& z$&PrrW-(+nqT;E=Qq%+d4B3QFX}gJ748QeT{Acv&4a2GGVXva!U<-XAXs@6!MWDi6 zMQ7jjAfR>+w`mNghl`7QXFQh%6%>!5g^i^jHx@BfJ(wNeM|JI7G(ukuSmW@E;;dVZ zgYj&!#R#c=7kSN&6~~%`uQ5D&{#q$VQDAV-98+<_wEiJ9Qd_ELlqG!v3dbM{7XmI8 z{&c9ob<)_|&~H*faIyf8cQUSPm(m0ar@r_hFs0MhqL{h{I0lxxT1U1cDtQZOCyTUk zR-#o#S*n#SZ?wCWdF;a#8=ZgEb6$`1k5RAk0sA3yOZrppwOc4JFvAUN08kT}8oRM3 zK=X|qM^*KK#j?QUEw6}%>x#lK4&N=*RUvoHg2bEL9p*Q&jTikp295xPTwsSFDQ=6D z%0~DS`lNHT!406V;yOOYub8Jp41;c4*BMp%z8472?|%tv7Q^1kfZ`Xuio~frXgMQ$ zIzJJWPWn4|aq5QmjyhVkTFbiyxonDya6Ro#(d5E8RzE0%VQ;pj(4nPUaFJyU+O;BB zDbu2$vylpIank<)Rd@aqb2R%vxUkntsdo;CKwpRU^N&h7h^Ddn2ue{>P=iihu2V8F zS%R{V*O1+k*AmPc(ri$MCKz3?p-AH5Dx33EUYaTJ)D{m`Xt7*SG;*1C?HJS|Eu{#h z;eeGwK2T!w>~LGCE_^Ezp_*TgF8C;7t)=p$GAp}yV}1c=VK=wrj=Q?4Zj!`wE+ud% zc6o>0q??XVoS4AUuTEej5MH>ral#7SkTz`%?mW0b=o?D7>P^A~H6NTxfXR{ED!;=2 z097^T{t&7DP(uhs-_b$PpWb#yevjKJ1pdDo8mkYBC@+Idw@ga*#R+k%`P9~$#SUWQ za7HzWxZ2+UqBPZ|u5d_ws?bd$dA1GEY~w0o9~&E&DKxI>zz?1pjh4SS?yemO?F&GQ zeU%068s_RP#I*p+!DJhR%T}dkkW;h!FgH-;k2eO}rxG<4jSS2ygraYN`-fF5(6<19 z5Y%+lY)GLkbhJAXBV3qR?~ni}H#K+%q7X1}^SI?H;yKODzbjE00JS@^@=60Jn;|E4 z#$SNH&;F}p>OV(7N2&KS^}kJZI-M1NPYL*6xAPc)fXlLcW4okE(;6P1Os#X;!a9Jp zDM9`R;UQot>@O&v1|^;?A8D76u4)W+Dx+RXcw~;os#k)IF{k(C+FR8@qVJSC3D|Oq zxxh{!Kv|i?RK}J$| zvgM5An<-m#Soumvd?WP4jzTTc*tu>AwcqcyIP??80NmlH3~RbR;}3q=zEywKd}sU# zj@z$BK7Wbj{7*OHUH<^d>U>W-@fy$h(|f;(>ikbD@ze73ytB&ubgXwg^UL^@8=g@elq84?l=^KM{Dx0LS#Bbg_+%n5-DDR_1pS{pulYNG@Skc*HPnO{S6!z}PzuCSQd08h$bS+#~`7=iM>xHtQFY zWM`)T0II*irVBAU-tCQzAB?hp6fnDbW=%h1HS6OVtH-hoy-!4_Uq0AY;qisX%ChQ# zuGmwKMIwuZe0%Mg_+Hp{4R*|+3+`Yuejq~a`=)0L&&CihhcO|p<}M&LlG(>j(QMH# z!z)p9PD6B~hF?WSq!~5ja$JMlThcusKsZ)Ac>8qAH;dsPdW%NN3H4$Jj%I zGpMMif(7talZ;vGGi0!eFlLM!k#W3wX}>SOlv!3 zmG2Q0u?o5Gl|=n^grVv=j15y?7c$|k?vHLUE8QIQxo&82ot>NQWKq~_Zphe4a9(0w zQdHXVOa28bwlFn@#I@NzBX<{eRr|pdBEw|Q8LY#-xgp+h(g zrHNhJ#xoiXpY>At(^$XYXRZChT)vl4BAEMXte3<3K)8nQ`K7c$vk;`6!JvWEmQ^y8 zM*QSqv{j&BfN&tpJZDFT$ry75DM=Fne9SBXFRIIBi>OhTRyZPI#kl){-Vyi_r@P+e z4Sen-ju^*CYTkwvlYDTnC|#5gveI>#duxMTk@~Obet`M}dL0RqEHCt|SLh#Zsd_pga>S=7BZ%9t zN_655ujM(HvhqET-&u^{oS27B#|sC)SQO0Gspn(8I3iWc zGqWxRDG*iABobq5T1V3AX5J;aC-F`)bsI2 z6)G`R9DOR3^r>9}`j{Y2@H$jFih&D4Kc#So;6B*1CTw&hrw2o6jBPEalbJxj2wBQm z%9c420UC4raRh6X<6?H?`Kd#n2^^kCHSW^1DQNq`Q0@{cxXNPQXW$SMw*Dgj0Nf@? zt80QC4l(*6eZ+C|V+z*Y2Vp)9AKL>V`=d~sq=+sg1)o?Ds&*j54;evM;QnHZavzKS ztBE1ZX`SE4EUi5RYPaPWE~K9RLJx3n2)5kU4!9|BkeYz-h*6d2X>SK)d7_en(Q#^j zDgOY!j#ksmz^9!O+QOxc?0AQ~;3s%iwuTc{o@Ipw8%myqOm6YyEcO@lddyHZ&#(>;| z1hjqAf&GY1dl2FGS%Ii}C&a-G@f9nD(OiOVTiMUD{7)4C&>}U0 z^BG!=5Q=+AR|rjBLS2ba`Ot>O=~FA1ML*R409CKBmjeLcOw9%&k&T+Vfz~8Pco&|` z&b1RpDGbU&1=zsl@ZXuhhs5vv!PLMIgB4&X7I&C3>tbV%A?dC5!;qXMKcQC%dPq5Fm@$UL4@H2Q zi6#DJ9?LT!ac*d3%!)o31Jp~XF5vt}`4#n%HYyeq!9FoGT*wPtV#?w#0z^Fm0+i{ ziHggr`p+$gX|iv|MdFI~x!4LmWr@5-X?XttJp;TbNoNrfTe#UC{mJ{EsHJ!POimZ~ zA^DvRy|nzKH&k03$D|waeuFru3OO~TstE@v;6(UD5nt0>EGj>J$NhM{dD$7cK)Ui$I0tF z5GAZK5)LMW%tmv^=`NXk%pK?cq95%H#Os1#3_T>R{!<1gsvo^$eF=W5q~p2Gh^x)} z54;2w&*1dmE+6O73-l7lq)hz-{O~J_MfSMu+5ql^9hmm#N#uWKBEY~_aC&^)f}vmV zNQiVcVh!EhT`{70pN4*>V~&*8%{Vvy(ZXQ75MQAxhlKp^>Ku>mL2x~Sqqs_f62&by zgqfG>sb#8(t=?2Hs?Ih?hy|5p!_^o^<_K(gmIt8!06#i6XkK!Ld5qM*lk18uIQHfE z7zvEx0!sT3LNp9F0}HR|)Of{IC>gNB{h^o}+7HfQ=;K-5M(5oH?LizROvQS}UUKQl zZo42XRJ&PNa}={`1bcbSLg74uWqXiCQ_FKGHiqwr(D<~wsFW9m6`akzV{*VN?h)14g>bp+%KrY-Uzt@DDjrXh{i?$5xCWm629GR> zcWKyyF9h50Lv^|#<_K|K#}Eguj1`J~jUp;k@)(AyoQzPpF-`Ob2ik2Ru=5orw9be5 z>w@x>KvM=Lp3uw^$FGAnfJ{s+p|wk7V#d?qPH=Cis%~11r_nBBO9%5n%YW(O3!i(A z@@ly_f3(r4sXVx993+3N?KRCrp;PdvTQ;n}Ogh~vmg(&9~s9DrWH#*)i< z`UD<_h&M+sgp|U`ZfE16gP&aJf1c^QqmNETUV+^5xbit9n$aLDF-F>jecTM<8Ld+; zyF+mn7W&|eqQK8rtY&?omy&2ak)rN@c@OrR*2;)nd4-HqMP~lBFp$g<1>97La7Gvi zC9OS3hS!4fp+`f}IK0Ps6K#ajX*`aUn*VE&}c#9~n5K1Lw&@WHFz%|9~$tAKEc7#Ju}St-zR97Wg%xPK7n@6ylpcbfUV z38DUf=QA<)<=6%!rWM9v?zh@&i%cNfkLTb#vJ&R0f)(pEc`xAT4JeAc&<`QQx}=^h|HZ{AJJW#T9MIpntRe)ZuDo z?VQb0Bdf>g=?tuI1e=lU7&rMNd|Y$KBs;=tZY+uwBRL#J^5!qY_Y_PL5A**3H8QWX zHEz;%=8R*UTyB9i(J8cJna@Y3hFbgh?Y(8a_7!!R5A5wZ?pqU4>M!j-+N&49g4*|q zL|iX!S{HxuiU#G4*Q|?NRG_3STJq!@4%ryC5d?TD59o$XhAPS{88g*5!yhorEANyq zf7vKe66PoQ=H67-+n_M|5sbz8KSWOPv7Uo^#ImX=d6}nqXR?EjvOH03LcTkeuUWOn z^TlE3`j)P0$wpik20x=DKiX`+M0|Wqd)I`{N;1(7J)BjIR5}oC;L*h;&y{@Ts@|esR}ZSTJ&}yTBF;>aWPhQI203$ z0$RFSq!m&^XeRe9H=o{j^+SsxyMR)9CROnRJ&72>xA*9IFF&}@TQRse% z@^<~ws-;}e4=3b-pZ1%hdS}Xvla@l6#PTqPjo}ZoaA|sgR9_GfQM3cr$Y<#db42mh zbjn7H?nUs_SY;-Kl8n{@k96Ylgs%Sp&Sw=L{{RC;`sjkVo`-1Nq{?%BNmr_c?TQI) zfof|*ppd03K50*v!};cLllzTJGCdI$;y-Nv0BSU{0y-ieR;7VVF<;f{JR!0vz@a#5 z9AOxaD}tGhC2Ce+qLy#_8d#2`o3mk>Hh%W@z?b+K4XHU zRZkG_(vR6mMg_VIpcn`%=$9DPCD9BqmiHoGU5an_ouh?Byc@Cq0BCv(fGNjbswG@O z--q?gp>O3}g7^_MmW3aZ;R`y;ZQ@obO8M`+7?&rhg1woOn0l^(knsNS)Uy8o@DSwf z2Ss%lQw}#9JaNtkm%|rG;yc8x&^B=pnPWxVxrtAx#UB3vrNp6oe)(UTcYn18d!WCP z3^0{{RCQ z%KcKeLyCayGL&@Ne;AJu@kyy?14~8H@)jMOu80WhYfHUF!5WBzijH(>(_M*Su zeiY1~l4f}*X$LWY^ZYPyWmC~c-!akjl}V!PJ%~MBk#mdX!{w#3cm3u|4f-$t008?} zd`1}KxpE?WQmPIG-?0`NEJrkR=uUg&ug0b+-r}z6uwO*Bk6-tSvHZDN4_PNipvQ%b#kR$H#6eQqHV?05*|iisf`>toART7znPhNAa>RN0PqwF z3!Ft>xA!v86I9M4@F*+=Tf(YQXw`)=qtuhhj7t=HY|VJMUh_BH#8Pcn_xnS3JQ7^`%GXhez??8iN8REz^oK`|uPSa>j^`qU|uxZ^NhSP%2br3dMcz9nqu`NgA&O1sL- zn-SaSs4B1<%oJI$6hsK8H5Q>cs06+T5h-~Xj>JZc+QKDjrQ^9mrW1L$0zwFRcJQ22$@fXhNJ5sslClw zedm@it_k7HqV}=Fh^2LK-V(}I1TuDJ@$96`+NN)dfuUWzIP4aC)IgLc7L&;;uDd0^ z;^ybnAeP-M)Dg;SvKXOSiOyzH$0S}IH)EIqPoZdvmZy{mf!`|s0NDF~FoqIId;LE+Cu6Af%)G z=>n7g0F#oM$QCn1Gge|bIamYrRCerK8ZuHJeG@Qq@wN+Ww3Q<>H0lTY8~E7i&=R7{ zst6%EKQO~L<%qar%)>k|n=K9$b>>!4b4dty7JAeQn0F!7mi8=+WW(s&{z=H-a1Ud~ zm@rb94q??%FkWZk!=_~1g{UQWn_(c?TlJ_S9_V8IlP;Fs z(|UOoEeX_n=)xwH3e_43c$$pmRa^mr0d8W}7_FEDZ^#K%RR)IJaJ0kyiuMq%c~yr+ zi>dD%LJr&C;$d!9FciEdN<`seUhd_xq7Km7Ww{$CYrV;e-;!IvV=OjymoNHbls)>? zm;V5gFc+ZJ;GnBrwN&j=0CXGT0dpo5CBuXbE-4g9)~3G<^tyv4vVbNO830DNwbu2Cfio ztZ8rz17P32QF7gE?2D`OR|6`2Juq(GQP_y#jj;DxdxBy znfEk6Jk&7Ex*qJ8RVu54{fOxP#A|)tm-854Heh`*#-bR5E5tmzM5|N5cM+rZW*E3) z1gn0Vj5u5gxmw1shyhGbu(;x9AfY9qrFPqcK$0?dOMOkKeZGPO@$aVg@- z99+L<0bqLAx4YvLJa5vpSIrQz&f`FC?CiuqeKTeI4X_?H2aKqaMKN#oH~K!(^H8Y8 zUj2x(fuh~qkgUGpYEh>&X&2574w zJAOQi%r*8>$|WaS1h7;C!zQGLw+2G)9O=GOmWB-?gt&X0Tva$yTW7pDW#Pl{p%xk| z;MXkl;Q1Alk6KHsn=U)Bu3IVN$q`{i?a^M6j}F1g#^57pJ%Buav5WqeBKAn{0b{Mr z!|;7PYzv_ow=bdh5;%@lAjg?dO14Qsc^p9o>@hwPFx{r~0-xmDc}0AY8iPKW)HSr? z5)f0M+i`5Rx-HeMz)FYR75FPfx_pwLfo|no8I|qZb2hS$%gZV|l`W2KJj=EodITSK zlp>DwO-mu6brx;q8aiOv8)oCq0ga2USff&#Q)XBVksVnLQ?l&h$So4(VkjL~6L8d~ z?5u2kB3nU=3!#+QMbf9091X9+s7n6;*#7{i?F-3g8h8dFge6I<(Uy1L_#`EdgrrNb zAtuCVG{c@#uE>}nC{ah>{FPt*;S}~vYR4SPvHcdyVD4@*>60rc-1x-P->A{%;Cy-j z->4B71FYyukBeP8@JXbIh(q;{3+1XGMIGZ64$@Ie;)=I{W54-h?@# zGRuQx=QHaDG%oGR%uy|lZZivn)0XiuiYfQfc}v>ksP1EI{^-ONbDbjbh6L zQqdyb2o0gjk@R6vsuyF1GOA4c&SsdSCIZc~Paum%BVv6lR~+;`9V{?@mZKEjreA9={vhe$pey7mv>U7T{)P7y+;TiJdkaatYvGoC9Ahl-+1}qX|(R> z@=hZ!g7>2aP=E`2(zVEUU04_zQdi>`ad=$P=@b`2vQx|*v93j$VN$$9i0@Fdbf`OV zMj*g)1ivknC{#-0W(E$hB?mQ{_m0%5$JoMD;#Y%pT-;$5UOrGNf->-bv9a$E$i)DA zTFdz~MS4J5W%DomTBkMirLhshWg*b9Jf&FB=vTV3Cnle#Qrs$4H&p|)3g}{~uIG4Z z>^}bhpw?ecw*>MpvvOY?dk=dDz*S^RhH-yyK9k>vn^%yUa z2VxajNd9HU-KC*}UmJ&&=BbF1j&PL5O_JLwv5({k=K-CIEC>QdrlWFR3gTu37(WR%cXNKDvzX<$5xD1cilV@IfDNH zB(0HG5fQh1s6v_yu{DWxcYTKbVlEgAyoOa`(58r10)WuCXSBh?KZpoGn{!TX9tMU> zwt_KjFchztS(%BzR>Y$gvqqBxJh_$01E`T@`_g3ahK|3jvO7s z(wfY#ajBIZHkJM4tOvAR2B8Qt61yhpm`5F}ExbqN319X;dX^4l zp#ohlrmy}KjJbxh;&2Xr}dE`j3fk-9<@Y#Y&2@*Krg4mL13SP2;@Ps$Ir% z{<;X0G~fkuGNjIiu?&i=2)0AGlD4M{jGo+WFb`-}vt5f-h&-!8t7vSOWrcNVs)@X; z5REOumjpaGr7A&eAxKJOe#s057O2wWD&rWg>$p0qIYWfaBJMaywFLon2|>e8p;Exh zLzI6dOvfef!r~xeRDFokz%7CP$8x{!Lw91HDFFQG;Fr|sW|)oA;iQ$E^3_;Om;%Uy znkX03AxHQz^nLdBm{{XG*#+orh1c_AV>-kPXTyrTFRPc$+(-PZ#E&BL*)2l*j;pV=0jR8ImgP)$O$iFZQGd16m{98ww*jd?c-PzI5U7ergE3amPf z=5lzg5nO-TWk54loTHpOBz-_u)&9wQ=>l@~c8ELLlLF-%plX<1V?-PE?BxVDLEfs->FEEjDkKdO#2dIeI~akBFm#*ozeByisg4~|H? z{{Ukr%lhIh+{MTY%)?F@hQDD>NT#c&jU~R9px5hju^O>(KA4q*M|+AzM%U#U{{SZz z-G2C@bGd4zqfja`nIQncC|eTeT{&AyM4Mq^!uEo_yJec)%9e&+hp_We>;Z8E#Ipn$ zglM-}EyyumC^FUimbsDfL`k$FjXmwUlcjtB8-)Ng24>5Us4S zoa2;H1(jlN)S0&>CJ4}`?x2P`T~ekK5H9EvY1VRoGEj>0hFajpY0Dg80;>u#sZ97m z1PZcXTc+kmH+J2B23VDl_XM?Yw({MPEdvxFD!Facu25Slb=}O&I(1c|<(CQ+i&U%IaiWsScWQ%(@*Y8~ONUvypFsq)&a4{uH&XhI95V>b zMCQSm8v0f|iRF(YL-`~$3boNPXW3M*Hp*=std~;Ea00T-qE)$a!+U}Tl`VuVhyg>R zS8Y_ihTEluad4ZGiDg)7P-Om4!bPY7QrxbhMnu|Wfh<*6{{Ulgd!w%Ri|gJqY=L!j z_JpHnm=bKa+AoKsx8Rl(cuI`z&^qWe)m%*#H*bljpkEk@z}Mmn>;|KJYpIMek~@;m zLzRD$s|3)h8iWUz(DsP)qZDZ6VcUB_Tv`o@q%of{0|>0mjYhGJ~fi^a@H2+&QF9Ug!Q)Wbm7Z z;txhV3gdi2_`4ats;PvWTKYG4gDHh*df%kHp>a>~J2(qZ_U%ymmp8-Jzlak*+3^d{)eRAueLpLiIEjD5&*#}SI~d>Cs+Li|HJ?)5dZ=J1O@>E1pxs7 z000000003H5g{=GAV5%2U_mf&fCCaDGGTEO|Jncu0RjODKLFjB#ypH;9#%1oV;{<8 zk$i4r>g;iSRn`{j$}YRo>kCxleyGMV&)X|+OWQm9VSQSz^!mHWeOmW^{`Ega$aD1` zne4AR7o`0^_obnCr|pCG!rkKE5YiIPge@D|o{2?tJX%+o3)4anw2x5hY@R8kLdv6S zi(y$-gT-~PJ1w!_LH-JOOfF?GmbRe?MiELVMWJoZUb7m6y29HYWwId%LiC?oLinV$ zN*3QAXnN(A(0N}`dN(aY82$QiaAO=C7~uLv#}^j|7BP>cxFHHR$g&|*+2E5k zkHG2Rbs0Gi_=ovULwt^d9d0`+jBlQfs$p{z<2EM+JR_He;;Jgb{yIg=AFq`QLD*38 z%3)VOSSgAMa@gq|mRJ=J_9$a2-cZV+paFr$ZCnksaO0eZO1_mi&)t;MhlFe!CLz z(uO6!BiebNV}7)o6fifMrp9l|`d3;) zAt9Az2?&MAD~XNRO!Rgvkex^DD;OfWzKze-{`BI+zIx Date: Fri, 22 Dec 2023 08:42:23 +1000 Subject: [PATCH 02/15] ports/esp32/boards: Add support for popular ESP32_CAM boards. Signed-off-by: Chris --- ports/esp32/boards/ESP32_CAM/board.json | 25 +++ ports/esp32/boards/ESP32_CAM/board.md | 20 +++ .../boards/ESP32_CAM/mpconfigboard.cmake | 44 +++++ ports/esp32/boards/ESP32_CAM/mpconfigboard.h | 13 ++ ports/esp32/boards/ESP32_CAM/photo.py | 166 ++++++++++++++++++ ports/esp32/boards/ESP32_CAM/sdkconfig.d2wd | 17 ++ ports/esp32/boards/ESP32_CAM/sdkconfig.ota | 7 + .../esp32/boards/ESP32_CAM/sdkconfig.unicore | 1 + 8 files changed, 293 insertions(+) create mode 100644 ports/esp32/boards/ESP32_CAM/board.json create mode 100644 ports/esp32/boards/ESP32_CAM/board.md create mode 100644 ports/esp32/boards/ESP32_CAM/mpconfigboard.cmake create mode 100644 ports/esp32/boards/ESP32_CAM/mpconfigboard.h create mode 100644 ports/esp32/boards/ESP32_CAM/photo.py create mode 100644 ports/esp32/boards/ESP32_CAM/sdkconfig.d2wd create mode 100644 ports/esp32/boards/ESP32_CAM/sdkconfig.ota create mode 100644 ports/esp32/boards/ESP32_CAM/sdkconfig.unicore diff --git a/ports/esp32/boards/ESP32_CAM/board.json b/ports/esp32/boards/ESP32_CAM/board.json new file mode 100644 index 0000000000..771bddc2fd --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/board.json @@ -0,0 +1,25 @@ +{ + "deploy": [ + "../deploy.md" + ], + "docs": "", + "features": [ + "External Flash", + "WiFi" + ], + "images": [ + "esp32_cam.jpg" + ], + "mcu": "esp32", + "product": "ESP32 / CAM", + "thumbnail": "", + "url": "https://www.espressif.com/en/products/modules", + "variants": { + "IDF3": "Compiled with IDF 3.x", + "D2WD": "ESP32 D2WD", + "SPIRAM": "Support for SPIRAM / WROVER", + "UNICORE": "ESP32 Unicore", + "OTA": "Support for OTA" + }, + "vendor": "Espressif" +} diff --git a/ports/esp32/boards/ESP32_CAM/board.md b/ports/esp32/boards/ESP32_CAM/board.md new file mode 100644 index 0000000000..b2d2ec9782 --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/board.md @@ -0,0 +1,20 @@ +The following files are firmware that work on the ESP32 CAM module using +an OV2640 camera. + +ESP32 CAM has PSRAM (aka spiram) but the ESP32-S chip on it does not support bluetooth + +To build this from source, load your env vars:- + + . $HOME/esp/esp-idf/export.sh + +Open a shell in the folder micropython/ports/esp32 + +and run this command:- + + git clone https://github.com/lemariva/micropython-camera-driver.git + git clone https://github.com/espressif/esp32-camera + make USER_C_MODULES=../micropython-camera-driver/src/micropython.cmake BOARD=ESP32_CAM all + +-or- (for ota support):- + + make USER_C_MODULES=../micropython-camera-driver/src/micropython.cmake BOARD=ESP32_CAM MICROPY_BOARD_VARIANT=OTA diff --git a/ports/esp32/boards/ESP32_CAM/mpconfigboard.cmake b/ports/esp32/boards/ESP32_CAM/mpconfigboard.cmake new file mode 100644 index 0000000000..5ff5fabe99 --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/mpconfigboard.cmake @@ -0,0 +1,44 @@ +set(SDKCONFIG_DEFAULTS + boards/sdkconfig.base + boards/sdkconfig.spiram +) + +# boards/sdkconfig.ble +# boards/ESP32_CAM/sdkconfig.esp32cam + +list(APPEND MICROPY_DEF_BOARD + MICROPY_HW_MCU_NAME="ESP32" + # Disable some options to reduce firmware size. + # MICROPY_OPT_COMPUTED_GOTO=0 + # MICROPY_PY_NETWORK_LAN=0 + # ESP32-CAMERA + CONFIG_OV2640_SUPPORT=y + MICROPY_HW_BOARD_NAME="ESP32S CAM module with SPIRAM and OV2640" + CONFIG_COMPILER_OPTIMIZATION_SIZE=n + CONFIG_COMPILER_OPTIMIZATION_PERF=y +) + + +if(MICROPY_BOARD_VARIANT STREQUAL "OTA") + set(SDKCONFIG_DEFAULTS + ${SDKCONFIG_DEFAULTS} + boards/ESP32_GENERIC/sdkconfig.ota + ) + + list(APPEND MICROPY_DEF_BOARD + MICROPY_HW_BOARD_NAME="Generic ESP32 module with OTA" + ) +endif() + + + +if(MICROPY_BOARD_VARIANT STREQUAL "UNICORE") + set(SDKCONFIG_DEFAULTS + ${SDKCONFIG_DEFAULTS} + boards/ESP32_GENERIC/sdkconfig.unicore + ) + + list(APPEND MICROPY_DEF_BOARD + MICROPY_HW_MCU_NAME="ESP32-UNICORE" + ) +endif() diff --git a/ports/esp32/boards/ESP32_CAM/mpconfigboard.h b/ports/esp32/boards/ESP32_CAM/mpconfigboard.h new file mode 100644 index 0000000000..22cf1fcdc2 --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/mpconfigboard.h @@ -0,0 +1,13 @@ +// Both of these can be set by mpconfigboard.cmake if a BOARD_VARIANT is +// specified. + +#ifndef MICROPY_HW_BOARD_NAME +#define MICROPY_HW_BOARD_NAME "ESP32S CAM module with PSRAM and OV2640" +#endif + +#define MICROPY_PY_BLUETOOTH (0) +#define MODULE_CAMERA_ENABLED (1) + +#ifndef MICROPY_HW_MCU_NAME +#define MICROPY_HW_MCU_NAME "ESP32" +#endif diff --git a/ports/esp32/boards/ESP32_CAM/photo.py b/ports/esp32/boards/ESP32_CAM/photo.py new file mode 100644 index 0000000000..dc0babe214 --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/photo.py @@ -0,0 +1,166 @@ +# photo.py + +__version__ = '1.0.1' # Major.Minor.Patch + +# Ways to run this program:- + +# 1. With ampy +# ampy --port $PORT run bin/photo.py +# example output:- +# photo fn=out.jpg size=22((default)) quality=10 +# Length of buf: 23579 + +# 2. From REPL shell +# >>> ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) +# example output:- +# photo fn=pic.jpg size=5(FRAME_QVGA) quality=10 +# Length of buf: 9495 + +# 3. using mipyshell +# To run this program with arguments, install https://github.com/vsolina/mipyshell +# and save this file as bin/photo.py - then (for size 5 and quality 10):- +# photo outfile.jpg 5 10 + + +import camera + + +def __main__(args): + capture(args[2:]) # mipyshell first 2 arguments are "python" and "photo.py" + +def capture(args): + fn="out.jpg" + quality=10 + size=22 + + camera_frames = { 0: {"name": "FRAME_96X96", "value": camera.FRAME_96X96}, + 1: {"name": "FRAME_QQVGA", "value": camera.FRAME_QQVGA}, + 2: {"name": "FRAME_QCIF", "value": camera.FRAME_QCIF}, + 3: {"name": "FRAME_HQVGA", "value": camera.FRAME_HQVGA}, + 4: {"name": "FRAME_240X240", "value": camera.FRAME_240X240}, + 5: {"name": "FRAME_QVGA", "value": camera.FRAME_QVGA}, + 6: {"name": "FRAME_CIF", "value": camera.FRAME_CIF}, + 7: {"name": "FRAME_HVGA", "value": camera.FRAME_HVGA}, + 8: {"name": "FRAME_VGA", "value": camera.FRAME_VGA}, + 9: {"name": "FRAME_SVGA", "value": camera.FRAME_SVGA}, + 10: {"name": "FRAME_XGA", "value": camera.FRAME_XGA}, + 11: {"name": "FRAME_HD", "value": camera.FRAME_HD}, + 12: {"name": "FRAME_SXGA", "value": camera.FRAME_SXGA}, + 13: {"name": "FRAME_UXGA", "value": camera.FRAME_UXGA}, + 14: {"name": "FRAME_FHD", "value": camera.FRAME_FHD}, + 15: {"name": "FRAME_P_HD", "value": camera.FRAME_P_HD}, + 16: {"name": "FRAME_P_3MP", "value": camera.FRAME_P_3MP}, + 17: {"name": "FRAME_QXGA", "value": camera.FRAME_QXGA}, + 18: {"name": "FRAME_QHD", "value": camera.FRAME_QHD}, + 19: {"name": "FRAME_WQXGA", "value": camera.FRAME_WQXGA}, + 20: {"name": "FRAME_P_FHD", "value": camera.FRAME_P_FHD}, + 21: {"name": "FRAME_QSXGA", "value": camera.FRAME_QSXGA}, + 22: {"name": "(default)", "value": None} } + + + if len(args) > 0: + fn = args[0] + + ## ESP32-CAM (default configuration) - https://bit.ly/2Ndn8tN + camera.init(0, format=camera.JPEG, fb_location=camera.PSRAM) + + if len(args) > 1: + size = int(args[1]) + camera.framesize(camera_frames[size]["value"]) + + if len(args) > 2: + quality = int(args[2]) + camera.quality(quality) + + print("photo fn={} size={}({}) quality={}".format(fn,size,camera_frames[size]["name"],quality)) + + # AI-Thinker esp32-cam board + #ai_thinker = {PIN_PWDN:32, PIN_RESET:-1, PIN_XCLK:0, PIN_SIOD:26, PIN_SIOC:27, PIN_D7:35, PIN_D6:34, PIN_D5:39, PIN_D4:36, PIN_D3:21, PIN_D2:19, PIN_D1:18, PIN_D0:5, PIN_VSYNC:25, PIN_HREF:23, PIN_PCLK:22, XCLK_MHZ:16, PIXFORMAT:5, FRAMESIZE:10, JPEG_QUALITY:10, FB_COUNT:1, } + + ## M5Camera (Version B) - https://bit.ly/317Xb74 + # camera.init(0, d0=32, d1=35, d2=34, d3=5, d4=39, d5=18, d6=36, d7=19, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_10MHz, href=26, vsync=25, reset=15, sioc=23, siod=22, xclk=27, pclk=21, fb_location=camera.PSRAM) #M5CAMERA + + ## T-Camera Mini (green PCB) - https://bit.ly/31H1aaF + # import axp202 # source https://github.com/lewisxhe/AXP202_PythonLibrary + # USB current limit must be disabled (otherwise init fails) + #axp=axp202.PMU( scl=22, sda=21, address=axp202.AXP192_SLAVE_ADDRESS ) + #limiting=axp.read_byte( axp202.AXP202_IPS_SET ) + #limiting &= 0xfc + #axp.write_byte( axp202.AXP202_IPS_SET, limiting ) + + #camera.init(0, d0=5, d1=14, d2=4, d3=15, d4=18, d5=23, d6=36, d7=39, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_20MHz, href=25, vsync=27, reset=-1, pwdn=-1, sioc=12, siod=13, xclk=32, pclk=19) + + + # The parameters: format=camera.JPEG, xclk_freq=camera.XCLK_10MHz are standard for all cameras. + # You can try using a faster xclk (20MHz), this also worked with the esp32-cam and m5camera + # but the image was pixelated and somehow green. + + + # ## Other settings: + # # flip up side down + # camera.flip(1) + # # left / right + # camera.mirror(1) + + # # framesize + # camera.framesize(camera.FRAME_240x240) + # # The options are the following: + # # FRAME_96X96 FRAME_QQVGA FRAME_QCIF FRAME_HQVGA FRAME_240X240 + # # FRAME_QVGA FRAME_CIF FRAME_HVGA FRAME_VGA FRAME_SVGA + # # FRAME_XGA FRAME_HD FRAME_SXGA FRAME_UXGA FRAME_FHD + # # FRAME_P_HD FRAME_P_3MP FRAME_QXGA FRAME_QHD FRAME_WQXGA + # # FRAME_P_FHD FRAME_QSXGA + # # Check this link for more information: https://bit.ly/2YOzizz + # + # # special effects + # camera.speffect(camera.EFFECT_NONE) + # # The options are the following: + # # EFFECT_NONE (default) EFFECT_NEG EFFECT_BW EFFECT_RED EFFECT_GREEN EFFECT_BLUE EFFECT_RETRO + # + # # white balance + # camera.whitebalance(camera.WB_NONE) + # # The options are the following: + # # WB_NONE (default) WB_SUNNY WB_CLOUDY WB_OFFICE WB_HOME + # + # # saturation + # camera.saturation(0) + # # -2,2 (default 0). -2 grayscale + # + # # brightness + # camera.brightness(0) + # # -2,2 (default 0). 2 brightness + # + # # contrast + # camera.contrast(0) + # #-2,2 (default 0). 2 highcontrast + # + # # quality + # camera.quality(10) + # # 10-63 lower number means higher quality + # + + buf = camera.capture() + + if buf: + print("Length of buf:", len(buf)) + + if fn: + with open(fn, 'wb') as f: + f.write(buf) + else: + print("not written - no filename given") + #print("Contents of buf in hex:", buf.hex()) + + else: + print("Capture failed (too big for PSRAM?") + + #print("open http://esp32-cam-05.local/foo.jpg") + + camera.deinit() + +try: + # if 'ARGV' in locals(): + eval('capture(ARGV)') # ARGV is supplied by caller thusly: ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) +except: # Exception as e: + # print(e) # name 'ARGV' isn't defined + capture([]) diff --git a/ports/esp32/boards/ESP32_CAM/sdkconfig.d2wd b/ports/esp32/boards/ESP32_CAM/sdkconfig.d2wd new file mode 100644 index 0000000000..2ac983693d --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/sdkconfig.d2wd @@ -0,0 +1,17 @@ +# Optimise using -Os to reduce size +CONFIG_COMPILER_OPTIMIZATION_SIZE=y +CONFIG_COMPILER_OPTIMIZATION_PERF=n +CONFIG_COMPILER_OPTIMIZATION_CHECKS_SILENT=y + +# Change maximum log level to error, to reduce firmware size. +CONFIG_LOG_MAXIMUM_LEVEL_ERROR=y +CONFIG_LOG_MAXIMUM_LEVEL_INFO=n + +# Disable SPI Ethernet driver to reduce firmware size. +CONFIG_ETH_USE_SPI_ETHERNET=n + +CONFIG_ESPTOOLPY_FLASHMODE_DIO=y +CONFIG_ESPTOOLPY_FLASHFREQ_40M=y +CONFIG_ESPTOOLPY_FLASHSIZE_2MB=y +CONFIG_PARTITION_TABLE_CUSTOM=y +CONFIG_PARTITION_TABLE_CUSTOM_FILENAME="partitions-2MiB.csv" diff --git a/ports/esp32/boards/ESP32_CAM/sdkconfig.ota b/ports/esp32/boards/ESP32_CAM/sdkconfig.ota new file mode 100644 index 0000000000..352dd96f23 --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/sdkconfig.ota @@ -0,0 +1,7 @@ +CONFIG_PARTITION_TABLE_CUSTOM=y +CONFIG_PARTITION_TABLE_CUSTOM_FILENAME="partitions-4MiB-ota.csv" + +# Reduce firmware size to fit in the OTA partition. +CONFIG_COMPILER_OPTIMIZATION_SIZE=y +CONFIG_COMPILER_OPTIMIZATION_PERF=n +CONFIG_COMPILER_OPTIMIZATION_CHECKS_SILENT=y diff --git a/ports/esp32/boards/ESP32_CAM/sdkconfig.unicore b/ports/esp32/boards/ESP32_CAM/sdkconfig.unicore new file mode 100644 index 0000000000..f0b0b5e03d --- /dev/null +++ b/ports/esp32/boards/ESP32_CAM/sdkconfig.unicore @@ -0,0 +1 @@ +CONFIG_FREERTOS_UNICORE=y From 2df74f51cc57ef226fa589b6e9baadb20df421c2 Mon Sep 17 00:00:00 2001 From: Chris Date: Fri, 22 Dec 2023 08:51:50 +1000 Subject: [PATCH 03/15] ports/esp32/boards: Add support for popular ESP32_CAM boards. Signed-off-by: Chris --- ports/esp32/boards/ESP32_CAM/photo.py | 238 +++++++++++++------------- 1 file changed, 119 insertions(+), 119 deletions(-) diff --git a/ports/esp32/boards/ESP32_CAM/photo.py b/ports/esp32/boards/ESP32_CAM/photo.py index dc0babe214..d0f7423ba7 100644 --- a/ports/esp32/boards/ESP32_CAM/photo.py +++ b/ports/esp32/boards/ESP32_CAM/photo.py @@ -1,166 +1,166 @@ # photo.py -__version__ = '1.0.1' # Major.Minor.Patch +__version__ = '1.0.2' # Major.Minor.Patch # Ways to run this program:- # 1. With ampy -# ampy --port $PORT run bin/photo.py +# ampy --port $PORT run bin/photo.py # example output:- -# photo fn=out.jpg size=22((default)) quality=10 -# Length of buf: 23579 +# photo fn=out.jpg size=22((default)) quality=10 +# Length of buf: 23579 # 2. From REPL shell -# >>> ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) +# >>> ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) # example output:- -# photo fn=pic.jpg size=5(FRAME_QVGA) quality=10 -# Length of buf: 9495 +# photo fn=pic.jpg size=5(FRAME_QVGA) quality=10 +# Length of buf: 9495 # 3. using mipyshell # To run this program with arguments, install https://github.com/vsolina/mipyshell # and save this file as bin/photo.py - then (for size 5 and quality 10):- -# photo outfile.jpg 5 10 +# photo outfile.jpg 5 10 import camera def __main__(args): - capture(args[2:]) # mipyshell first 2 arguments are "python" and "photo.py" + capture(args[2:]) # mipyshell first 2 arguments are "python" and "photo.py" def capture(args): - fn="out.jpg" - quality=10 - size=22 + fn="out.jpg" + quality=10 + size=22 - camera_frames = { 0: {"name": "FRAME_96X96", "value": camera.FRAME_96X96}, - 1: {"name": "FRAME_QQVGA", "value": camera.FRAME_QQVGA}, - 2: {"name": "FRAME_QCIF", "value": camera.FRAME_QCIF}, - 3: {"name": "FRAME_HQVGA", "value": camera.FRAME_HQVGA}, - 4: {"name": "FRAME_240X240", "value": camera.FRAME_240X240}, - 5: {"name": "FRAME_QVGA", "value": camera.FRAME_QVGA}, - 6: {"name": "FRAME_CIF", "value": camera.FRAME_CIF}, - 7: {"name": "FRAME_HVGA", "value": camera.FRAME_HVGA}, - 8: {"name": "FRAME_VGA", "value": camera.FRAME_VGA}, - 9: {"name": "FRAME_SVGA", "value": camera.FRAME_SVGA}, - 10: {"name": "FRAME_XGA", "value": camera.FRAME_XGA}, - 11: {"name": "FRAME_HD", "value": camera.FRAME_HD}, - 12: {"name": "FRAME_SXGA", "value": camera.FRAME_SXGA}, - 13: {"name": "FRAME_UXGA", "value": camera.FRAME_UXGA}, - 14: {"name": "FRAME_FHD", "value": camera.FRAME_FHD}, - 15: {"name": "FRAME_P_HD", "value": camera.FRAME_P_HD}, - 16: {"name": "FRAME_P_3MP", "value": camera.FRAME_P_3MP}, - 17: {"name": "FRAME_QXGA", "value": camera.FRAME_QXGA}, - 18: {"name": "FRAME_QHD", "value": camera.FRAME_QHD}, - 19: {"name": "FRAME_WQXGA", "value": camera.FRAME_WQXGA}, - 20: {"name": "FRAME_P_FHD", "value": camera.FRAME_P_FHD}, - 21: {"name": "FRAME_QSXGA", "value": camera.FRAME_QSXGA}, - 22: {"name": "(default)", "value": None} } + camera_frames = { 0: {"name": "FRAME_96X96", "value": camera.FRAME_96X96}, + 1: {"name": "FRAME_QQVGA", "value": camera.FRAME_QQVGA}, + 2: {"name": "FRAME_QCIF", "value": camera.FRAME_QCIF}, + 3: {"name": "FRAME_HQVGA", "value": camera.FRAME_HQVGA}, + 4: {"name": "FRAME_240X240", "value": camera.FRAME_240X240}, + 5: {"name": "FRAME_QVGA", "value": camera.FRAME_QVGA}, + 6: {"name": "FRAME_CIF", "value": camera.FRAME_CIF}, + 7: {"name": "FRAME_HVGA", "value": camera.FRAME_HVGA}, + 8: {"name": "FRAME_VGA", "value": camera.FRAME_VGA}, + 9: {"name": "FRAME_SVGA", "value": camera.FRAME_SVGA}, + 10: {"name": "FRAME_XGA", "value": camera.FRAME_XGA}, + 11: {"name": "FRAME_HD", "value": camera.FRAME_HD}, + 12: {"name": "FRAME_SXGA", "value": camera.FRAME_SXGA}, + 13: {"name": "FRAME_UXGA", "value": camera.FRAME_UXGA}, + 14: {"name": "FRAME_FHD", "value": camera.FRAME_FHD}, + 15: {"name": "FRAME_P_HD", "value": camera.FRAME_P_HD}, + 16: {"name": "FRAME_P_3MP", "value": camera.FRAME_P_3MP}, + 17: {"name": "FRAME_QXGA", "value": camera.FRAME_QXGA}, + 18: {"name": "FRAME_QHD", "value": camera.FRAME_QHD}, + 19: {"name": "FRAME_WQXGA", "value": camera.FRAME_WQXGA}, + 20: {"name": "FRAME_P_FHD", "value": camera.FRAME_P_FHD}, + 21: {"name": "FRAME_QSXGA", "value": camera.FRAME_QSXGA}, + 22: {"name": "(default)", "value": None} } - if len(args) > 0: - fn = args[0] + if len(args) > 0: + fn = args[0] - ## ESP32-CAM (default configuration) - https://bit.ly/2Ndn8tN - camera.init(0, format=camera.JPEG, fb_location=camera.PSRAM) + ## ESP32-CAM (default configuration) - https://bit.ly/2Ndn8tN + camera.init(0, format=camera.JPEG, fb_location=camera.PSRAM) - if len(args) > 1: - size = int(args[1]) - camera.framesize(camera_frames[size]["value"]) + if len(args) > 1: + size = int(args[1]) + camera.framesize(camera_frames[size]["value"]) - if len(args) > 2: - quality = int(args[2]) - camera.quality(quality) + if len(args) > 2: + quality = int(args[2]) + camera.quality(quality) - print("photo fn={} size={}({}) quality={}".format(fn,size,camera_frames[size]["name"],quality)) + print("photo fn={} size={}({}) quality={}".format(fn,size,camera_frames[size]["name"],quality)) - # AI-Thinker esp32-cam board - #ai_thinker = {PIN_PWDN:32, PIN_RESET:-1, PIN_XCLK:0, PIN_SIOD:26, PIN_SIOC:27, PIN_D7:35, PIN_D6:34, PIN_D5:39, PIN_D4:36, PIN_D3:21, PIN_D2:19, PIN_D1:18, PIN_D0:5, PIN_VSYNC:25, PIN_HREF:23, PIN_PCLK:22, XCLK_MHZ:16, PIXFORMAT:5, FRAMESIZE:10, JPEG_QUALITY:10, FB_COUNT:1, } + # AI-Thinker esp32-cam board + #ai_thinker = {PIN_PWDN:32, PIN_RESET:-1, PIN_XCLK:0, PIN_SIOD:26, PIN_SIOC:27, PIN_D7:35, PIN_D6:34, PIN_D5:39, PIN_D4:36, PIN_D3:21, PIN_D2:19, PIN_D1:18, PIN_D0:5, PIN_VSYNC:25, PIN_HREF:23, PIN_PCLK:22, XCLK_MHZ:16, PIXFORMAT:5, FRAMESIZE:10, JPEG_QUALITY:10, FB_COUNT:1, } - ## M5Camera (Version B) - https://bit.ly/317Xb74 - # camera.init(0, d0=32, d1=35, d2=34, d3=5, d4=39, d5=18, d6=36, d7=19, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_10MHz, href=26, vsync=25, reset=15, sioc=23, siod=22, xclk=27, pclk=21, fb_location=camera.PSRAM) #M5CAMERA + ## M5Camera (Version B) - https://bit.ly/317Xb74 + # camera.init(0, d0=32, d1=35, d2=34, d3=5, d4=39, d5=18, d6=36, d7=19, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_10MHz, href=26, vsync=25, reset=15, sioc=23, siod=22, xclk=27, pclk=21, fb_location=camera.PSRAM) #M5CAMERA - ## T-Camera Mini (green PCB) - https://bit.ly/31H1aaF - # import axp202 # source https://github.com/lewisxhe/AXP202_PythonLibrary - # USB current limit must be disabled (otherwise init fails) - #axp=axp202.PMU( scl=22, sda=21, address=axp202.AXP192_SLAVE_ADDRESS ) - #limiting=axp.read_byte( axp202.AXP202_IPS_SET ) - #limiting &= 0xfc - #axp.write_byte( axp202.AXP202_IPS_SET, limiting ) + ## T-Camera Mini (green PCB) - https://bit.ly/31H1aaF + # import axp202 # source https://github.com/lewisxhe/AXP202_PythonLibrary + # USB current limit must be disabled (otherwise init fails) + #axp=axp202.PMU( scl=22, sda=21, address=axp202.AXP192_SLAVE_ADDRESS ) + #limiting=axp.read_byte( axp202.AXP202_IPS_SET ) + #limiting &= 0xfc + #axp.write_byte( axp202.AXP202_IPS_SET, limiting ) - #camera.init(0, d0=5, d1=14, d2=4, d3=15, d4=18, d5=23, d6=36, d7=39, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_20MHz, href=25, vsync=27, reset=-1, pwdn=-1, sioc=12, siod=13, xclk=32, pclk=19) + #camera.init(0, d0=5, d1=14, d2=4, d3=15, d4=18, d5=23, d6=36, d7=39, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_20MHz, href=25, vsync=27, reset=-1, pwdn=-1, sioc=12, siod=13, xclk=32, pclk=19) - # The parameters: format=camera.JPEG, xclk_freq=camera.XCLK_10MHz are standard for all cameras. - # You can try using a faster xclk (20MHz), this also worked with the esp32-cam and m5camera - # but the image was pixelated and somehow green. + # The parameters: format=camera.JPEG, xclk_freq=camera.XCLK_10MHz are standard for all cameras. + # You can try using a faster xclk (20MHz), this also worked with the esp32-cam and m5camera + # but the image was pixelated and somehow green. - # ## Other settings: - # # flip up side down - # camera.flip(1) - # # left / right - # camera.mirror(1) + # ## Other settings: + # # flip up side down + # camera.flip(1) + # # left / right + # camera.mirror(1) - # # framesize - # camera.framesize(camera.FRAME_240x240) - # # The options are the following: - # # FRAME_96X96 FRAME_QQVGA FRAME_QCIF FRAME_HQVGA FRAME_240X240 - # # FRAME_QVGA FRAME_CIF FRAME_HVGA FRAME_VGA FRAME_SVGA - # # FRAME_XGA FRAME_HD FRAME_SXGA FRAME_UXGA FRAME_FHD - # # FRAME_P_HD FRAME_P_3MP FRAME_QXGA FRAME_QHD FRAME_WQXGA - # # FRAME_P_FHD FRAME_QSXGA - # # Check this link for more information: https://bit.ly/2YOzizz - # - # # special effects - # camera.speffect(camera.EFFECT_NONE) - # # The options are the following: - # # EFFECT_NONE (default) EFFECT_NEG EFFECT_BW EFFECT_RED EFFECT_GREEN EFFECT_BLUE EFFECT_RETRO - # - # # white balance - # camera.whitebalance(camera.WB_NONE) - # # The options are the following: - # # WB_NONE (default) WB_SUNNY WB_CLOUDY WB_OFFICE WB_HOME - # - # # saturation - # camera.saturation(0) - # # -2,2 (default 0). -2 grayscale - # - # # brightness - # camera.brightness(0) - # # -2,2 (default 0). 2 brightness - # - # # contrast - # camera.contrast(0) - # #-2,2 (default 0). 2 highcontrast - # - # # quality - # camera.quality(10) - # # 10-63 lower number means higher quality - # + # # framesize + # camera.framesize(camera.FRAME_240x240) + # # The options are the following: + # # FRAME_96X96 FRAME_QQVGA FRAME_QCIF FRAME_HQVGA FRAME_240X240 + # # FRAME_QVGA FRAME_CIF FRAME_HVGA FRAME_VGA FRAME_SVGA + # # FRAME_XGA FRAME_HD FRAME_SXGA FRAME_UXGA FRAME_FHD + # # FRAME_P_HD FRAME_P_3MP FRAME_QXGA FRAME_QHD FRAME_WQXGA + # # FRAME_P_FHD FRAME_QSXGA + # # Check this link for more information: https://bit.ly/2YOzizz + # + # # special effects + # camera.speffect(camera.EFFECT_NONE) + # # The options are the following: + # # EFFECT_NONE (default) EFFECT_NEG EFFECT_BW EFFECT_RED EFFECT_GREEN EFFECT_BLUE EFFECT_RETRO + # + # # white balance + # camera.whitebalance(camera.WB_NONE) + # # The options are the following: + # # WB_NONE (default) WB_SUNNY WB_CLOUDY WB_OFFICE WB_HOME + # + # # saturation + # camera.saturation(0) + # # -2,2 (default 0). -2 grayscale + # + # # brightness + # camera.brightness(0) + # # -2,2 (default 0). 2 brightness + # + # # contrast + # camera.contrast(0) + # #-2,2 (default 0). 2 highcontrast + # + # # quality + # camera.quality(10) + # # 10-63 lower number means higher quality + # - buf = camera.capture() + buf = camera.capture() - if buf: - print("Length of buf:", len(buf)) + if buf: + print("Length of buf:", len(buf)) - if fn: - with open(fn, 'wb') as f: - f.write(buf) - else: - print("not written - no filename given") - #print("Contents of buf in hex:", buf.hex()) + if fn: + with open(fn, 'wb') as f: + f.write(buf) + else: + print("not written - no filename given") + #print("Contents of buf in hex:", buf.hex()) - else: - print("Capture failed (too big for PSRAM?") + else: + print("Capture failed (too big for PSRAM?") - #print("open http://esp32-cam-05.local/foo.jpg") + #print("open http://esp32-cam-05.local/foo.jpg") - camera.deinit() + camera.deinit() try: - # if 'ARGV' in locals(): - eval('capture(ARGV)') # ARGV is supplied by caller thusly: ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) + # if 'ARGV' in locals(): + eval('capture(ARGV)') # ARGV is supplied by caller thusly: ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) except: # Exception as e: - # print(e) # name 'ARGV' isn't defined - capture([]) + # print(e) # name 'ARGV' isn't defined + capture([]) From ebb2d7a4fbcb1bd7d91f9415f2ac85eaf99a3158 Mon Sep 17 00:00:00 2001 From: Chris Date: Fri, 22 Dec 2023 08:55:38 +1000 Subject: [PATCH 04/15] ports/esp32/boards: Add support for popular ESP32_CAM boards. Signed-off-by: Chris --- ports/esp32/boards/ESP32_CAM/photo.py | 91 ++++++++++++++------------- 1 file changed, 48 insertions(+), 43 deletions(-) diff --git a/ports/esp32/boards/ESP32_CAM/photo.py b/ports/esp32/boards/ESP32_CAM/photo.py index d0f7423ba7..d33f82aba0 100644 --- a/ports/esp32/boards/ESP32_CAM/photo.py +++ b/ports/esp32/boards/ESP32_CAM/photo.py @@ -1,6 +1,6 @@ # photo.py -__version__ = '1.0.2' # Major.Minor.Patch +__version__ = "1.0.3" # Major.Minor.Patch # Ways to run this program:- @@ -26,37 +26,39 @@ import camera def __main__(args): - capture(args[2:]) # mipyshell first 2 arguments are "python" and "photo.py" + capture(args[2:]) # mipyshell first 2 arguments are "python" and "photo.py" + def capture(args): - fn="out.jpg" - quality=10 - size=22 - - camera_frames = { 0: {"name": "FRAME_96X96", "value": camera.FRAME_96X96}, - 1: {"name": "FRAME_QQVGA", "value": camera.FRAME_QQVGA}, - 2: {"name": "FRAME_QCIF", "value": camera.FRAME_QCIF}, - 3: {"name": "FRAME_HQVGA", "value": camera.FRAME_HQVGA}, - 4: {"name": "FRAME_240X240", "value": camera.FRAME_240X240}, - 5: {"name": "FRAME_QVGA", "value": camera.FRAME_QVGA}, - 6: {"name": "FRAME_CIF", "value": camera.FRAME_CIF}, - 7: {"name": "FRAME_HVGA", "value": camera.FRAME_HVGA}, - 8: {"name": "FRAME_VGA", "value": camera.FRAME_VGA}, - 9: {"name": "FRAME_SVGA", "value": camera.FRAME_SVGA}, - 10: {"name": "FRAME_XGA", "value": camera.FRAME_XGA}, - 11: {"name": "FRAME_HD", "value": camera.FRAME_HD}, - 12: {"name": "FRAME_SXGA", "value": camera.FRAME_SXGA}, - 13: {"name": "FRAME_UXGA", "value": camera.FRAME_UXGA}, - 14: {"name": "FRAME_FHD", "value": camera.FRAME_FHD}, - 15: {"name": "FRAME_P_HD", "value": camera.FRAME_P_HD}, - 16: {"name": "FRAME_P_3MP", "value": camera.FRAME_P_3MP}, - 17: {"name": "FRAME_QXGA", "value": camera.FRAME_QXGA}, - 18: {"name": "FRAME_QHD", "value": camera.FRAME_QHD}, - 19: {"name": "FRAME_WQXGA", "value": camera.FRAME_WQXGA}, - 20: {"name": "FRAME_P_FHD", "value": camera.FRAME_P_FHD}, - 21: {"name": "FRAME_QSXGA", "value": camera.FRAME_QSXGA}, - 22: {"name": "(default)", "value": None} } + fn = "out.jpg" + quality = 10 + size = 22 + camera_frames = { + 0: {"name": "FRAME_96X96", "value": camera.FRAME_96X96}, + 1: {"name": "FRAME_QQVGA", "value": camera.FRAME_QQVGA}, + 2: {"name": "FRAME_QCIF", "value": camera.FRAME_QCIF}, + 3: {"name": "FRAME_HQVGA", "value": camera.FRAME_HQVGA}, + 4: {"name": "FRAME_240X240", "value": camera.FRAME_240X240}, + 5: {"name": "FRAME_QVGA", "value": camera.FRAME_QVGA}, + 6: {"name": "FRAME_CIF", "value": camera.FRAME_CIF}, + 7: {"name": "FRAME_HVGA", "value": camera.FRAME_HVGA}, + 8: {"name": "FRAME_VGA", "value": camera.FRAME_VGA}, + 9: {"name": "FRAME_SVGA", "value": camera.FRAME_SVGA}, + 10: {"name": "FRAME_XGA", "value": camera.FRAME_XGA}, + 11: {"name": "FRAME_HD", "value": camera.FRAME_HD}, + 12: {"name": "FRAME_SXGA", "value": camera.FRAME_SXGA}, + 13: {"name": "FRAME_UXGA", "value": camera.FRAME_UXGA}, + 14: {"name": "FRAME_FHD", "value": camera.FRAME_FHD}, + 15: {"name": "FRAME_P_HD", "value": camera.FRAME_P_HD}, + 16: {"name": "FRAME_P_3MP", "value": camera.FRAME_P_3MP}, + 17: {"name": "FRAME_QXGA", "value": camera.FRAME_QXGA}, + 18: {"name": "FRAME_QHD", "value": camera.FRAME_QHD}, + 19: {"name": "FRAME_WQXGA", "value": camera.FRAME_WQXGA}, + 20: {"name": "FRAME_P_FHD", "value": camera.FRAME_P_FHD}, + 21: {"name": "FRAME_QSXGA", "value": camera.FRAME_QSXGA}, + 22: {"name": "(default)", "value": None}, + } if len(args) > 0: fn = args[0] @@ -72,10 +74,12 @@ def capture(args): quality = int(args[2]) camera.quality(quality) - print("photo fn={} size={}({}) quality={}".format(fn,size,camera_frames[size]["name"],quality)) + print( + "photo fn={} size={}({}) quality={}".format(fn, size, camera_frames[size]["name"], quality) + ) # AI-Thinker esp32-cam board - #ai_thinker = {PIN_PWDN:32, PIN_RESET:-1, PIN_XCLK:0, PIN_SIOD:26, PIN_SIOC:27, PIN_D7:35, PIN_D6:34, PIN_D5:39, PIN_D4:36, PIN_D3:21, PIN_D2:19, PIN_D1:18, PIN_D0:5, PIN_VSYNC:25, PIN_HREF:23, PIN_PCLK:22, XCLK_MHZ:16, PIXFORMAT:5, FRAMESIZE:10, JPEG_QUALITY:10, FB_COUNT:1, } + # ai_thinker = {PIN_PWDN:32, PIN_RESET:-1, PIN_XCLK:0, PIN_SIOD:26, PIN_SIOC:27, PIN_D7:35, PIN_D6:34, PIN_D5:39, PIN_D4:36, PIN_D3:21, PIN_D2:19, PIN_D1:18, PIN_D0:5, PIN_VSYNC:25, PIN_HREF:23, PIN_PCLK:22, XCLK_MHZ:16, PIXFORMAT:5, FRAMESIZE:10, JPEG_QUALITY:10, FB_COUNT:1, } ## M5Camera (Version B) - https://bit.ly/317Xb74 # camera.init(0, d0=32, d1=35, d2=34, d3=5, d4=39, d5=18, d6=36, d7=19, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_10MHz, href=26, vsync=25, reset=15, sioc=23, siod=22, xclk=27, pclk=21, fb_location=camera.PSRAM) #M5CAMERA @@ -83,19 +87,17 @@ def capture(args): ## T-Camera Mini (green PCB) - https://bit.ly/31H1aaF # import axp202 # source https://github.com/lewisxhe/AXP202_PythonLibrary # USB current limit must be disabled (otherwise init fails) - #axp=axp202.PMU( scl=22, sda=21, address=axp202.AXP192_SLAVE_ADDRESS ) - #limiting=axp.read_byte( axp202.AXP202_IPS_SET ) - #limiting &= 0xfc - #axp.write_byte( axp202.AXP202_IPS_SET, limiting ) - - #camera.init(0, d0=5, d1=14, d2=4, d3=15, d4=18, d5=23, d6=36, d7=39, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_20MHz, href=25, vsync=27, reset=-1, pwdn=-1, sioc=12, siod=13, xclk=32, pclk=19) + # axp=axp202.PMU( scl=22, sda=21, address=axp202.AXP192_SLAVE_ADDRESS ) + # limiting=axp.read_byte( axp202.AXP202_IPS_SET ) + # limiting &= 0xfc + # axp.write_byte( axp202.AXP202_IPS_SET, limiting ) + # camera.init(0, d0=5, d1=14, d2=4, d3=15, d4=18, d5=23, d6=36, d7=39, format=camera.JPEG, framesize=camera.FRAME_VGA, xclk_freq=camera.XCLK_20MHz, href=25, vsync=27, reset=-1, pwdn=-1, sioc=12, siod=13, xclk=32, pclk=19) # The parameters: format=camera.JPEG, xclk_freq=camera.XCLK_10MHz are standard for all cameras. # You can try using a faster xclk (20MHz), this also worked with the esp32-cam and m5camera # but the image was pixelated and somehow green. - # ## Other settings: # # flip up side down # camera.flip(1) @@ -145,22 +147,25 @@ def capture(args): print("Length of buf:", len(buf)) if fn: - with open(fn, 'wb') as f: + with open(fn, "wb") as f: f.write(buf) else: print("not written - no filename given") - #print("Contents of buf in hex:", buf.hex()) + # print("Contents of buf in hex:", buf.hex()) else: print("Capture failed (too big for PSRAM?") - #print("open http://esp32-cam-05.local/foo.jpg") + # print("open http://esp32-cam-05.local/foo.jpg") camera.deinit() + try: # if 'ARGV' in locals(): - eval('capture(ARGV)') # ARGV is supplied by caller thusly: ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) -except: # Exception as e: + eval( + "capture(ARGV)" + ) # ARGV is supplied by caller thusly: ARGV=["pic.jpg","5","10"];exec(open("bin/photo.py").read()) +except: # Exception as e: # print(e) # name 'ARGV' isn't defined capture([]) From de8bf969c87439a6686b17d78979a0534f2f2adf Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 9 Jan 2024 07:31:46 +1000 Subject: [PATCH 05/15] docs/esp32: image files removed and added to where they belong: github.com/micropython/micropython-media. --- docs/esp32/img/esp32.jpg | Bin 86457 -> 0 bytes docs/esp32/img/esp32_cam.jpg | Bin 218306 -> 0 bytes 2 files changed, 0 insertions(+), 0 deletions(-) delete mode 100644 docs/esp32/img/esp32.jpg delete mode 100644 docs/esp32/img/esp32_cam.jpg diff --git a/docs/esp32/img/esp32.jpg b/docs/esp32/img/esp32.jpg deleted file mode 100644 index a96ddcbe6a39a74bded948698db956998f9d6c5e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 86457 zcmb4qcRX9~`~EozV%MgEnzdIEYOC5cYt{-%-vm*s0~K0YwRcr@Sha;#1Qltm*61)( zQBtF7tG)g5`RDup@8qxKyv}o;^PKy>p6j~qJ7=Ub3czM=Y-S9AAPAUJKfoCoFa+pm zXz6Ha=;&zaU@$s*Mm9!91_nkh)^p5kyj*;Iyj(my{DKk}_~Bv#JUqg3!eSRCrDdeg zUyxUlmr|0Dl9u}4ARr6|W29%~WMt%&;^*O)`u{%8hyXi1NP%FWAUgnI2chiXSr;Gx z03hxEX8V5!1OTD5bTlw}>RDSh00Kg2Av82l7=#8&3$lX{C=EM6%ORpnXTT|H>jmSw z9d{}74Y$~phL1ls?0)m8c>km46_+p!%_{bZ|1@+_QuXR}->`?-Y6$zAGt?o^Q*ZXa z>W6xYU4%o~z!vItTl7-g8ye2chK(zBAAkJj zQu$}-9eOqaFhi-=utV7aJzy(mdgKBMDd>U@b=;dvf$HWi7(!xLPDwCf4pn90UBW({ z!Xp)ck^J!ZWP>4Ev}7YUXuTWD2wn#&xzX7?{iP}}Y z!BL0PpfsU=%9vqA>s%mE`!vJ)`>q%uzi(ALbtJ8g6nJT&Jo?~dgBfU%4RRv%ZchWK z*VPTOXbTE>!QOj5_-^|u+5*YkCtvXjMFCw*H$|Gq(c^%rX;5rZpuv!QGnoJivjRK{ zpZ3lXfD)FlC~46n!3`!e{<;#siC#dNDfzF1dVAvRtFQYsRnP<;@?g~&Fo1-2zJE=? z${94^^QwPSSQsWH;7ZWDJ8e*O)P0KhBQ^#8UrCa2yF~C^3nSe845-@G-6JaB3HeY< zj#muchxmPOW0D5gq7IR?O-n=CT}59I1Q4G4{wWv79d&q&G5DjKYQ;Cg_2wQxIR{A0) zy)V@Z*?U^e3@#0=H1om=*((m2p>?lmPb+q*u~N1&yJp&S~ z(Oa?oz_YwixYf&*%LEYS#PsKqG?qsT`k)i|v&Z<_U;6-n{KC>pld#N8&O&FvI)**8 zjRO)wH?~b=`#xkEo`-0E=*)R~pTboZZLky+CB2Xwgh^$%;-(@~+cX)dOQOR^j9_vl zLoX9Kn55<8?jm?KK&P9i>g2<)0}8vYumB0Y9t~X_ep}?m^bdUv0xkNFPiW=x(H>DN zu;khu>`oIFlKO|&h~*5hxsV6siG`ZMCW8W@lDbGhD-}m%whWsC^?7i>xCI+?;KzF% zu{co0GgV!-`v?iNxku+K5`zf)P_#jru==zxMECL_@W#2&sRM@15iBY)sWbgXBD@X!e9(sz)zp>M~BfzIoR4I_#u_TKN% z9x%QIvP4&c7i^mb@;eo}CQ0i}7~N+O7j@j8R)%F=2urAq1`?Q<9Uf1CRxUJp2migXTr+co+_1_>uL`r&A;jx%!p>10Czd;OIiV0z@8rv*y67pucF>Rv+dE6J?AlYcJnmA(3tT^CKEri@Eys_Gf$Jx zBfd)%tMHf~bxk}2Y>D)e;or&Boa>wh^s7qP6aYBb13rVi@aW8nJOgw|Filetr~Xce z0&Kj6crN!>KZH9_e{^~rSmS#o42!yl!+{@aph_((r8iDHsc^g#Pj?0sbev!zoqi}L z41xu(XE?oxk&xrQBf{kdX(NwELo&oFeMfL84l?J84weQHT6S(Ev-uzpH0dee4f~oI zJY6?NG(0bYzQxGU)V8{_0N|n;fI#h%umdDJPYZ&vxaZHfUO7+_e)YS!_c<@T`oumB z{aE)M4{#X8zDxj>8$)Z~rq+g$K`yUE?73IJ+K{YERTk%zk2fH9j9tSPabN+-{|Q9j zz3>It`b$pX^`_aWA;`bPA*HnoEjk0rAto|)JaY$VQXGa!;Yj|OnS8Gn$dU$A@TY~95b$YjkBT-(l?=(5AF3`c*INE>ThHiHdUr zc^dfU%_J)*O8nPBr83sXA7d@?I8bIy-;Nx5j}Ml;p-{=-_@*u&zNkFb58B!QmM&Trco< z+?AAN`L$Xd+`^ZKVlni<|;&qZRoC$fHsp51p1w1D}j!Q7mRHd^DJ~zUUWl z*KH_JM@z=&Jt^eGTw{`zt(cyU-6Byqs+vYL5StHC;3~!!;iuo_94z0_anJ*f-dL~@ ztTEanfE;no;O!1rgzo7>U{M%m#v6Pz^$W|aoh5h^5(zoMGV|hjJ;n%~TR4cBRgwA_ zNKv8CWbkZWQus9Hx`p8#3k(F{YJ>GFu#R~QuQDEgags@gy0lAN<@oiIh#-aV=JtAw z_t*(VnA#9v(Si~r@@w6r}NM@2^s1XHrrxuyQFn#(hJBJk7;IFZu*Tg=I zQ4NiwK&TnBOH|i9S_nfVf77MRcm9)66nJoWTrjMo{s_sZRm@gQ32Lqb6DiJu z?NI_?s{Si!L7bKVfE^Agg-%VzU|DVLF&t{Td0H_c=bDp0w$}xYcN|e^qBm>i?N|>b zI+o*3tD^^=!^;?2@n5Oq51XTDl0x+P?UPd_qXDBJ{`x<3lu+0(AY+_m&e64A`taK> zQBrPzOAUub;7G7hq}-J2#4!bC0JGKgA>yV3L38FvIWl}jLy}Ae(sKh#JP*yF-m!Jy zkE_XL&jJKk)u~uDd|7@l?U>1RNr^TzHt7jdFXBXrk-=xUlto=jd8#u7s+j}@=e?SP zPOx+o2G#P}EXxim1A0~^CGHsoC#PQnmXLGYj&^92YcrKg;%_0^veEi_)4&b$*OrWg zH&&hN7|u`%E4oE1{Tr}n2e%VrV?7oMVG$Y260wc#3SY(8>bx)ZhX>X)qoQ1Kpaw5+ z_sMH#>T8X$f>_iw+Am({3fk|egPb?KYheB}>DVOd47ehXxrC6iY=Sn|AM6p?2$?ms zNck8oI%@MCf%hX#CPJPo9iLv{AjW)DZzCuwqu8= z1+i&hWT{0e9=;4=1!$jUmBzIQ#9>l@KACh@=amdPp##3=OMDo=;1ToS8DvXjgxiX| z?uD)c7#pi`jjJ&q>84kd=uK*wJUwgcaVP5-wUk=oka|n|7b-R-X`ndF;MranCMkM7 z_BHqsMcfh&p9}#J4b)l0X*LCy20uE*gWvTyu+6Y2GAJsIBf7DCt0w?~Hjvu+rgp?3 zh0RjT?jb6cPaMgoq7qkTKXJUZj=AE=oT@H<`^yoM@k{mfJW{A4mC}J%R|?_TX!i{U zQd7bg=mUgaAdq@Vdh%72AeO;CITslte|j5}$@2aY0&SMvln=!EE%`Fj0qo&03HjleV~Y5ufWqZ?aCbqfIwSbsAWsjN4;U- zAJnhSy*|{a9{pgS#;~HPyA2a{62cl69>A-!@@W4`bsJ;aol_xFV^z4K zPZTzEVE%GvC0wpi1F6V~M4>4w3S{{fhTEsDC_J#2NuSYL-#L9o^TrKW zugJdbg+1M;;pSKZ+Th6^y}$=c@d|GvjGaDWn`ei}*?@d$C;t)7xr_?K2*=s5sikjc zHVd{xejU zHt1UMp8?eUZtkJq7))5{`tA<#qUQUms`@ubUi3AndVarG`4qA(XvuPemc2#OO;9X@ zc7tBorc_k9yE4 z%gj**O`LoI$V^`TQT9zD^$gIadFr6RLSm0%@?6D%g#*EJ_u&~J5rIYjR?9`Br6-T> zpM=l_JC0v)z0nH{%s;&#EEmhZrdR)+4E+6QH|I8Ol}S2uUBy@t>|B~$o9p)JE+wl! z0iaDp=oAS?&rD0b`KxIq3PXX4pCzsEH6Xu>DNveN{n3%rS_IyRiX80nfPi7u4z$A|(O(#_Fne z%q$sLyam1PJT>#bh3`^ksc^BM8mA&36-Bv6)*{fD%iPB}iTb}d7*N2DQx|77o?VOv zT11JNq>yM5I2yr=SEuo8YKz&RgYWtMSq@fP*mGxSwAhRBr69qb3ZlyO!&Iout(GsaeSxZ>OiznVl`}tDy&d%ImOe(Nc%-O zCqoyvi7MwdP>brY~0Vl@CC_SyB4sD1Hgo^aRw9Th?>C(FSFtJ0b{{n$r>_yRs zQ5TkdFv5E&shVb_*oQz)RjLzjhhhwtvAap-UUq}_t1>Jr&pMvm)RVyqG4U3|iguAv z(;z!-u9@rN4ke1hRr_X_G01l-%!?W=EFuQ(Ej-kar=?jIsh@uMWfdcWd@Ymwu(7c4 z0Um;4W6WY%Z?6pm5>C~}YFIk6Z+EI`$MNXE{M$-6qmC(fu~hJidWDiOmCk)V8Rr0}X3RkX{=xhxImcmWgB_ zbl-2@pm{XV`n%^k=FWHf{E;4V&^H{wm8f5qmblP~=iNKqhx~KxR2K>I^1HCWM~Bk# z{7esrQ`g$M4q_y8^&wt33n1+hjYNc`SpN705#h`<>2C*I@juO)w}`Z#WlMjtl2gBp zFJn}V8b$@v5xRt4KuIYx*0n54Z!Bm>@QdJvZ#)b{T|2!yp>8Zc%MF8Z?WgNby_+BL@iwCCK@00pvMbN1%P zVSIvwy!`2it5GL<{Yc&!*8o4A#X9vgj|p1Gq} zhB%<$@eE?kOnCLU9SgqBa3sQ~dZW@^)07~5&RG-9?^v8JOm7qQaxOh;$MI;LbgX0S z-XOypV4F0DCpsJKOQL(O^}1fYM@W`PBjkh`Ifi(QrGr)#Hg%r?kKCdtkiT(BwDoBD z9`Ig7E{#hI)hkJ8b^Tm&S_34Gs3t^hg@&+%g}wXF(_=as@zmbB7wU_TGfP@CYI`h{qk8msK!Bj=_KHd)Sn)@+oZI1_ajV3U*Ux{uL1 zU!3$@#(M4?Camgf!~ETGV(tA*7f7S(xd8z5vNuPn`jfGc#)((B-(0}T-wpM&1X zcgTUtc)Ec$QqdQxm;x2%9(x<5{n^zk+hAWDZk?jsIDSEck+QQo{JcpHgrHehD`Yoe zs9kD!`ezicP*n{Hjfosln1CVh$DVm$2HW7g9}l)!Y#cBX!gSk{(Xqqp7~?5nes|06 z#N)#Y9!k)vxkQ$lVD*I~B(uvedxgAsJ5qPIH&HMF{!Q7_XI;$8iP4`Y& zW$f{-CITLE%ll2<(rclUlM^K-Q)|_H;Xn;CFjRd(ipi&ir#W^Ucp_E$?j0J%{yz^W zc~XVLak>-r^76tsFyUk?CBPXLY9O8-yNclmdMyJnKFW?cI_F07oVELW9m`5g43;_e zt_1?~s>|pM@KB<8u07kA9um|%j03_qxicCx)5fT9AHs2HT|Q1;$4IyW>|9vE98W;f zGRcnO87ZEP1K)F$f9ku_T)V;SFr~xK#N;{9cEPe9b5Tg2?*^I8s^|pEswn1OM|O6D zuI>^UM$o@W&Z`xRP^xO=O6*ANJ14&a;(*f7F5Ky($Y%6BChfn`!06IVePIEJFIZ^s zK(UJIYPyTg=N-AZCv=}aqf3b&lk|gatllOsV-S|C&dFFU&qIosYmpXY`V;!w5t9EZ zQeYACrwhUg7?(u=QNbY`Ut+#E2sY#P>A%pNg5~4@!IL0N1k=T0VkQ>BOXRFbLDXKO zO%jeI()-cA&vHr*i-u;u^?qIG8Eg#piwwa-bz-6@0*k?BuveZ`n{aUOA)EY?oDy?a zF5~i_i(^8zRIl}8cK77sR3~~agr-J;=@Jy(?ej;^4Z7kT^K5ZGSf6Op3b~SirOGA- zecRhDhtr!xRpktN{`jn5+Bl(o+Q?h2C2*F$R4mQRrCP5J^_f#VEVbj_ek7a;JQaY( zr$^2#mkvuPeUYssk6&0C2lFD@w3kFG&dK-A42?H5w4dT>`CIAQzvCPB zj?$>W4qb6K&oD||qdRRw@=aFNEonhN5+!Vrd>A#hm=K5p!f!N$et3Fu5u;H@#GJRR z51sBju>zY?5stpYP3sMZyEw4+s147bG+dUjiZMt}^8T#<=hL+3H~?_VS;%lGDBSs< zHM#Z@4j6yxLX7K0Lp+)D*qL6^uKVm#FA$K=;RrU`TL7-|wvY83L*(~}v@cce@S6(6 zeLZ>1$8h6!@ZVPBna)@|5sFJ-cqdKqG@~j8Na{#{qX=It_e0lckkg+pmwicHi|j?^ zAut-ORN2qewR2)A&=2lmbf%8hYdWJz;y2m@7DGI<*`lfSd~fFch1MsY$xk{twynheterWGajyfzmAvF_!A z$*F%5yc#JPREsVLU7m5v*qn-mooEnMOttk{i=A)mo&oY0)9|J50DKyS#+aK!QA}(4 zEf?yyiJDtvTXkUyPZrzShnNtC+OnTH(!p~<+eF=3i^i$R3cz(86V6!nbn-qO9LZ=D zBm)kg0bS#gs~B2gX*j&ljWvYT)m!`RiA)<56JlAjV5);51Km&8%>EoDQ$q;+=#7&B zb-woW9#A-1M$72!wEl%*ZpsX(IxDJQoQ{U3l5SX<+-_dRg!DQ7NM!1c8_|79f}9gU zGD(Kg^mD?F3Qfl%z&W53a+Br3<# zKw=hY>!O6Lz~Q`xrnRw)zV=PPQjUTx( z8mPkC-5ZSTrGl~z$LZ6+c(x0zekx8KMGa8xrE39Po3I@6A~jR%2J|AMRdtcIlN52| zNbhKU-gw}`9ueZq*2gD|5P2ebxQ_8Di%Y#1^jR|fHYUR85}RsvRAJVg)!226>|~Z2 zYHEfYwoQ}}VZnF&9+F4DzVIyt;u~|#<)I?7XAb)Lkisax){q&b9YDFyM4_q5lUs65 zp8C_f7`#shi&6~=MW_ozoj!wQ+WSkGFU~tN#gc)HyE3-9W0xWYCXSIj#U?Mi2wg1e zdm6B$=mFc@I4w39)jNWO)nt&>nrQ+bJfq*{MlzDpcbGwiXU9W;!PJ^%Ee6?@>R+1b z;cZM6HdH?E4_|NJpJa+90Pi0GQo@Jl7BJQ|x~V)QEG#VcTlzYNZ}cN`bXT%x!`?J- zlvNc}xyHms+gr9lr|;+BU5#3a)LX~gYQ&DTK4Xu(IK40ouvSQjWl_NAiIpbEm{=rU#=mgoZc}Z^dh(LBX92P64~209L$CX(*Oh7 zz(KrTAb6?viKIi?ClbsE1$`YyC9VV@b+BjYEn0zEYLxo<)9zTg{VRCSykf}JU^4qF zh@pw+=`Cb$Uc|8Fwb4l=e4WJs8I^XW2~?wwcbBM>mZ$)CsFD9e0CO!^u7MsgRE2iK zr5})Q=Ha8m8oOT^Cpm9nt~NdUd?kSgGL8dBQbqb{dB{Mo!%n^&KqxzvrJVN~!V%t` z0s0dpaAUCW3JGjFQQ}k*k^4+$A_aW~b6M+D@zB8sQ;&gO&)=28-Mp^-})5CyXTwlXX zVUv72b{-Q6L)s{7C9hE5Z0NWgw<&@)j;e#yo_Kf5y5?9dFz4T^xl6n z6LN?K&2}vF`3cu}NFa_Bx6KDlVy(Sll|xyaj$OuZaHU#-!n+>oM7o5=tlFl&Nph?$ zMxC~qp(2j7qDvsr81+&Fg3YL`TD+JPN_ID)mXyzcyg|ZbQ?1WmC03)f+P;Uxi&O0z ztcook;><>a;M7t>swL(g>tQ`na_HAraM~5Z9kUu0`|27nS!7~y&j76LUGC*$;K~!P z%R>LbeL4fiH;F1N{!zfkgVk87i?;Ih+7q7<+Gne1%ddcAUkcZG{@#}{-w5E0J9D`7 z^)KXDDv0sB6)qnJ%u(q&H&eicM@H0OuF1Gn#5#xuo^_kbo0?9AWfI8r(DbzBZ7tz$wPoeuw zTiEw#in4`XKHUd>Y2&jxL)78P|IlvWYo8E%e=UE~jmcGv<0f}Y#D#8@E1+vbNop+CIuhlqKoe!Y7 zdmm%p()4L+`Z^hi&*k-D^ESOmraCjePL~3NZi;z>C)5onu>9{bRyz}QAAP*R+9owB zEW2VLxs36>>1`;HeR7qWk99!s9f252ENjQ3$x6W|3tL19w6q=A@!Q7-O%3;_>7A&^ z1>i7AhB2cga~YHM`vZl|VD>1A!XZVo*qYh&6(TVtXMwq=(c0+Kr(GX8_|L3B#!@L3T#F9DRz3Mhsa|l230^So!Z6j0-rm zP}>B6-&oYO!Tl+|zL)&24;};FS!P%AoG?t93~aBIR*@P**qZt@V7HwX?z1&?6+Gp;`8zIbX)usW zRPaO&yLdi$MFGQfYCUGIYBA-D_zy}~n&tcVee_41U%~vcu>ahNiGWs|_RUyr@C^8L zP(owPs1g-d9v^XZP(3hi}GvX`5<))EtLqR6NBgJUjtvm=~bX`V|sj~VkwT{JjYKi(iM zmM^{-dtIM!b?w8F3tRmeptg$fZIu5)Hv=0z9#Thrzt4(5GqtaAH50zvSujdl;TuA?UWS zwUDL6O6>=5?oh6We`KiDh5Vh)swg$vD|O#Vfn<#HiplrY$M$Mg6*FC|v~?_Pk)2ii zl`qrm%HBN6jLK_IUq~9&d0s)|#1j+PnVL|$m`wdz>Ob!|Mqx7^2h#EX8_cdJ>)a(- z8f<$Wsp$I8Dt2r21YdowNi<@6!)udSoQm;>a{p`YI*HUfRevQ)7%ddrt;^D)}BBGh6$=z+~*EHY0 zvqy7QP#*-9JPFb5WToE0xZzU5W&?1eH+Gn{a`isC#6+OF%-r9Ws4jY1LJ+(@5&q+; zziMosPj#s3UT6J^O3&S*Z24M#Q&UmK;MP169QwZbe^E=-@r-b7=;6 z{v0)h9Dm4@4RJ7D7+!qrwi{5M{Z=Ky?hI(GE>b0NB|A-{W>&d2rzF4sXjHvfBKu&JJXKTSBtVSPY#(1ZLL+F)$Jq^^M-HE}nh-JopO8ayeMAfK3g}e9? zVGg7=wPoH3qRsK3WJXcW_0_1|8z&r5gno-&NbK61q|mt6P3U`d@X?%anzC@aSEom< z_u{@=jZKFg2vLhzuC=2EEiEK!J50HwS@dg?67D7W6wk!^Z0TBGUWr=CYt;M3=0`)t zdhu#-EWX7D3n#g~yj^+VGF6@PW2m)%OYIUPCgNUP=*k`S9?ck4(y`#+f1v_XxMc0` zIYa5sSY+aP^(79bBPQ>Y;XPjq6%+4~)88C!sn#yZObkpA5ML^Mva07(RrULr{8M3h zdu{deNvUI6U(hioZYQ^{d)nF{bLPKSwwhR5v%XXxaSpyq@qxEa)Sn9O6zSY-__4zr z9yTcEZ=PRn1WT6XkmFkqCM~8G+>Uxj&QsLd&o8XEbNud`dpS_m;>N#jTW;pSt2aK= z&A~D1v&@&XQTc-T1$%bZBiY(H+2x*jDtxmWB@S6ggxnlc@|IW1a&)2J{Q%}yGE1}- z-$wfLi(9kVC9nGN}+`7tJVR^E(x{oWSB7lnV@L z7-+1LHm}u65Zmb-XbCJ3z+N|Sun6c=jlU$8>-LaPn0jSWkl3?7EtnLX@S}tMZB_M4 zxv@f-+?4zNUaHC_?4dym$Y#(4+M@M4qB`OWV)a$UJJUzQCB9#Nx2KpH@FrS^uokWE z^yTT$ui7*T=TYn zOJJ<(iqFG&ALqpkL}sHxxu5#PG1yVtYW7~O;Lk1Bf=l0QO^gqZ2c&(R^HKi8rP({; zvw1x8eY@6mavytK&wzJQTa2&d)!f4SzMF||FlOHULdm?nqCC8kA^~$>Q02Uv^9jQl zwN#Q6C)g`QYE1RBd@<&iv+UqJf_4>YWbpZ*R2(FIwfxc`7 z&c${$^N+7#6d1J6{%~T}r-RR2#pc+uHcgNDW&xW`srqS7p5GbZSnGt>A_d-U!u8+< zejs@T!kPT!_a1Alt=#r1di?Rg`o#P{_|nKhWChz6~L_Y-4> zw*xH|CM`yr1T{vtxmzw;x+r7|sF<;h6teLp;L+|!GlMQawKSyeS2e!7^uy9R-|qdt zeeq-b-4gwdB-^-mE0*h_mJJas?=y8goS@GY^Zy&+xLFw&Aaq5GWBTMT5Ve)EcAsNG z=Z;kiEmyeJLrsXKyXq zD?A@XUCMtRAvRkYh= z2MZOKoTUT)-i-Y=f1mD$jL!D1e3i8dK(JuDqcYFMHekAILKe?GDS$sSA z{ksSj1+V;JGs_%bJ;b?n--FQ1uEEx>Dn68O=-_l*%GAptMFn$)ZbYnwf1#+02OhWx~7OF)lFoGC$&V^cf%{2)a05TB%hzG!rqL>8$iK7I$|%f-@bl z#oQi$D~L90O@G~*pxL2uQsA1seL^d4qdM$hXnr-0vvDa#T|D(0WIx>J?^3;fzh>B* zuoOb^eg3zJ&MzK44OnFRY|`wjlfK;}_X!nbRsC~8$58O{+uDKq5AHJA8jHVDs5L6w za{@kuhEm+zE+`SylzWG@YDRS2^Ucge$HPaNb7>|mXs>;z^0moIy*GUM0x^OP#e=C_ zKaHJCjJOrtT`09i7CkQCo=_RDqOCZl+S{b|M7hXfLSd=*&8>dn`oNBg*JZV31>3#O z{bBH3M=iMEli8h#*);W3Nr#X#;E&!laZSI!&;2S4y?rW%Qtq}t&C<=+7&q2Ut6m+= z+YxedH7+PKHp}l7&dhtB$YQ}~i@aG>XR+Q`fhA=>w$e?j`rFs~SRnwPuli-P15B36 z;|lw&OxK<7RAkWfFXeXcfJgOeflid6>89cJAs6wjp80D@;#G+~RE3NtsQ*YWU8-gE z9d%K&HnO$1x!Dq=5aD>!*!g?or>ZU#uX+m)R%h)lpPTY_grj%DJ57elWrELwJ^c7R z@pGL`QA(+(b*8!6j*m>hvCm=XD%+s zH{N+!yX2em?sJ4nW@yjklEzc0P=-2M8Wx7#BQij{5jVwNZBnanrbD#p2Cdj*^H_`6 zXh20nSS5b9J#O<1z=6u4k(&*oD|Zj6EqSRotWNFjw30W8>a=E%T`W)gI>sw1t1UbD z+=W2U8DfAU7sl)EL&nq~R9Aoff1Q6Z&;fL)Ui~;I%A~BBwAv8}T-vnH2*Ob-(${Q? za{DLdJbL!Ql!i9Js}Dc(L0#0!Gwu+or(Xay$v!^SBP@w$z%VE$KZARN3gGd4Lv%hy zEJKG|c5j`J&oZqRSNDIz!Dh$-NQSWNtwpY`duDh`d3{-AMOI`^E}H=HU}R5p0$uw9(6g+y_XwZ@zE!@&P~ewY6WvpP@Fn>ns2?!@$zJrsbnV3gUgdLL^mX4^Mg9kGvk4N5_|&2M`+am!xF0iYS^UO|3oN8y!V7ftwY8bP%@;k@_R?%g z9AjGN#@AE6&de5X^}VQaOq7-$dLHR{-ZzC~_Pb79hZ{<|rSye+yQl0@PWhJv8g3<< zM)UbU&e~q8e%<;Z3g@k=;jFh`X!MwmzKe)o*50tUw-k2?u>Da`npNNu+<84Lrlon9 zfj!<*yJ_`yh>kA)Z@R}mY8306Q^}#Kry*U&X1+b~-h4AYg5~38?c)(vQsL~?-%jHW zO}Pc7O}R=^>7SB&BGa#Ee+=xpNIZw~5t?-+e`S^^c$(?c-Y|Sk{S0816?t1*rlz`K z#;w{f7GP179&%X-kN>9H^m;%2)##st6l-h8WQj|R zx@w}){GM3(i>dSH8Ef(onu>$zh*?49-_KhXWfIh~ueW|GH+2?~4!zO4v)9!xxGORI zqqV+b^uG7C7KVGN+RBE&V}mrK?<|unA3_ba_|*otX* zX;gaW@sTW@naU0N@b^*`p9*fK^?&A1dN)BnH+~+!_FwLTC+Cv%%s1J$kLAt(yOr%` z+K)>PRNXyM?iY}2t#xfKF#Y%}<2Aae;n(AeW2HeOi5Qx7S}oD{DMDA7TR&WaV1X59 z#bDiM^J#j?5$!dnN`I^!9P-3RMohPVnEM{M$~pSAG68ad=R_Bnwh@~UJ2PtU3xhiL z)Oj&wchJApRL8=SMP8g*;~-)i;8T-Fx095AsaNcLOIEY%u>7ITrEDS)+T+%1{W}0; zT_Hlue3p~)t@g!;TjvRWjV4za+gOCNU_<-(U75}@E?qy7o5udeIUHx@2_yWtU z_IGkcl)t_u)#Xlx=KpFQfG*lsoGYA~d735pTYt!QZvFgGe$#o>JS+Gz?xL_}q;VQv z%FkBj8HsMVLa3KS=GhhdtCzT=>f&MHB+zn`JL^ML#K`sYPF98NF{=cL?7o5e$4?^? z%)8w^8Hf3N@0HT_VZwQ{ZynnK!#sRI$Bjo;9Ql`u5gCI-uh!9 z*vrq&;?siX12i2YZkBn1=G{`4J}buPtm$0txjA3nJELgt+fuX5l5cdyUnFm{OkOGO zXLyDMJL+Lm+!{ky-|cXV)wxj)@vP5%aRxz{)l{p2(Qnrfm1Am2g2@my=a{IL!7;r>B@NJQ-h!z6XULk+K&`wi;Lsvi%Y$4^NQ6<06zaw0lJHW zQG2t5&cFaMyua-q`O*r?jfLUkBOjU2KJm=FJwlPe9X&F@pcHeh4t@Hj{Tl)JwBj_N zb7M^M!T$=OpWQF=f4KA(mDxbX0cv6g4;!xIrzr3ZC79$hk|K5|5MVPMLbM2^_JALO z^%l4@oZ5IK9x(d#SBn_%G1;OrIYuXhW<@ae`#55U$bhx1tBHxt0}E;(3XT28hGCf8 zoi&TwgndBF=EposkY{o>CSpjjMLcu06PhJN_r#o6rtEbEG#$tV(bXo z);8xlal=IUiFS6W$g5EHVB>NuVSE8TnjIL7HhG?3;a`!!;?69itN-W9^`Ka}%c!Z| zzjwO&i`IKO9`uVgR~%l~`SM@o7V}KfoA~kx&l?RisrpJZx#DP_K)JXxAHb zktzA_k>|2vjEpX!gu^HJPn*J=y`Q^Ik?r%Ifr>xrb4xsVDDoFsNT2)^g@c~V?1idE z{2kbnt96^ol-*r%Pkc{6FRhhH5yA`MF2Q*`XFshjuAh2EIBrMqNMUz@wFvze9b4g98uq!> zOEYfsfX?P9X-AR&eWGlPff?DMHrjPRDm(XiILvW)Xnw~(_cIU9=qc?#PFEFaU%Tek zC17Hgz~d5Oc4r_=*J4ENy|i6H7`?~y8yF%mC~%F(1Snjw+7%)^K9B#ptf=Y8oUQb4 zb160_`;Q8ZNtt^`bX~W7{YbKpU0CTf-0h|y!${1PrVEY#aa^~QH4tsOdK(`x=kG^D zKDWJdS0mr_zt*VAFy^Nns-?ww(XB~O2h~P$Wm|+jR)gm}NP{mT?z-F?N>}M$zI2$A zuADIQ+&SxwVTOfRU0G1O0YRbZQ&7-wk>JZ62{j`s2{yB(p&QaIvyJjJl`|S_#!@$R z%fbaS|3;y5{Y7rUEA)T6qXL9)(80}GW;xvlOP5YFmlTTLzRs?co|3trdWA#WOpfT5 zIAr;}3of{tRO{~W4^LTS3^-ibWt1yVWSp4Dxqo-2z`(}ERGvVL3k&P6PhIwJ*9z0k zTVgE?*d36Pcxw(zlJLzmuQm%i4~x-H&`R-giYSs8J70d?`q?d{-TXR7(}lDvNk5-3 z@K;SPqml!fPHqP_F1ux|W+z`9C{({}_oFXNM6aLUM(^H?M|aS2w9B`fE3Jd~t*Z_n zw4DJC2DaAnYRXk32`-M@D4y1pK+AN=XquKb|Aw%%Qtfx5q+%AL;)!<v2GikT6f4O z)aqMbx;Phf*DgG<=?kOPIN){b`{YX3 zpYuT;w$BC)o*78!+!U8<(D-Fp9~?tZblx7fSkN)uLYP_3exQwbu)`LA7gwwhxtd&u zNY$^{fB0-@Z2nW${mfiK!FG=#C%A9txEjufJ%6UvuuR6-6E`K zXyOJrjaA`&kk{~u$VkDCgr$c?&WMA7Y zF(_*MmN|9CJaMVi-|GSELJW31&f-=0xP$I3kCv~l+7YT5#{++fpuknKW7nix>RL#~ z#8d6oNN6Yjvm)UeV zJfCxBj<)?UHL^3{&AQn1d0{w;X~wM1vhjJpLT1#7*6fufw{+bvC~5zt#^av6@)nba zLdoYx{&`JD`DjYqaSV@jB>dMB=BqZ-`roy34$v=ccN9nT4Eic*zNQk9<6zI7W$SqT z3$4B7?+%Ka_K4Cqhl>B<3aNhz0R@B+`60p1P@f(s>4A^|tB=9(H|F=Z z>nsZG?MG|2(s66oZ?dL6-8+}g(BVgulN;A)g z=VnM3&<^&W;yuIqUo*d6QVm9u6oF}~Psldh`#da*?Hl8sFLpb4L! z|4*>BP|40}kEc_9(Bd++&-h!L#);N{1eu#p4qh5Qb2R@ML>K(tzu*j5`Z0D{wf=PF zUQGo&9T8-m#x4$>3976ef*mL`8hsTcvK>x^beH% zvoJnjHu?VmS})zf-R=o_O-Djw;fLM z%GVO^tuwFL#+bRxX=RHq%yOuzWL7P$ppArtf2)gM+njD+$1?G4{>6wF(y!8zX7zN! z44xXgn*RU^#DZAllm$RICGW?v^u@;W&#fh#aqS0B^i6d~S#^bX%tu-$qN$1sqcSL! z=ZqNaFaDOdu^7irQw*{5+xKg7*+rVstqxybn^ZMCnOo!(`BZf4Di`tNbPpbYdlhEC z8{YWoZ{oK0wp5deJ#9BrWR>+&XH|K9O)N{E=hQVhWlB^pl@%Q7HaA@Y*9X%R8J0Fn z;@X><-_hAw40)f#th=b_YE5k{nQX%@qqaJ=I=etf>`Igz>e zbCS(lmvm)C46vGflBTXq#xo+RMqodvX+iE%D14y1UeG z$4}-%;#O(%R85!Vuai{exqOu*Bw`rj3JvaTKZyNri@vFs`Q+BLkEZD4i!13&+MY($!!Dcd&2eLG@vOZ1u_yeo9}?17I;$g^8!JJT&^nX<07?QeKi7Wi zJ3WfFrjIRZNa`u(in}|{AgWm9s7a6z$XZB>@gCa~W4<*fI{UMGF_alE?Fgzeypu7b zdW^fM+c2q+P2q-!+xLR@xKbExiH79H`dj6m__|=svW%{(f<>Aca~#T8$QINL!+RWN z&fjI8UQtm=Br+_bG8hm@AQA1hB;j87pY<&PsQ7gSbXj{;O4OCLvn(jS0u6!!INXv) z01R~3<730Srt-R+hGUm!6%ff=Q<+y+(*>1WtR|X3T#$CuO4xg1P4w#cm2S519KB(u znmVVAW=j@Sado)hk>4ESZ&&Errk^Uw^GV}W$nu(M`12=bL{lWh=?b9gVnI>IZ)|k& z^wSl4Ek4rq5}22!CF#VViZdebAyBIpj&2+i`r}?~_o3!r8b*%2&dZCRm>c?e7r4hO z-Kp7c@H-$mon~*DQ3^HADdGn%hH^x4v$c%2Hzz>cbGZi^##uKK`f9pkA*zy_CWkAp zhH;bU($waYq`)hSsac69%(_Dh`r}iLRK9l4d{d#KuFCp)T05mAja@7@?5$G7ZS=M? zXJwvRKZe;L&AKk06q_^4qsgi#s!G~@A~%qrATcK3iw?(QZLxbvVpWD&K_~5wlB@Z+ z1zl%O%TG?F?BZkJa=m7@{@>1zuR zG~GAb3CBNDG&AKD`L27K(wbVzdT8@AH9l!WP!!SB2v8FucTI-p7ko1D47V?&{{V~f z`RY6|8dpMQa@vvYAk@U3LEQcEFr2mF>C$ESg(hzWHgR~fYP{nze6i+`21R&u#U`VB zCbG7-+l(c(H5{2{MVrBtWjRG=OVUGcQzZ#?UM zoO&Ly%qi#0Uod24PnngqNW(y~wZDiDDFf3P)!NR_QR*nxpW)Sg9eeBQDtPDxe4vqK z)O-|UedJZ=?TuUH^5e?rv#Rcn$l}PV7w0CSuZ*j`q?ruMb&Q?$SbB}IcXhPmYEO#M ztU09w5?I#L<+RY%-o=Er+%fJzwljUKd7H2kO>(RQ5>g-Va%AB*~6 zB?KwEsUd}c;NR3>9^PkI(JXgcTnqu}1)o7;P~V%6LBHDoiz^SrNF3hg{{YtjTEi%? z8}3Lv;2E}HB-;Fez3>gGY;7Ag&meJtZ9sX~QMs|&{{U-X2!dMWK?h(r+W=2yLQUCz zyI>e7Yw6U(o;bipEalm35Bg5urT~tC9?HS5y|%zIvkxiO*A~5qx3&S1fz@T)dW+y3 z6JP~{jrO@8Pp$)l1%f1}udB<92y*ibY5Y*+uSDvB62+o25BEtLL{921MjTosVR1tl+1mf!|mr-3?&~>!kRg>gUW;7LTnxs!nRS9Db zmn2_tabgYj#Fp;M;jMADt-c3m5W><$o@El1MwBWU0U<@~JiME2g}F(+B$C}Kx)Y$Y zjgX%->IiAsBV$%u%t+O>fgYE|=fY}4{aetv*GJJ&S5@XYb$umUv})9vnN)%5 zKm+^XGP3m3Qq9G!H^Q9WqCkt(y*MRiSiBaI)sjX4h0~_w*o}rCi@NdJsj{Aq>I~zk zvo4yBs)ibh0U@48)MIfO8~_PBorSR`cPX={Ro$8NUUimaRXLH(@@&$NEs!M8MI)9{ zPMaNp7AK30Tb5Is|#&Hn(ZETuqgHz!F0aqES&(w{Ww z?B_4)%(thSqb;7Iy(4&PB684xhVAUSb#*sJ z=KU#`(pOYw8E$1sSkDT*EQRdKx{dA!^}}{vn+;1h8i{@t=hYF>ta*=4Q%myk7mvk} zor@3yxX^4#U^d%tz8{MAiNx;BWt|t)8Lv}U^xRWWLtjK>@uiW-j>%w1U=OIa*pn-i z++Lk5x|895RP@$KRhw1S6xq3UYca8A?~8=plH4J?r}Giomw?JGE{EnnH|8?z?K}2 zUw<6nH)4i|}v`oa8aK!g(l1CVVNLFtL!D(E? zH}H#{KbIK1_7Xib@vK3NsE7BFhP|wKU_RX8$RZ>p%vhNVT)A&CW8VDs=K$?2@(WKi zvXOD-a(49Jwi}^oV62y5gzkWwA5E|WERQ3`7&NjQA}z^g>;S@}rkreM*lo zj#8-@?4rbeefwapp_h}(7BVcs^*yt``Hn5SpKHh;9>Z^ zF9J@1t34)O)Q**RZ{h;N{++RUb>%jy>Ixp8uj#tn>nfn1DwZm-1uU&x(n(!=>nx<)5G+X_ zOf}@+hAh^}8b~6Zs0kDY5=cQ~YaSbaYwzuex@Zw-Wg}vJ&Bx`4q0AtTrJ;AInWj;t zjFRf_jlBZ0O#tG z8`=Y_CdzY8kEPCIqNB?*8LG@;o|>%7;=~2ZvXV-W!q+_WiD#90jI2#u$fPP4BnRYg zjx7oa4aguXTJ}5N^Wy<5at9xZG6=B*cEC*#{n;ASBJe=(^uQB=sA>wgJ9fGDu)q+x zCB}sV(#4Oj_rM?(2@2bh&98uRyDfuQ9l`DNz!`TD+%ftL1Zv7aVx)!iHoy@cEyHT$ z`A_%23}7fwOK3b?9qRw~O!~*5{MQH{Te> z^KJhCIB#4>rsg?jR~+@3j1|cxP^OmQrC>KgHXL!=x%%OrSEnf%#&aHZ@G6oA>1^JT zvajS{=VEkuJcYuqq2zWW8^O>WhiwS>)zvQb5v**p zs?5!#6RmhS#vhfuyR?52D01AZ;f`-bmPry$+13Cc-R4P#xA=k{yk+mZducrWj0S0I>PmGN@AwIl|C333;ZMLZ_g9`P@SHo zPm<*|tw&!hwDL_IG;vtSN{d+E>wjD0T~>Bx&R${C)QJB88oI34kqsovP`)EIkdjll z=Zo!(VRaj=PsNG|)1oulqhA!7>CsVE;HdEy_80SB!|A>?o?GMUn8AD>N-D31S!FF% zWHGspU}82b0g=JKu?G#*v61+R;Zyu)={V9zj8UyMnNO8Kk-s5qNq3dCb*UrMSEo9D zlQ7J4=;Wt-##CeC{{WamD)pF|4r5R}@aHFR59D$I}-XyUJeA zK=_22e@|3pb*++g>(%_k_N1pQ(9KQ;lxJV{2Dsa}#w1o-;&7#psHv$avdU^Yw55q^ zAfr;M)3DT30!^$4A8cJ}*$K>YbUsTCVb&RbSyUvD)j1Up39&@dPLyufAPe{UV#(br zRhe|2QA^ZaJ54NAnKoTsNvWf#lf|1@eJf%)4ROD1@WyWFw}pitMdarv%~CpizPmLw zwNcZ=_0JTM#G5XV0lNdpBY#{qbt%45Q4~(Ffomu<>6Y@F!U$#d$itmsVkY|Lfw)MfQl$qbNEELs?| z2Hi#MZN4?Bt;n6OnT&}IM^a_P6^^lL;qha*0zs^Kf%Wh2^~J-yFJX1N2J1}xixczH z1GfJFjN>!08y|+*ym>E0XEo_hOC?IxqL!8wbD&08fq$pxi)RO&0DMx+{5fwymlG|+ zH_4zSZEGB3QKdIbbY5+oP#T=2<%uT`BC7Z-2vPz3d*0-F4mrfMarGl-Jq?*tr8ac4 zRL@HK^i!-&4eq)a+Q$3tHpRy-<&J9px63O!CJcrwqIz1zi4fKNz-3~j5_q`>an9Ep z<2&6~q-9m~6p~V!y6mQwu;kP$PbOmlnRH#tfGx=yMmyQQ?r~G`5^Rn8|M zlPk1PM(tF__qb*jP(251XIfJDI2Yw}snUZjtf`2_Z=C>yjOx@y{q*8q%N~`N)Kbk8 zn))1ym4jJU8Wo#R^(NxS7{5lOb}Hp8EF;SI{{Z$v{{Z+{$*7gTX2z?wSc8SWQZ4-O zICIoXM3mCWNghQ!s<&9A%Y=H5rmNU}P6I!f)I(X8=5S_tHbVtDq?MK738`N}Dn*9d zjBZKco4Fn-ll5M0lF>arQBzEj$sm{al(9wWHhFuL&1J9(op6;%PQPNUCka%@o$s;rH$=KP;~`rtYvkqYYJ zO~Ft*dfNbKNK&W+fNpJWewO-R5o4g-KKodo>;7K>)ucmUs$wfMNkZ?heI+owlW=QW*2l$t1Vm7$Bq@k_-G zLzZqgIx#W$Y|dwD{{S*{++1WmF3XR}G2WuDqk4-q>FlfaO-4rb>LfC&JX5PJ?Y7Cr*xp!MmsG6G zq?)LDrn557b1Djkpj^CC9Z|xOO=3e{$H*1mahvdEap>ycnaO&z%5+wHQPcU3V^cK})Wi&Z7P`yk>0O)35w;h2_d*WsAWtO*E$2@W8O5TL(nL2+fIekuF z6=Y^pGR-2&z8N52QP6BQ?~a+_Ze3Ebj#FB(%huVn`Q2Rv!k!u>r#g^CC6!-$?o~G9 zzWd`p7sYV4+uf|QmPsdOwZ0`urp=SnhhcLfyunL)+r#G=zYbkJ60FW|b~61VoYZ6) zo@tsjGzy`8@5s9Ln=R?;IvH~M8n&m5MdGVUjKCk_J|5qmHGVt0Wt^nS zYn26OR5eFi({&mOHTiuYQ)+!607b8&-SM109-J-~_gu5xmtC3++v2S|WtEYN47Les zVrWB(i4TXUTJ|e!Ouihmoi?sH%x>g)AkLq_nwe6W5qzP%8_37kQQ!X9_0D;5HC*#e zBnweJ451J2eCXHW?f4s^j&*-4zJ!ZfBBk; zVQ%-|3D`ZrxF^g%o+wJ|tIc~8>)7BBV}0#ozWAfFCCrXfn^5Koiz%d?CJ4sL#ZACC zORiGQtzWB*%a=z}K@C&V<}X_cY9O+^YB2u*@ao?9OE~%(?yJnJ=daDHs@hoIx~@i= zreJQ6f^Jn$>FR!%%;8<#A)O{mozZ47!qQPuyEg0tPWnh2`xA56ag9oqVOP~Fn^yGp zVNnAb%%rN-&R@$qE9#9`zPnr>vBj25wrhOsQ{^vvAZwv zGKR1?oKD88UC~)I)%`!yS%yzvoY2(e@l6PkXjXNZUP4XoKqKk>Fw1`Y68Cd;2f}yi z4Bo3X%(IN;>!#D^Oshapzk~oA*khcU?ONK;s=6mK>R57^^PKZHsCoz25gA6EZ?~Dq zZ$Zv1ob@LBGXDS~mERci_})UIx*CX84KqnHGr|`B7#mx2d-3(gq}-^}X1y1lX5AqN zPF7~}e2hquD=twekg8iqCtbUGVp+adXgWLL_IJ{hb+p+pQdGxJSN{MqDROsk8rIae zZJOQjEWMYiGnxMYNDhSfcbn51n##tns)5>9qiDkEB$7!d*BX~nMtj$u<@F_JrJCqw zrjcZYx?lqdrWyKr7{W6t9{oShnE^xzrD+-rl&y%S}kK zqRX?qlcqCFzc9+GQkrx%GF3Eep(PRN5$-(+`{K-{nl;YZ`s##eB1MUmrPZ!2asUJG zi6H98dXqQl%6ci{mXWDvie-yb0$C#hLJHWMSg;2T8+6x0H^fZrGu6RMRFpEa_;ltp zZw+twb+wNGTEp83hPPpAY}X^{bMTUee7{fpLh-@-m#F>XF=45SlWiu)>2dFjblvVX zxVX#mx(w&9`a+91j$e}*Brh@+G3nBcYmvtLNACsUAwh!~`DpWY|9JCDyCb*rYF10w$bi~5~%8rf=L%4ViXd`MyC*5r>6?R)KR zaPX^Gehl<<8Km&$6Vyc%NSYaHJTlC0d*1zrYhvoz!Z7~;7WzLT>8z(Lt;oK0BCD!s zOH@uI1O+DGax6!DTxFfQdHl4hx5P~=XE0IleO>$RE>7Np~Eiw_X7Y#FPK{F1<2rA07x52*b)ZD`)`09 zU|4P1<-2?9yR9CYoSXV-?gqy5H4b&d?{H5`yKJ1t)+n@Z*9&1!UiVauQ&$t0({&0bAVO^lX5$3Z-6%uFuUvrak;}p zMG7vKSS0~%eOgH$UwmH!)&2tHRCT#lb(rRm$D~ZKEkgj_AC#ZN$+;`X=WJsy%lB$` zdaQX?O&vK!tD*`RN&#g< zMR8&+-wuqglW^^obm&F`yd|%4v@9+5#OTY~?h21C$s>-*n!73E{{TU2eptOZdriYV zEOwo~uwZ#d(+rCsEN|zd z*nRyyv2~Yin~u7w47RZpCTzvmd&Nw<{jZ7BXOnTp^f_F16J|BXSr@$t7q_jkI&b@p z&p;IUMyFw!R&W6yBgp=EOmDT^^f{j;)4pR;w*h>VBx%LzzSD6WP-MyhY|gQOCdAR$ zewcLMX5rz7CW*sR=5=wo+_F*MSHAdk-)7^gqPH%YbjzC6up|wr{{Y_(i|pKSv^hVD z&n{(NAuatx@7(bg(hWGV?Zya zgechGwjDRwxQaZZr|M>D61JoR&8bSS^Tg@C&Bbq5WVDn?Nj(HnRnsq$M0g1et6(&> z!SB7jF*jxBmE!hz2UJnVN7VVPGF~Ktt)q%C01AVPj(suL zQ0Al%K?b3Y8#JL(P0JgO_xHpNbENsb__hJmk#G;T0l_DL1^2}rIW@*}mZ<@ms-gjH zeO__f7Z1%6_Il@D=9JWVu30?m276QcMrIOxpn+q7PM?=70n|h3+mrM-n9gSc9Llbi z9M3YYs*5g{Hp((}nxzs1@ZPA%7VLw{Srvdh*q>}To3rS1RdqBp)xQ^VnrSI%#RT6i zJk-Gg#)e447{ZTz?dWl2)wAwzjk@~N_FiAqoiJ)>GOCH0jFk{&>d{k66F3nbEKjK% z+Q$qe>d|e}vYV+R%CnxY%V*2!KV)S>q0GN3hSEHmQ3sdRwgm8}5^CZ&sIo8knv!>PvYpLpTSTkCOkvuWt8kQ)by-6U0 z>_*teIpuiUT`{WoiPKdb2bSe}b2h4}uaFNERJ$DjT-Y70#^cu-$2_{VQ&USi!ejWi z)sjR?y5&_mbnMspb>9BC*J+pe<(b+!Gj5K2pW&?+QrG6S^G#9VH-MA6t(0F*3sLLy6r_8G9YhjUpbZS^c6pP#&^X+5qaeDIcO-(EE3Y^A%it2iG zH5Ii>TSl>sq3uYsq&1%bC*(6<$^Oz zWD|Ift+Ux|ZQGlBUkxVSb6nt|5z3DkCC-)8Vtb$V!VU@RR;w?|sj2B|$-3(RraePRlGiDT(RC47;NS2bFpomA|og^z0zAU=Br;DR> zHf@;A)l|_`O;wsv_-;HVW@AleHuDZ_O^-bLV>d0{TGQG(zd5DLGNqEGWf4z$U}H^M zS%rZ%?SB2S%1=u3jbu+GQWOlz(UZ2sf-j2(5hNtKtXf(!Zy7&?jfg*ddN;N-=t(4K zkUs?JBzEU~1=mf=rznw^PlEG2iGB*30Dk0Sh32upmhZ!+s9*5mFt;~5PbsA6AIZFA+^e|!Y$qfpbY zO~9}``da}!XZM8|om<^6xCa1BWnrelx4xrr2h{C=A>@x#asm@=Tc5BP1>aEI-do0$(zLEya$+n}RR_tm!faIu5|x;0h-Iav8n1KYwfmgc1D2hCN86Ugg_L z{&?#q;|`OSw+5n;-M@MUC>G0QUT3D#Kl@My0LEJ@09JZZqkDLuVgp>ZLvYj5+ul$y zLpEP3wx1r9DcD|N#sQlwlno{6&Z`AgkP=Ds1Dr)e)ERA7N~;ZhEYQf~SDaWC_B?%k zF^qm(GyJuyg~z+rJ_sE@3bVFWvl{fit_PKH&$cE$S~po%G3K^S2TM9kZwzlca6omcP1Bvg{sHnX2Ni3Fc`cDGhpG*R$X%q*I zn5b<*uk`JP^cq&xQt`ZmEyb=+-~QN=iTo)J@Xi=2kXa4)?SA;8M68&wys|I^3tx{> z>Dv@Z^KCO&%G$XfpFi(}L~)0DrVCKSi;cgC_xj=Bbi=JRD4R$OPpJH`@Cpi*5;+oX z7WPqY+mCK=(3TAdLZayg<+TtlZZJSYP|6L6WYiAZ`(UoTrhf*yMs{aJ2k?&AcpOOD zU}N}$fd}pQ7F}K!dQ!oeR0b7jpOC>cU#QW z9}qf}qAr77E})hGZ_oX)zl-vj_f2M6VK&`SwMf8tbV6Lh<_+YAx?FDuA8zcZzsWu%f9WUPAiGeWL>b_6rn>@R*e79NO8_8t{p)!=U@m#aev2NLK_^JX(cLuNZ6t42Ddq4aiDnj05Z`$00l$1)rs_+r>B#Z? zA(iBJGDyb7+09u#*Er0=dtKj7)T;PdJP=d0T(U(QhmJX9s$h(E+WdbkS%*ARbg5*g z{{YUM@h6N8RP(J&l1frEhr(O1AYS@yjZW8W_=BDP7|TesvS-p7aKQLqv?_FxJLw|W z&BK0wb?OXb;dB)5Pab(JQ9kUE*VGH1#EWiln0-yJ@=A=B3LM5>fuu~$r;<3Ul9s8` zP=qy^UBC)?H~Ql1UDJC*(n-|GnXm0CYAoIu%SQ!5SI0G73Nf{$U`C~|-;;hZcmC<4 z#}6RuF@CAe=0aXETQ7>dn9j4mx}Vb-ix#N`>2mh z(d7@&{YzLVnDaQq15%sAC4o_Uf-Qaa++yF8WW}Upii()D(nU=KC@EUBT{c@qH&D~(QPW;l`C4^`8003vDF74Y z{c(D9lI`bCy5^SZNz{19Hj=4k15qpi)3G)L{{YxvB|y_gNml`R(|ZqV;3SMIfpsN! zOOM6$vG0KB)2n0}RaV15*!mtezyLbIxCX-ChTHwSU?gN50Ia?5>wrCgI}%6NkL`en zoeSi#BpY1b-%J8QX!Y9Q3uzl%;1DBl2q%l${{Uc@ z-wl8dIW_|4xxN9?NtLVs4fVrjjwPD*u=@blr_mu}how>}7?#4b=vdJT{V9c?I$zplrafD>4ykj(>C6d8xLEBZp z!@71)PfkgZo!4=1G3~|xCXLn>QKUIi2K)>E09-1?e01{5Ato}~Knz2AcKYGi7;h#yZ}JPui7^N^3J?sf*p5-J22Z9s)1xdeT15>rW~H6R2Flkc#^4?D*sAHrF`UNk=u{{? zbKABwj{K?^>vLK->H!hUEu4dHdH(=C@ia8k{G9djt$E#bv2`kb z_;?Kj1n5wz6;zUMzta$PBbTl&2r7et-)v1PXPz^aG^r50RZ%-3Hw|X)J7b<)Sl&IW z#_D=XeCy&aX%$^c(ZeN0OyGj7Y@o3rE)9*XeleWBPvv2~HI6-bX{yS;4$@Un3F*PVJpHIAOT8?_9p(Avn-}v zH8nN3$r}<$8~gsa+a?0r9)10=3t@i4^1({`+#7pf6I>52leMfx!TR7L3Jj#AOA?S5 z@w1RU1tdsGumZ#B&JcP{0%b9dgOfgvrLtJ)Dnm%Iv*~jw(#KB*_deKXt?Pav zkCu1hCT#%fs`z?swdF-nBE@oyK;LuD{k4`yvHP(i#3m`snE6KFi4T=k&gaU z09*e6i=B^aVYyRI-i*#>$vQ`=^Ew>77@npGq@$cZjRd$m^Z0G_$604g+ZJ*xx38(G zlCFN3>8dQcx?LiBPb2t<4eSRO=X-a>mSfnnebrO-rb|&@HDvu0ml}zj$s;XX<56O7 zeeJ#RpTfM1^)69Mmdh?*((%Czsuom(GN?c|{6quCBkS#p#GGw4zUpC`Ws}MtT$W26 ztaCZ+MYb3IxJ`XcHr*}pDxarf@N&MMr=>)QqLW!9mhJ}kI(2?mzpfg@<-=+cL;dM1hvo2%;Bv*=}xs3E6{H~?2; zVPL_xwY@RM@2S2UEYiNRhoW*>=yJ!YiV7GFT2y80Ei~vx*OQRT#@@K?mbc3jY?og_ zOI4I~+<8`0S(bTfC0J^ZfLWLuE1$%^hp@23y75WBD&Ci+s>^bUndi=*BciQ+D-@I; zGSjjW7^>RiO~}8g!glap?rMzUYEom%I`X0*MV(VCcy4`2Q-|~?9vpAf8T(ma>&my7 z{snktKu=&z_QFm2H&aqK#Y&2**bZe|4Ak-n98XuE84lqTlV)Shh9uqayOYb6SK>Zt zmqKf+D%y^wuuv*h$#Bx&a8Q8ZJv3Lzm$u|nEG zQfl`4KWN09}Mm09$j6rCcL%E!{X6_^Jx4y zWJ=g$RIHjxQB2cGs^PpKe7k;lym)49!O7Ep#P9aN8xqo7+TX$j%YWYhnPeap z+z)-pz%uI!d|5P=?iXSA^}r%FxO;#+wy?koX(~MSHr~V={{XfD6LM4=fyo=+0oE0F z1dcg4?HEgD($-<59gYZ$>KlV=cQ`1M&JL^J)vyw1u+`hO@CYdlxw4O3SR9=V=G}QW z{@8*kBvp=bK?JD-Pj5_1I9T>SgFE~&>NPEJwei`HriI2nPs@+ptb5meWKys)M{uDv z5O>_|e|$*E;dX|u#UAXMfjkc_?QCj!JEexD5;+T&ux+fki5#_sn3gvH?li9L-|d2# zWD%hXw=Ojk-uAvPWP*aCK?Iz)HrwB~<$)p=Nah95s<)U}@rzxKnrqm-7ZSr3!SMZN5I!$c_m0C-RqbO*V%`wj4h-7q4gfL@5B z`MQeQ`pUyX1ab!!Vd-ycla716=a#go>PBfP^7-JYo@zF}gqV4h@#%ipxc9Q9?9a~X z(*EmHXkrf5-uC{u+(&%{N-Gk;+$&z(AM)5D2wg8LyB2LG!v5S~I_Zj%5iA=<+g}ie zl6mSfEWs5cERx2HW!bmB_~o4J>5rF_WqITsFY$Lbugv0U>6U7Unlx(=8(;xETN2}P z!%=0`<*T3Ku2d$cNMy`~Ej-%12~su;fvzk;xF>>5_}d+Ew_K%@!#(k5tg^nbrOGLC zT1lyvlBPjj}eU8Lv?0Z9$S#B=sUADOZpn(g^N;qY~XLn`uYFp1AP!E=3z$+!d)#KRjoz z=)EXr_*>T~>PA515A?`~`r>~x=)ERSg}r!7NHQl1do+>X^3{j)K8Hy^!rr>ksO0ik zTw3YBt@p+2PL^jk$un-4%_5`DX$!4CN@UJ`0*D1~6 zq&cN}7L~$5)O-$|= zOET*!K<>BiY$V^V=s$`X=6lmLRBu6?*T*E2yf&6d=J8cj5hly!CjS70;&18u6PKNI zUT2$TKM?3=8I;iG71MZf)#+A!EH~JT9fugR%HGdL%yV4YmcK8SZmO!J$|s6>WI2Tl zQO>>z0II25F>8%Dl5f%O zudMTY$L45jbBU#f3W8!;A_?PZBml|=$IyOwn^_rYG`bqP58b*O$ru}<9FgihyJB|i zzeMIG%xPquBXp~^iVc=i$s^w0oZkTpQ&&?3t4eu(SxAh?Q}<`F7y94phQtw~iDvMC zL{_*!c=ZF@2s)>gq;>v0saTk^3Q)OYf zup}OEovK>2mbao)Dy4dykE>TK7Lp`tz|ewtAYRzdO!xaWyXm6KekAnSt)gtVE9z-m zE~oiO(iuacOMepH%-`D@wsX7tGx{=pd6?zhN085-wak4sYxEYVlY9{SbKl#SNf zIO7xbS;M0#s#&tmjjzloDs##jNNZ{976QfMV)sQQcLvrX{DODH%Tu`x)H%*US)0^7 z7FQ%xzEo5ha}9vp?6~=#HXkj|cwRp5Z^NI*FxFkwy;Vg?p2JjnUns1Kv*y#ni{+&X z@f9M`g0>!F3EX!$`WSHXEi-(%b6n#$%D-&UP|Hc0Ls3xE&J-+S1xXoLpE*WTu;0@i zdGN&2UYVXI$tn^Y`kDqA>~hjp)vmowp}=-Sz`mQF#ka<$>`ocah%(hfE>#w7nZ=rC zYZQjESfp817hmq<)S}ElxxXV&9kGwRYJC@<4ydznM_AI*Q6^QHQ!ALH%>@+i3b8&i zu=8jH5PKXq$6=iKmo8xrX+<4Vtki-FQO=b@s7nWk#9mth_BR{uEo?3N5`ZBh~d=k@&X62@+%uIBgCdtBR_;3n6h0;>>3 ztzp4FzP8_N0*cDSjY9S$1Ha{fD;<0vHyiQwz#vKu2G_rS_yK2OeQYnu8(iQJDqNe0 z!ROxr6B3Qcz4$!-oM1ZQ(9Y_>-@VTE$6R|cR*_LaW7I1nOYfws5oKsWZl9X?iS zXGke)AeL#EBC4xgl5Jo}#J5v)obZ#VdQUUSCPk=@8B$dxTAlTA$g#1w#~+L5$K{u$ z(?5>k-RXY_)HlN(r7q1OYSb=H#FDFXjr^aNAG%}Z-4v@UJY`A{m%9)E9k5`3FC{yp zvZ;)r{p6bqX%@m?29e48Had3GB~3%}L~0Zc*16|=Mxh6$lofbp7aiml{D8%%2Z|+# zyfo#AWw~c3wh6ul(|A9*A$2igKs$kaO0(OFH!TQO1*{sw{X1f-oThA{{THORCMgpRw~5X5`8Q_{{UPfRjf5XFR7wq9ML#+WgW+V&lU@cNh&&z zJcLx3;$}jO(XZkl6LK%f#&y%X7G0pua|(+2hl;Vs6a=EigGdLt#KunL^r-NFc^D%j zYQ3-Twl>SMiWvNIcPh$MSo3^A3`2PesMCEU^K*i`=vkGDvoIQTgRnTL=c7<^T+JCw zfvOU~`rjP0@ad12ndMZ5yWW``3xS_3MdmV?XX(f_6 za`dp(R5TFC_uMnC_qPL!;_He#%|A%in4D{nDwKX{w_V>h!=^nDguWut}Ug&p1{21ai&7QM;!#z#l& zSV&;>>!kM9Y<}0k3CYy4KQ4nv@>$5kVk){Prlon39}S}y1}8V{{a605x>4JADWl4kEgTQvN{aeXx2rnt5`%ct6Rb`$*+GzBP$^HAAR6 ze?QH#9K)(=t2|0LoK-|(GAzYj@pt|peAfQ}Prff3+^7SXbj0FaF%d=jHp1tcByb~fvDFm&w zwx;s0dy5}@EtV3p+0RdAy)W>yG#x`pB`mdBy*!cYAhUk$?`soqFOAFiS-sfamFo)b zo@tvYuBxIl*NI*yihcwupI$vmEKh^qOjVWbKMmr-${NwDrt{ zG~ML?02Jh+q@kw$kCRkAB_X4ZqFQoX!g*3}!MVS#FIG3gYOA`Bs6XO}=t|MiQb9)- zgA6p0ltl%L9loayJQ6UK5s#ReRafy4Sl_WY=F&*jysQCWn;l00`iX?8y9E}%Hs4@< zIKXu2*CG-Et9iEO{2P1V9gDA+c#5|o!?^FZ0PNvH6*ePhEBSw(_y=GYhAg~W``{Z> zjn>2yYo6as00XnDjjyr3;{diu_5?ZLlYouMf5WovwZE1Ft}w-zF&5s$k9>B=vlS$X zF5pN)js^lD6oBm^9>)`qW;vH*Ap`4*9YmlJ;x+KtaFVN7xxNBu9-^M2IiZ>3oftYu zro~9~!&~54R@T;L)k^u6XCums2j^()={)LpeIW zZ38gm*+w5P<;U*0`E=7s7^PMkmO`NUPUo8o;KVV#P+d|zgkT?A+ZMsjsp3*f(J2KM z#@my>d`hsD8|C~+-Br~<0F8$?KU^r9l*aI;*J4Kh0B&$c08Bx%zC}z6;=9QUvlt}fBvlh00Spla>u{9oQ8t(dL3#n9hS(q%qMjI0@ERl11g(Bs!;KAU5e>Ydt} zXL_O-V|7}3I+|HL#mvU{^tY}q7wTTj*lS9LW@9F+^T@tB_+i&iCYB=CABf*^YvVOz zo;fsc-`L2*~biC`}2QHbY$98 z@O#?B1U>9H-v0nofYp3H%s*yUR!rIZWI^HxWLi&|X>4z%-Mw#%I~9G^y-P`1(>0WJ z9Ya2SJnb2$@iNg)wCoz}+WEent?!9v*p6?45v^}h=Tz)MnKH74j@G-V+ZnTMZS;K~ zPSit93a()?l|UGHhS#tkZZVET<0PCW_0nn`{6@o&lPIhM&tBw@M>ujTdV?vhOq#LR zGn-Ibt=y0|^zVx;A3y&9;vG>s+b9tmpv#iXPVMJy&({|p%})<9lCq#n<&Yp#xc=V1 ze01A7XgX&gm#M2BAju3cMAOvF-}{)?c58P%fbMXU$!?k`>i!whu#DyXK=uR9^>L|h zeLb(~iK*=8(d5dyf2ir^UW`o6Ji?wfsA_825!P7K@n~WEKyPm1_`2hA?LO>QphtTi zFa;EUGbD+ZC@Nde5Diw-4eIz&F@pbkFE*-o0g+CUsw$m?+J4Z70b|Ar60Z7fz5hTYwGyadq6< zwR38r)7c(Sg=2#!sWnv2E+1Jp-1h*UErz+-v&o%T$y1)yJkor|b039P!HCwro}6um zPZ~DNzEejXGiZ(VATC%+MaUd~gA-asc2!$6l!8Fa4x3yPaf>OZyY+@@Bk0=eRaVu= zX(&;s*jg%LR4|ku#l46==NiiD?U@!?Zg%xKv_cxF^1Q~X47OE~p=N}k2UH7d*q*@i zi}p3`;k`voRiAacWU$UeQs#0&13kh-8d5L0-~sw$mA3HQkD$6YBk9bBig+lpibtlU zZ7rBj8oSIt*5r%sL9iA8Tkpo|wYom9r|7Pr$|j<%8G1QeMO6e#9FVoPDp%9c>~W3h zu3it)T@T~SRC8?1<^B_x_PKOX=?UQ*>1YD#1lX zk)kNIjWBcho^it~d`9CJ@jIpZUpvXF>auz&dVJj%RBc3_ABcSl-%0%rHSy(qrC}&~ zGCa4Zg+pd$Ou?00(R{0|iRE?P*B`Ds%O{pO^ry(W61O&~sjJMUk1EPhou#a)Tc{&` z2PVXSzA?F1T4fmmOCm(U)e8W?SP|=E#qmQf>wAY9ZaqHs;{h~2LP(I1cN_1w(*Qu~ zRxRYUfYK~St^ngYh-gS{ulRQN?|?+At;quWGJ}8zD{a8J+=brf0EDHZP{9M8wmaYk z;f;U<9m6f~5y}cU+yJ-yM)w#Fs&dTls`_j#j=1(>x>o2|20ZO;@j+-KV{%WY_rMw; z2GTh`-k4|=wQPV`@J0d41@fu--~vSfc^Brx``{r8>$RAZ#*u4#lYsWWgGkf-H0mV1 zi&n-j>E#&b@_t-??c?6Ng%J_zR$|Ob>LXw+wj`{TJPyvPToot@UN5#aw1}!Aj6}u} zq!j_m+;i)Quo^ZHsCk%=%uUD3!A5eqj(>A+ zOv|VDibcEofsIb#=SicV!KsQ!ODS+9xF8$Y{Vj_%Qiw(tQj&HA^TD>*1rnr@Mv6gg zWU<`eY+WMHFQkF0so|=V!G=fD(lEA>{(9gx^mI8jI**c?j#e3$DpRlE8+-o%TwF@Z%(4Y*fDM6CeR0u}q*1MzISNZ^KKx=33QHFN z`2%`far$?|N}dT2Fd@#nYzW>C+2(B%l5cAT#lDmiIIh4{r`n z{{RO8U(`PoX|pVvvWhBMBYER7hAAnv``bwVcoF4U)kHate@B>RlyhcrQ^ny3EhK8< z(hshxjI;{FunrOTtsY3Q>&!z!Rk7%RGJ zd8DUFS0}QbD_S;DPHb0gt}XY*{ylWob5Q0L-31J96%JXR&8`qc zf~{05>AsQ*-r)U?HDsPschZ9`rpTWrq?VR^!1V(ys$qD^i%rhz+D`Z7o0u%-7>hg|@aakJPVG zPN~mdr8-IspENY}^{-I|w^brgjHw#mNxwE2>2EB|U4OND{{W}Dzo%$AqcMyoV^X8R zk3lSffI7UpbGH7tnB~>Ri;kEI%!8>Lu5&EQr}CQ0l%ULA^+gt-j3gv2yX<%!jxoI~ zeF4!m`6p3JPdy~UHw;>AwxT~gaxLz^Ku2-LIp2?0a`4=(;yo)V(@#ro{{Xufnx2i) zXQPj1rKT7E0H6#tJsY5EiV_L=N_O?~3^hF)p!vEBf%25&+@Ql#((Z|>Xk$>)!$le% z8G?cO;nO`P=uTyn0;*dsyKDeu-xsEOIUPk!ECA}HrZ(&?iK*z_WjgEO{U={g(oB^v zmpnm+nA9>o_$)ZM-+z2rHQ3*`%AG@$HcgsV(K9QWX<996c55Av-x`RlRApPDf#`N3 z_z3}15K;n*cRs$?z%m;Ka6qx<-eKr)2dKLvX%?{r90SM)iL1=_;GMqLz(?h~DY)(k zI0UBNfE~1YpI=dcQW943a&9z(u=?O23K6`@Z*NPOa5zuMSn*;OSvMH|2-Q+rw1 z9lfjuCp#h#(VdOU4(AjMk|nmgd!Ox!Ihl&NYx{0)aR;~j6p_F3=ct)3thJHY-~N$~ ze<$U|ynL;qGSotJwx^Aj>g0j+{IMpZJ<`&s@r>lg!1p@W*8yVSGfd@*zxU%GIbO=ojXG^Qq-)F zy0ViQHY2|H)XqwpYieSTsEboX(w>V@@ZzjYu>}$j3wC8%hWGLnl@iSMM)JT zEMh9iOB;HeXBjf(Zv)OCh^;PROuhnDBkNJZk^(6eJF@VnF01jR3jr?3!L`ucPtf-s_~^-o#{29z9AFybf_EGd>)Qcu)9PM>sRix-0JBNC z^zmcFzn1=q<~38r;?zCMDx?veyPpkr!{p0VadNFBaxu|SbL=~M^Nd{VT`C@T48RgV zJcG_O0Lv}47JD8$5BI}jMR{*xUr^;m@Ys?s8ejQKE8G1yIBZJ`xi%r#Ue*T{6xr6J zSPQWx>PGjs(;1^ zDIwA{1teU62Ecm^e2o4~rdCS#+fC19z0NziwYn--qUc%}^yIASAbBBGk-rwq;F5do z$I}vbRU!b#V{3bDjibBeVQIu8QmsO$-rPPZb|eO#Ok^<=7m^PC(G$Fh5|DC zsWcefvWG46PJk5to7nb*fpRR&cMrOafg zG}M{Nf_aM`NIM5M2b@{I8)j+c({*J|Q}G6VqK1f4W-CzbECyE7xX{YN+>y!k-xzLj z8t3@$;J5Db+Tbs%OH@Yx0IrMU9Y0pL8yX(ItgeD5SYbzR*<<#71 z+G$p1Nd&0MNH+k2d)pk^NY6f?ti&GUZ}4J4;{N~`=j$3StcIhfYBQ#$mX%2~h|L-> zW(7u&Y<36Nd|s(Ll3Us4Ird@I)e^>fihStFp!i~|#9h6Cwl7@0s`TGat#a(dFH7X{ zOC3{BE?*Nf$gB_$i*GUhB1!zPx=P*j@zs*ReOJCL?3MB-#KhCpnVp6I0DjiL7-QJ1 zOo-ap=@+pg!q@#VQ0aGoX_0od?sseZUZGuZ~zjETY^ut^>3LAch9O+Te5V zjyVkC$>8BV$t*u)^0< z{6KAcVKl;ZjnSS+;|Uy=W?S*MrW4ZrO(U0kYx0E!fb1{Z7DU^#A@JtRrjd{|boZ3s z*5EJde@sr%e6A{M76|-S0!d|HA&iaXZ>KiKWbo2TDq}(&>LvM{!ee>mKgfFw1oKH8 zG{$O)1WX&a?n(X;{%?!ecTrMO(AjAqNXX^+ za(&qEd_{Jy;N6KHw0 zY0?;3V~2p3_u$_f$5)nb3Kmvs%jyEaEs6gCJ#lu()o&!F1ESkw@9Tn|7ci@8RbjZf z@3sPX^=q_pgi?10zA70V&O?|wKBxJ}NM0}d!M51vpN6pV@_Jn2vmyAEnAcEKM^jXl zbI0JK7gh$~iw<_@?~G-P%MEW$<8@lBzpk^Ie9}z9gQC>S=7>lknY5{El^fe*e|_zX zj#5o+ZZ`9#U*b1aW}QmeWj1k>9I0vMl6c#~kQrEj4)4u?7}hprc&yxRGqX4CvF++{ z)syabH`9O;3HCS(UY}sOUs9VBY`BYm{8;fX<-ekNjefL_v65F+y*ySoxBl&MK1pq> ziAM1)%#~{0>;-?V0$dQ>CsM z{d~BZ<3bu|@Zxq>B2(p14UMh2{+OVkBptt=DmZghQ!=Rk08ZGHZnCr+Z#uF3Wg#- z5f}w3YPb@K4s* zbwM>e)kaxZJXX>a>H^k0C?5FD=~og6i-9}a%gi|rKB~K z8EVI3l?YmJeuVMf=NQMIC2Di381qh@=vr*53b|#edZ?i+brL*4PQZRv{c)_Wl5=V= z_)4p%ej#*>d4rQvHB#MlkPrs|3!YDZY)mfd-p<~u>vhYzi!q8mmg(6himA%WB|fKO z82O3S$>$bKd+8?qtU5C*$okrnvZp=Dr>f3#3fY2H)9V!U{zN0i0DuzY@6OoG$9`I! zw6)K^74(41f-5_lN&&yy!TNqa12calkE(7Kt6G1-75`{M$1oP}K|T#!fny{&$E#YB-+ zED>!zNV{2rp#c6?!9bPst=r}&NZ8m332naoUz`77dL{{Z;Ynrtp6V|!l=dm{@m)pj>0*zNTH06j58N?0m@rqVWza5um+jVom$_u02=-sl==B9+TVNyf-oY)Z@>o~r)Cmv2IA-6`(O#Lq&1EG@B-|%+!A}> zCN4B90p`_x_w9iQ$*HZU%s9Rq3{Iu};+oOfc3y`OO_QbqJdmq4gUQdSI zRQic;n~KHF{{Vn;anC=?kKL?%uH=$K5}1LzS)>H*?PJ?~Rz{MDS2!u*8c!o z-|zbE#xvS0z9a!8mPZQ|V6(e$0RG;e*AZ3OTn9I-8J=JV%EU_1Qy;@_?%#jR{V``B zbX`kOUCOP?F|v`#ID>=snyZ*7U~2k+)_pBxPI*1}?fK%#>=cWfvkPW4w4iQQ7(-jr zdv^Nb12E@G!Jy1(>IUDto)9nXdwuZVxH5f0E5>Ui)ybvV2ZR9F+kL%9-xgUf1((Y6 zt@PAn^b@;Ti-J!-i2=9ghP9FYmLdZatm@0;xg-tF!;EfrXUfl}rAc$zF*^mPSw^94 zuh@QgcO6;uS*!Id?;xln#I!^!TTg3SkESt(Txq(x&!cCd%RYD?+6EWbbLJ{Lx4ta= z*2ZsUQbXa?$YWJwa2NaINOH0SaLr(Ca1Q71fCQ&Wq}{??@=f-)^T2k=5V{mwst+`p zY%jI#hS3!&l*qFk1fvSbj-@++tZ)4B&p!?0<%Q@7C!6CocO4kjP{y>ytAg5gzuz3b zS-+1?m|bPJwDi1HRGE5F%i`Kuo?4?L0Kz~33#Yex{RTT@G3k>Y%w=x(US0nHiGx&f zo|$TvCa316L0|`$LhdYh#;&^Nl6J2pjF5?}?`{6L+bIDWNZ!ZO1pzl6gT4aKCDr>k zsI>n8{s#X5PZm5&`G2B$YHF4?u5&$=Bd3hm-p9zJwi$P;J2}XPFhfKiRGLMY>@9u2 zKKRO`FvcwjT}P&)sL<4B669HbN=pjI zBEDGA%@h6^Vlzpp8`%2tEsmS#SLn{Swe3G$WHj{A#Yb1xbacp|EEKtl1drK!<2^Iq z?7O~}m3a+o!BJb&*+yv&ZJ9u0mb)^lf#wmgu>my;796qO-&{I+W!FEVZ++fBSCTCi zZ1lDB*27T&(CF|0%13Kz#Emp@E@cl#QKM&ZRAnt7XlPG{BtkFdWdyMA&#%)KZ+wmb z?6$BLBa^-~j;E_vn^ZBfmL!mOCi@&?rB4g3q>15_ruS6Z!q)Q=KKOlhB$0$rKvow4 z?An|Do7%?`PJ4itFjFhD#93^l9b1yT5^cXH4ufubR(UI-XrxHyc@=}c!CO|u`vYT* zj!v|mzN4&X{kfaPnpLkg6d)CoZWn8I9=OE%@UKnyWk&~5bfi@IhfO?`d49i^tf*NW zxp%vuR4Pwx#@la=abrBn)DD@;D3VOVho!|h6O`S& zK7GxdvmC;*rlK<(@=Wh6dPpeci6iWG!%Nw9@b@6;-0GE^s5+t?rlZAZ){V;|vZ{+Y zAll@U$sA)k%Jyn&%F{UM4vM9Xq=TwFemv2XYv1cF{{T#Q^3Ua$^1EY>7t833#uSgI z@_KYS<4S0eO@Y(`Sa-*I=X=#6q@E*qXsLC*tPQRC;tpEB5;RrPWNYr${3qA_u~0BE zaurKz2Hs`o^!``^j$IQH8^R}>0B`reSWvZ!-ah+FM`Fa*Q#4MdU6_P|03B%Xb{;08@0fKg#> z@4f=!i6WE!YxpZ`>I-v@)3Xkxii~aZZGTe^$M1XrBov_OTE`>T!8?Aqa%?Y0N_Q2z za%~Cz_;Mm~sR4*^-j=|I1HI)% z{P44Q+2v)`b#;&YJx9=xr$uozV#BIOy{#m)Y<$2_ItwJhbV_y}}- zGU}#V618!g5!*oRiSmA2e&#*u?F=TJSwJe|b>sqlxfrauswB1GVhkXIsGjyD4Zhex z&rfkGM)v@P7VXb*^u=+p7+3>xN}wG5PA`0q3~X9U$N{<1+-+lHwkkU^%1@oGf{r31 zGBt@JfEujocpF=Le=KSu0`?O4u?a4_HSKF)86!FXj1>f%?S9)E;74DG z-Wn{59Y`b#atIy_ETY%Ij;@6S7L>5GqExQ$+! zd9269PLinTsIteX&W33nRL%;R%9w~yb#i$J^v3bGddZ6>w;3a1HFhH79T_{ezEKxz zc}0Q1Fe|mex2^);rxdz1%bE@Zjx~1Mx8tO>n*Q5X! zwkB7~$PC7Yqb`vJRMSM#+^)E7tLbxzF4e>?7sFZPA-f31{{XHkJE=0N{K_V3aWu-7 z49fvF^aPA%-7Ioe(NC6Cs#Hld6I)OX4wG-W#g}D(ifMdSWSUlD0Jw{{()g&epvv~7Tb}8#(L3hVr#lPF_WY!x}Hip^I-EdvOeqqwyh+7AHD6qIp31Y-MJiHS^M6W z=?b>eXPS;xc@FIQWjb{pO@Xz}I%VCQe7LDA@>#NoXz8+-hdP8SR92aTEUR;{PDgLc z8Izs0vx`^@i(k3Mk<|b|&`}Bos6h5&*H$$0IRPKZ^Di`yH{Fj+WiF6$HOb^d$n- zRY4VWl~Iu#$MG3Oj;ru4cQ?18#;vo7S(00J@6397UXl2X(e$+W{at$2RUws3%*v%) z3tr;)2ZAuiJh!V>$*ve4qppnS`LKE_X=D|1FNpWKv$eP&VsK5T>1@ZL4qGKZRpgnA z<}E4FH3Wl5U92>-b9?&pj9D+1rwtWlf5V6=no3NXX)dF~Uj}56Jwp<7n{#oGCRlvF zFZul)@yib}?>VfHr%vS!O?89ipb>xxAvA5y{A0h}&iAU7tO*B%2=Lm%>Ie8gJQ;CS zNQ*LQv5<|()O-DH+XZ&UizI6Lhc^phu=KtnIb#=-#0*p&?xY?4t%M!2e)7Sbw4Lq< zu>7${q2vPDH8}KKYry$0;(5PP=2sqbD_ehjG3-_XV|Hb4-f_34DjC^bxRjDj$rcB0 zEI7bA*m+pFAlPX-Meq+BJ&lxvBUP>o9rnN)fX>>iSX|!t0ezdpE>mmz-vJ%;k2xgs zzTbQVZzb53Cta;=_rNARf%t8`wor_Qbu2 z_K$#aX!vW@jj;<=83Nz*jAK8^kKL?$)ucp!?#-3b_v1;$j07r%k_i|B)*y~;WA?y2 zObnh2AH;*(^}@wN3$T@pk)iP0fOaDP0N7y%r;3D~1xzF~5)DY#{XU@W_QyLl-Kol* z+r{dpVW_kec0hew)BgaN;?C7qG<5n3>ak`ZS$G2du?0_0UZPCWzEX8hQe#{5p?A0P z{IKy4D=N9xhK8b`uAvI+xAGsTz>Qm<$ECpgV-clZTu6nO09fBot8I<=Vy!xTnRz&wF#__Lf=bqh)Il1Wh$_&_^dhSuWv#m41?({k#)1%52_{B;3Z zr!sgTSBVv4R3vsbzqtF1cE>BOS-8iW{8Q>W9;>Fy;i0Uos;Q2p=9*fl(l8f7q9Q7r zSZOEfPA09pH^!M*)P)Pk;~PV(HLY*c0O!gr+l)bb($K7#Pgd#|11M&({{Z)~;@``E zMDrer{{V+fj=w6GF?OJdzJ8h-h!{9FjIj-WLwzSn-;8tL4QRjd1=6|KQuPN<<(XX^ zUMkbgDl1ANxCCmsCf$Mj@rik@YlqLDBm_cG@&crTXFFS-`04d!^!NT9@?6s+%5$u? zzLW_?Omi}s1CXr{E4Vfwi}As}8{pF?@&5p&^S-6)l5*NJ;ZWtGdB1uQQZQWHl7AM) z!@e;uHnpxEBLxnqNexgOkP}RV3!caG$3|aVd=1KL@?M7-UTr}jtfi@xOZ&kk%MilE z6LDfV#%sk;_moJg()Uh4O7q!XW-uz?3oT5Hove+o;WEyG+Ev2SDZHKPd_T`Peo>^qu8Pr*BL(`0F za?IwRG4X(nqNP^4TfK-J{eIZYmmcfAgQVw!sxx6hL#i2sPUvPr70QiTMX%-}_WEM( zcu~Po44pj=bS7VsYSBW(gQ__RxYR$>Yqk9ct`he8np{+5&rh7^8B5f`^SNy=&P<>& z20rC>-pWJ$2*m2((z*l^QS{|hkKxj1lK3>zk#MXVO9lgRq}u-gQEXlFqep1vq+X*1 zwEn_nHPJB|mgJ8p{vr;?(40=LHffz+sGz8o)kQ>NOYt7y9>dcYbHZs`9Wx>zC&b%g ztZ|ik9(R{iNznZiP%-&>YT4u}ZKXV5FTcA1i&A-TbX`SMJ$H~owT~Ej#JV^w;(3PN z-&|STy`Gm{k@U>aXSt#3TKOZUc?{9UkqIDw62{1PVaUU#={Caj_fX~(baj-YrXuQG zwdza9TT=@pg*ywVuomrp=N#?FdajdtYU=uqwpgWUIs!^n(fAUIDKz~FTak|zSmA%y zuk-Hjjmyk>&U0qT^5LY#s-6-PPaa+^+a8T;hb!HkQy7iZx}m*=hT#2uF;H~yh}D~$ z3)owqY!K3rx^?~Nns3zEmyjRcx0`|u@W--N42RbKOTX8PVu0bvYxV$uFbNKoA%Xt@ zNFKe;0G7r#DoX0%o1gc<8xJ91cs3;5TL2-vL@c&u?tkxq8=LNSw>*plZh?Z@{Ef!j z{cnI5Zw-9Pbe{hJTnBsr3-4iVvD+TZIsq4BwXfR&8>=gMEW+D?h!_n@dD{S$I3nO* z?SiL3!G{5O+l*8vzO5=0so!q+2;cV#$4#s*H4E?RF)wA0VE6}b{I%-4i(aN6M<2tC zXZbPvwU2tUBrJk3#Zj!{{Em0RFnW@#trhMCh+*sZ!%GkoBk3T3!9dtp++ytwDOudG zvJ%9Bw!@o#n9NsRQJLlt)5#44uN}?IVM7a^;`q0>D;FIjTO~$!B$Z5FdEsQ#KpKeO zx3IN_CDyKq-Lnj;!H;8o{{YYPjA5q^SC_{_43SejhBlD7EpAVs`eER5^<=&ePs*h4 zD+^5X!~YL%m4N-p-)Ew=cm>Z9{yxrmhOMX7`f8z@txjr(exC#m&J_sDvC%dq5lBY%CO8eE~Oh@#KHPy@1vdoht z%kr41zhq@uo@G-};zt;T5QRGsNfx#4Z@}Nv9cRTgHqY}e_~GZj_=}{<=`zlps>!nY zYCTmG#$E{3JWUF{*J3VC{{UPuojGup+c|lp49y&6?Wlo#c5@jw9&L}Q!CtS^T|?1u zb@V*PqWn9qub}B{x@@XiHOyZujTF`psH0xjHyhg42iqLE-P2LG z%S}~Gan$uCW?xyF#RRa%DUm`D)+BO8_8SZ}xo(ZVL$LXFB|%dwSYvqhh3@+sEzCw( z7D&7UQ8W-Ff>sfW2+0ID9sZb?x=zjxpq8G$DbK5A6w=hmmq{B4oH0Owh#`kNoMz2q zYR>PLdSVk(nB|BNNYYk7G#}!-uEgV{A7AxGWO7MlmWG;|Mw#1M$l*6t8;kR`g|Uqc z&tL1G;lEN;q;Lv~nnNW17#>y9Huuhh@Nk`XzD zwXCmV7=3piOnNfa;{6=weaALe*SUUFXd}ovcu`PCQneK;B~wi##Z{KTorcVNZ?+if zad)Ebt{C*xoh?62#nw4IS!F=g`~0$B8Zui}@&>SB^u{v6-(S_Klfj?{jqf~W0xyWL8TYLqtd48pqnZ6 z16IfBhN5nW3STi=YEoNvCj0$-+u_piTD-DSDE%spzVxa|pb5kVXOV6oN_Za4ZfPa=7tpKDi!Wm3&U=D01x1n=pjct31^6 zEQ&nqrF^8=^R_dF_sM%b0e*RnWzsOV#b}AuG@K*G9-)uBOG0-jS^-bSxIA}-`f%n%{{{Yi`G;I|^LIN0GQZHh%0sS#M z2%6WhYj)p!P%O3w%q~0NAlA{}K}|qkQyquY;#;ND*nR~N-wis*s3-UF9gqDu=kk6` ze(hu4{hjg>vPQ3G)fipR1Y-GR(9F+IFw%U1ZNcn+wjK=)n8`6ZR>45H9mv2#G&h}^ zGNll(cDJwnzg!XBlGUvRf6T=esQ4&$Hon9j#9^)#qL(kF&7%42o}wX;jSyC^9f^Rk2Qj7VhFtPrnsKn!5@dy>xsEdQPgz~R|G6#pT#Odsz!&O zy|Hv{lFajYb4rNhku)N)Na4Nh?|)O=;>n~-7DHVvLa9v=-Iz+oz;+)00G1~7E>Fs2 zbn;0wvQ<{tEt$12_TTWYx!mDy)-jcpvscy0B~*k0xsj(n?vSV46^|3IN-T1oRNJ_0dHP%M_AHWRcF0yW02q;XZ|LX{(nnK^|eArEQ7* z(nI4D_vege&G(BL-lDCbtgA-KY1#wkRv|R&zpyyC%_Zf*D}~ZxH#=Onefxg6+b+64 z23hSXRt|p%+;fTJfzg;n0gQv75I`Y&4%p1vsVZ4kMU1i1ZbXFN8qv7xrAADTH4qYH z@gvi#Yn?+F`8!6i^76d4qo}DqE@r9HaUGi1Hva^DW&)J@dcy#y4IqB_h3 zzbpHtTLXKGU4^Z^#x64%%uKfV;x$1GXjJGjwZ;2i6CTj>Us=>vW>gUvMp+$wH-wE7 zjqT+d9k0$89CGfG*w5CQ+{y}DC&WM6F{2LmM86g555|h%cbVm&si9FWy{(p zDI!%vrH^B&ZH-PeKAo@5YU0f5Y4bQ~Y7j~UPLTfm-u&F!)*EeeiIx*CQd=UEu42q9 zdS9w*8aAe(T)oyfba;AfEOmEgKb3~q&66BfUA(ufX{4yjdOn_#2`83JnH3_5OEVJh zz#RJHu6^q`sS~F2=;>ItNtv#YP0cKuewfEp_PVb_rl;xp3SXP2%d)v)S^)yl)MfQA zQzcPQ3rTTcx~^^r^4{Cx-k#s`NlzY6l;ssU_IuNmkv(lB)ReSIByZqBJfH`WRreq< z^6KLFx{`ZM+rq+e@lKYaqB^YJq09u9@H{fM9q#%;;Oqu5erYE4`!z7yt-6P=ZrXD^ z)N16JDGzV2^~WFnZ^!;ePyRMvM_=g=$B`leNrkbdJ?A4Xf{C^Z|+E0DJ$9eKg z;mf05RWx<<9b3~`*HHC7UkzSokexm(dkTfz1$%xV7+(Fx*wvWbWOF!04u913d2y|W zDaj+GP=L=4Qjest@~-3q?`zu_%RDjfKf2?M%e73Ik5!z%=3;{+C}uFm8z_xDRtv1} zzMBtGZ+tP&3)>&=w+oMYql$cssJe2msd|wfj(RD+RmN8eAgC;-!y$hNAcJ$+Chr|50FUoSN ziToH0iUwmv6Qd4w#`gRriSnJs*l~O6k!4-+HiDK4U)j`DRauFSoWkspqyk1zUCn@G zAd)$^e%N$lFCXXXoY$FrSCcEG>e`c*RKmhkNh$(K;-phByQ;VG3-O6&mU5!$teUr| zvnZ&ts2+EAv6D&An_RQCte^pNd}8Gzq{h~=e}=THD@6TUl7|~G3o}^#LfaYYzvW#< z#b{EJS}CFGOtL{vu`ZaPnVwAqYykiQZEf+={P)-H%)&0G&mL*zocQo8udPEkRovWv zJaA|bXO!r=B%ezz*DY;U{{T2R4>0(p)m=%D^-L|2Rm!y0G;zzIQzWW{mIMOI-j_dI zC6l?&h7P~2y00-HW;wljjU+0A;H{6KPzE(Jo(_Dzx}(gg;OYFX5b_%1LjxBKFR zNYX5h!0x523HJrF{u2=+W6}`Fmp}{+#8=v0C-?*K;HmC z6;B&rJBS4Zu591a7LgkgcGKk^xBz`2cQ!oWA`y72;pvgtwz6)1!xHvb_CJ9kWcY2? z5h6TK<3t}()xMr>ZMfqczE8E4ck95ieL?pJ|hzc_sm$U$iYQIre1-S6whAPOq!VITT+ zmKhXjG9!|9JAJTK)dy46#7L?|mc)S9&`&nKwm)%+(qoJ#gtZhc)UKG_o}{<97U3HzRHV{@8Tr+a~jBj!~ym zmRpj_|!_8CRJq%gG z)iC(Z+bg%2Ue?=V#xrv1!KpW1wmQcwr-CfHn>Wj8;)szn;;3zHg@ujpaBq&7*_7Kl zvxk;^cg-j(C^~*Tvo@#AD^jMSSz0LrX}X|N!q)yCJ+UtOiP`4xD2f=-g~(f*diTdh zQh{(l2K*1M66s!+-xIR*e8fl7hy`$sYGh2pNS<9F0mtFvvBtMPtjSqgexRX>PNWo> zd%;hdQhc;<$532B=T;=MZhr~<@t!qe@{%6ARf%jj!KqJljU!&3}%1+cKS*Rb0W zT^WDG9O|7ltz*Y4E|zGbg-INLqYjvDOIasQj+#`8lQT@~#5R%VMuScF^4j;e>x-#7 zRNZNp;)FzP`psc!bQjy0*`rt0pe;ud#NIFfqV9mfTrhj0Fj zO=xmwUlKZ-HKeM`Dsu*UDu}!}72!Zik+`@Rn%c)+NncacT}#q*SyRiER8Z3;DpZE? z8tJLag%-ItATN94uKCu2Rp;G9)fvSSXZ=Y@uf%Frk{68wb7@o?M7)i-+Y)DN z{55)H?;O2PpY=Xbp5+-vVbyu4NU7rS=AuXPt9h^g0NXGd2JSiI6Mu`HLQXhnrh=}M zEb3Tu9Lh}6svN^Ft!bbBYtw8)mE>3*$7^AC(=GN*ZLsPOh&?%){L~bA)?Utll(gVn zX(HR(d-{6ghIrnart!S;^Jy~-rZ$cz&FBQKJd5M6n{L?oGx%?p{jY!Xu=djD-BppM zdz47IzFMCyuGe|N1eFQ~*RkiEeT;FwUq08I^agvBW&In}`F3eJZ`w6U7MSi_#7ka9 z>~!pH-yJcM<0Y)!?}e>qZv_`mrc;*nep59hY=wSif^{QE+k!#ujAilMrmnxMSmmp@ zlAen-%yQazDmspuo{?lTqtj&7Oj}R@?`{ah#}1x1KdR-IS2j^mP z<+V}@nd_L zp^e$QkS=X~&NYTu&ebfwORYMiD9@-Ohb@MC1X|l+cnLc7R$pq!3)8{3R;w1eB7a6`ZbLVe5>uP+DsD2=2lwCLzH60H0EZr$hK3xsFBI<#6!iXJSfR3ua(zkUt(VKqVd)(Cf96osI)Q8GAd!nI>CpW(oJJBja>>_8zMu~O0Oz(FhMf~+d8xjv zmab+Z%*@9B0Hz&K)g0YFnMxQgT{`L;s{(M`HBM-Aswkup<@I*n%NDf@>9FSxsA`m{ zo{E1BvP#*IZ)u}x2ZF^HD?T7E9K1lG&z=MQ&(**B!%t2b9-XW5mMyY zNz|+__=onuHQL);k+qG@&(!d666*lyvsm0*@D2ia+#uCssEh2|pKD+oMpyMd-LK98$xt@j_rOZ~+eonok%0_D1HGH*cfbzZ>`yj04tZZfGk|s< z!-;rTvU~wI_e<$RhfBI`4^Y7Gjx)O}Y zZ(+X2>4a1xTyhk41%qxEkMnFII;Kzq#Di3c~}Fs5h#3Xt7^T3gq=K-Zg8YW=vt zmM1gHE9g=mn}t(Tc~~3WxFhn$=2Ej}qm|BwP>xNPd-7~;jYO`9z+`8z^4m{iiiLf2 z;Rt581J@98)2LNbq^<5R&!!Pq5$JrsGA>F)XF_zI0C9YC%65%n@)|6nk2?61olsXa z!k!tbWp4?rbf+8G{{Sp=&pfdB<;T0G7|gQOdL;+KZkeXar>f7FGlEv1_ezx)@lk-a zfET#lXQbz=}GWaLX>l&6S4{Py!Cu@Brt+z~O zyB z+UwrfO01?hOFvZYC4Jx`Uzvz zIa-QBZ=9@ZEkcY)<`CS7?sWc^!@%3+nROpaQqaLskq)Bj48omj7Ma65 zRPi?8XieG5i<7{;u)4>LiM!d-Gx{$#kI)@G1Fzy)nn;K~gkNu=#&_}m0F`x@`4F#C z<%Xby=x&!|f8m|U{$maNf8{lo`4iK1EhJJr{{Z=YmLxD)-g;-06ds|$vi_LXHwkyo z^-I-Lb>44Uv>AW?-_GXAvwCkHC|aZvt9vwTblt3Wx#t_Zmd*am$vrdKPgmx7MIusA z=XuN&$TY_q%^L+Ci*+P@u2)mAPHHpy9KSHlI>#=QP*R{N1hlm1XOWlyE~-cao8dYykJ&7~D~B(u$#XH( z5@nB0?+qk%FbB&aA}f{F80qsBCr!Kajejp6qHeSq-bqZk*Gte>QPO;zxtpWHBZ=0b z5;8-!l^Sn-(Bn58ZyH=}oVVF;MRbL26g4BJ^0d~vtSP{^w;1DoJY%n2VZJhCJvo+i z{HZ~c&{EVVhd+$8szWZlC2wwb2G~p_<`*kxEDbNkeC~>xDro9mqk%+f1V6$yAH|N_ zmzw9K&GCOX({o!m2mb)F{{a55;WXfvs~@a$?3$N6s?|#j<h#|b zvU)ilmZB=op08Uxl#??mq2}P8+uR@19H+%4-W7VivK?3P#;$}-7E7DeR7?p9$4OE5 zwlCs07-jhD*Tt>-c>e(Wi2ne#I!wNar1AW9>(w_o-Tt)k+xcSkeFU`MAA0E~A2FLo z@t;a~_WdzBzJ{C3o8#QgOuw}%=4Z8-!Vn**zaN%4UcL94YlUA`f8rlEVv%&*pDsc3 z%Q~#LA4_9$+1(U$-yb^WRD~fuWSPiB!aDb?_v$dzW51H@8>Pa7bf0s>wqzH zvz|_y?l2OnfUIl@?S4SNt^m-Y+^{SL%y_^dqfoWSYhQDK2^4C$+>QwwUX1_IiLM8L-^i3;*uf=B7O$648ja?(VKbk{^s_t4+l7m${M2^6reh+EYd ze@rz)8WaHDvMoLQjs39X+80*<6*dLAzyVQntMkAM6ZQ1%fQ{QsQU;Ppl&zSkxg*lp znRZzAFZ@3r{{SaFVQXAX5wZUO;m1Fd@=w40HII6-tYjo8kd3YN!I*|8c-W#K{6GSI zEsF}VHq)r90#pHh`&$GDieW`UEDHg-zvcO1E41N|pfZ zM(;%qrZ~R{W(5f4>hY9wT}U_^|x(joodn za;54Dc%GVyx|&*sq?iz*S0u*5_Z#2S^ulj?rPYc#yz-FFEuiY&-lt=Y#!047mQ)0V zP(a^p@lgylGbxS3HkQ4$TMZ8Sl>OI>7z?gK8*5tQ*Vh=Hm+I(bk@76i1oMKn_W=I@ zwlSZE@p(>Lnn}~&6f=Cvo;asunrNh^m5t;MY(~J}`SFQy>cwT%YvK5bQuPs4Ns>yb z1HXeC{KF`{g^iZXMYg^-GMeWjrud9s#onrys-ViLWuuCQI$0%j^2#;qwePG8THU>I zWtm;d&a9lfI%_}3^1hU#QPZ@Uwq*>HNhL(lwV*o)iyj$y@}Ep}y)4c0*L3b>Lz~oP z^+)8S&*aMM%~7JK@FNM~c~$n(WF5%tac(k9wd?*IBkPQ#pt^FR4BoD#>Z)fa z!K8y(hyXV%#4i1SzrHc!Rj;bgrTUdRqvJM3UqxM2S4tz98LBD7ESJ>6I|4xfTEuo3 zzaIJf8LYAnp6Z-~tgACoKr=cCBw~n_5%{RSA#WfpZO1!{`&${+?Pu(6c&xTX(p_bg z^@SdC-9K9_Fx45^BbpU*2|EuzzB7!oi!bzBW%AZiQ0Mb}L(964GwH|6vh2EwaU$!8 zh8kG!rH#qGvC|Dr@#E7spDGACgCL~O)2W+1>T_7&ID$$<21xku%il>$05%+BQ;T_* z^e=ADKFuU|%@O%IcS1P$u6b`m?Oq5!5bY!-(mH}KCLl76e=A$UpS|%Nmi+%Y9H>!eyA99R3GH6>kY;(-O_IS=Q%PSoSnOxisrWogSRflRa(%ISq`Ilo zYPaJ4b4!{0Jj_y_Nam>334J?Qc!Jjlap_}+Pn6#(K9|iYx_c*~l1{p(mYR5VEiCkM zP2jK=AX%=2U)UUUy*^<=-Ka5=2f({@rkI#9VwDY*HHwOb8rC!0p8ff z#^23am(*|`pXd`Ur?f8QDDpYDsh=__PCBO|R9HgBE9StXVaouiE-3{KjO z@1&mN8kldjiM@DHPt(=#=Q8C#D$kh5D?nk1LzZ#bY&XVqyH7X6KBbtMKjHk$cPHhW zj7`O6=gS~wjYN#H4Xtgj{V{Zb8A_HQ%YVpWrFQ(HBxs_=RDo~>{{TE$5l!MBn0pr4 z$=hNM{NoCeD={GHAf4_uCjlZWwZK)dH#)6x{@4i^1`3x}QO))vZq~p^w0+!P)O1&c(L@uXzHkNz%mOE2G``Al=PZOxAuq)0qQk|>xJ4ZB-^ z)B9p@coab$f~$V?n-#aY#M;L+E~G|;{tJ`$;}#B?A6JAOlUr@ExEq`VMFE;^4RE#v zPA7sME18z|_a|}biwAI3L@dHJAi5KO%Gi)|l@Zy|EG?u1{8+mKBiYxz)M^&oZZJF{ zDyE%uJx>6I zw_q#?z0J?`?TU&XyU%6mzLaXnG#ZaAV^VBb-ow;kmRcm+%z7uU^Qz9G%cv@ZH4#+K zu!UIkuqBBGV~@`|zBxXwzZKS2{T{7H)H#kbqdnfU*_Uy%oQc=`?-<#djYk$zWD2G z)c*jcHOpm^SF6&~Q@%uUnX0I3^9E?ZXeJF$r(9e4c-=c;WRl6^b~)U&<~cV>W|_4F zGv)bo^%Tv&i5R`e*y%xt(@V z4Q@;D%PgwPTI(BtWMgAvb}S1Uj%~gr%Ncp!?y_HEk11UL0I4$EkEl9nI$pkC{X`N| z0v2f0-I7GqK?DY|>~O}*zMFVUEbij!4Ckr7e6>zem6W-Dau$qF4xt-Zgn^Gi6m5H3=y00tDqpJ3Q;}wr zc?CrWP~{maWw55Idb&0)vvSNx1V{-N-yJSGyT?q+?RS~JS)279Rh-2^SDxi@<*i9H z8Eq?}S#C+xi@JunHa(B$jHI3Ti;cYL&zbcAs?6#tBk5f38e<}bkM>!x0>aj|K3~i5 zWtYp=E9l03kEtk6zaM|S$(sg})aa~QFbu}F#?rJJ( z;*K~Rf^NVNNH*a{H~6glH}*|Q-aL_Fm1U7)ab`9oclZAQJ#o#qv-~;DGW@HjsSa_U zbo^+slN}q;4-vOF@g^uwK7jgP7pHzAZ(i7sirJ9NGa4xpvm&dF)q*1;dtfFEO|@KuW3yVs z;3Z2Ee+iSZAoKOWD_QOkTVO)9$J}5hkm?TXwhA^q&H(}juP98@0LQ%^M?Oyp3^jIzdLxYf1!9CNlc)x48jGiC;v)T<~lsJ{F1 zN#7cb)LE4s25CU_*|jrN(o`spSe3N3hT)aFFgt_x?}k!kHB4@+JJY=Z(>*zxLz_^` znAgt}-koaEBS>Gf5VzJxZUy(>8OIwt*0Qqpjf86I9pZgv;Q4^D9@yIvWs~R;Rs6|+ z2+sz)b{dx8W1pG#ZafD*td^UvGvPxtk*ikD6_oj{toOyk8J03+TdTN%GpyLvJAT#7 z7DZ-2%TVBf&#$j+bjOa&xpNlGGg)Ifu4PqRk^TWZti^BXa&YGN8k~=)sA;lDa*X>Y zT+WW3N16somV!u&TTr^}L9pawd|9f_tnAkGR(}?8pGTAB8DeGBFi=+rDa6KCl4&A4 zmu>EB00V40&6ebkoyS=Tx+Ha>~x}Om_yrZaZ;|US|v1nvXr3s6H%H zSGHkAUj-&xQqs>%{z!(F{CDESfr*D~xbV4nV?G)%@VcP?0Q(N3{{X$lztMk0z2nh- z5@p#vM?&Sb6}iPsbxtH^jyF&u{o&XH!Psswi;OpUW2vx7ByyGMdvT(#zM~Q5`jIQCC-3xliulcBn-#ogIU6be?y{viU6L z{{UiPF9EKp>shl5zcbAjGp&+|!HP)OYeB2b6oIinrZYZlW!*Y0nvSzqlv5Xuo8gvc zB&^d9VyY zHpTvA*3+e1(%nH>=TV`$8CpmcO`VhHCNxzoD=WJQizTJ*}x384-4F-AC6&Wsf({fT~l_p^oY?_P@qzy~xb{F~# zW2Y@^q?t+OldSlCS(f}isHPRPIkiPSYvwYgJxSLph{#|zz3+2j&KGh`!dY(>Ta;zd zbr(qVu31R+l>Y!Rn$-gu9YsJzExyLUZ*z@hm6B?m?Q+(Z_+8iZO?t}El+QMaq|zTy zWdwTVvxgSAnpMdV1018e0Fm+_KDM! z?Nzx)Ue?v;l788#Y_}<25tSjKhFO5Wk#DBoe{5NCy`=tztnSvq)O|THQaZA_7cKZ| zGRSVWm8hU6^*jOFFOLxM5{$l>FC+Z5k z zDa^7LUD<)ZkQgwF{FR7N)wDk(g{{V#CwatMWcEr71 zsWsu|s!5sxKp+#b+!6-H*oySegw&aH-o<)2a}xQl+*wy|)T9?o4UAVf-HOZ@Tyo!gX;;t0AbZ)w; z^!Scl^bDq zf|{?*t-r1S)s2f<{B5}30W+?q{oz1P!1MROOCto;sZG5{Yy@gSP}V!{2*3o_u>nha z0e~A^0!6{weeHk-Pyhg(xxUuGTIdjjBk(#0Mzysm;QoglF}sY|?T%Ux1bE9MN_o>D z1NmcGLJyY}I-{6-35WC3hQ6lGjhCU_CqGF?_QOWSt1l-06y%>tNA|;CQ(_H6tICu6 zj}U)sT_)VsVhuo3>lYqi%n$2{rH)CwAq2iAvX%@y_P+j{{Rk-)m(Zq$XNK1RYo4d z=T^gE{cnwJ4)8=26Eb2b zIJ}`##;Tqmo&>Y*44jMJ-uRL(%St4q$2>ZkK-cjG{M`F)?B$H_^?TDzU zX;)J(i3!w5QQ`xNn-fq7;|TQ-pWX%|#20ISgAJNX^6Vs8A(c^<#NV2N|tAA`FiD?v3 zL}Zu^Bm;5!V(lZM7mhfR;xa?r`Gv^7Gi*`#amaO3%mBA;2K*d1qe%)k>}=Gj2sOuP zNYXEH-x$lZ(^28QK@IS4<#i*B z?)C1psAxKVsv2opHH*Uvs&v?G>CZUxe-pWd)33+*rrvYo&)%YA+wq)yYo1=-&Y9?9 zS1JLLPyTbh9aq}wHD3>&VELI>ky}h-lm7r#K9}%T^yKpV^O(5Gnk1{vr?Mqbj2Rnk z?mXA@$GiPEP99$%W%44z?AEbkVadkv?3KFsJu1!dBO@veyjT2NAHdzQtbDUto{9KV zN!2-2`JHU_baFiMl5PgI)^wEwa7WkrW0lJIuA2HQ`Wq>SBkTUFpr#XuDYCkSPnfYS zc)=v>HYWD={PDap>caE3a+s(gD-ss-Tw8|T{9@;I zCX!9twr@GF1q$o73l2`E_kA3sFMwuqeo=%tHW40FnCd zi>W&!H&dcB9O|x|)}2L^=6zk6)ze2sRZlE{q|B@fjUa9=Ju#~$ z*_+bm;k^_Y;`J3eNd{XI(IoOs8Z&^g${5`VH`rnRo1@OwnH6?Xki|FbUx-gfOHk+c z3zs~Lan8(6`~7WfSu&GW!s_#?i#N#guC43(47yIJO1K{{T*98NYI3S0CxIB?lW(pw zmCA9#JTj>!o7|oheJ%N8a{5PM z&9fSL9bUdwkXZ?N+dyH+0ZrQ7&A{!x6RP$&8fSIuEskdDZ^(H7A7W4Q!&yUd!Tyv`25Jn`sG&yN{ldB@D$}*{`;+>;YP}LSiXkBi|q;5^hpX-d= zx@PpV9r;nxd!}?j87V*@3_|V&&A5D&p)J~t;qUnmY#Pi z(-_%RJfw?~*5m<)An~uFp3J1FkO$28S*NrZADma4X=gx z=TBC=f6ps2=UZ3w*Tjn6pvg0usJeHj#b#a>M2y6A$foxeX9N*z-;UhjemODfkePF< zT{CsoVNo_!O_SuECsA2jmnC3=O-jYjF^@HY?g2NjIJe@HD2yepT_va#1@5RcKXn`LZMWL@ z;|`2{om7+Kw@VNfPK{=_q>+!)7x{R5snwB(#}1NbEB^om>ABg9#%=myPmd3D>d0UD zVT@b`bu)at3<<9A6TC|-{w z5E|^u8a<$KUz=Q>uvkYv^;&OHHc$ zcyXWp`w!*MYt>RW#%_a919YWL$E0!E{{WsZ^6~baRO|7Zpk!BO>1u#f3j(p-x9z?k z%g5Q(Onx%-5!I)qDsTS)?&ALVpUcPD)khD;K83&Ux@x5rfUyuE{{Zi?f0vK5s)zpo zIrz^cDf@tAFK)7b}ZbiP{hYRt}@~q3;c*HWvQ&Cl0Akt=($WJ2V-<)*DYBN?9Yb(Px$JQ<;|#w-_l=Lj490}?4p|0a zoYGTG0CbHfm%{-ywT1Ny?TzJ*S5`ADoK{rLCS~!8dc~eO=^@H0!c7?Rl@YML?oFh z%rw!ol+ILaSlF){9By_>y6NiL?4L7(Frl5It%Q>tfNCI-@6On=>yumI^{+xxwJ$~F zP|qkr)M;d$G`V{gI)|?3Y5oUl#y?8NeAPcmNtB+P$(DYkgDA{0yvBN{)>vJ`>s12!du(ym<7}GK8`ZmM za`TnueQzFpTh@7gW0*rpEJ+M>uTB|6Z|k>nhB#$6t$vitDX%XYn8PGNBZVW3Z>IMo z`jUD3;%QbA1$?671_Fxa8>wz8FTcW)M{8?x`cwh`3@v&;YfFAH+B37}eg|+d)Ts zx2Y&<5mp!f0ED!}@t+V!X0dAnb8Yd~&DzB5*ni>w0I732`D=2zu`5y2q3~NsDjNHO zc?R4L`}$)&<8MUj9HxgcrIY9Bqo{g#+IoKwUqq@6n0t(K*4AA-Dw)i^LTY1Xa*Hb| zadNJx%(nZB{jt#_kO87I7Pdevq>e`RH}}U@4L^vn5K+nCUk#db)V$MEN<$`*_yH~4Uc$$2F>toysY>zhjTvmYd>uDOEb`8xdYKkiH`Jw+hWgsry~FdVwAlIpKRkHy{ubkou*;eCdS}nPx0K=L z-wo(8N}jUJXu5!kI3s47UmhSALKAg7kZxCxLyptp{$?|t-Q4>6ZThW#G35OpO+)jY zB;G7+S6oq3zKHiC`r6#><;F4i^7!-K_-f}e$6Do}=x(0qn*N=FeBItE>gbfyq;#@s zipyyf!mc+hdguX$68fLc5o7+~~Um5st%5NKYzRU7uGLp#jg!pUHa%3?w)YGnDMz(u1a(7ae zupNcB_r`MmA3mSMdHc0KY1Pc(<{u66JclsqT;ndz8|BgoRm_bUwuNQ|ZUG|XujP&0 zE^{4UyE87EmkXbY{Wa3GStOO+H&YEncSVsRiHM8-txfB;+nh{(EWSMVZw2`#SnFK0 z-wyfLNi3s3>FdfLh(jH$Cvn;J0_EpDwi|dCc8El+%1qT#cPsBwqz4 zFxhU)v)i|G#u#CiUms1pt;%)oP8;sB=pK-w$||MGvnn}ipr>Ng>I<_Q9Vh;i>w64m zFNZvDRJ<-fE;d@4dbylD%i-TmkZ7}Pr1QZ-@-7vP(y z$6N8`o;b;V>`bOM-7UT>bhk}pxkrnW=Ja`r`4tiu@m?ha-*K>A_TQ7nGmpjcVV?55 zE?MK2I+K>C;lDxjws+FBnT=D>L|&W#(=bO21)Z#WUlRNuCRZ!F^e4-XGEFcQ*+xkZ z#RxLEzFJC2WALJANqAV5UuS^Cl5pF?ttlTo$0A6>FcuB zsz|)?Nb$(hmKGiwjqiRxrLmm8ACnzfYUA?bcPw}s=CBCDA~ys70C)cQ_ijAeYPxSK zr|Mkp3QV#e*^n4oO1Y+T>H>n&OQdiu!teFO#~vxRg*qCY^Ky;UbYHQ4QEL}5&`skl zpf81eTD5FPZgHuGeYAB)MqTjIX)|oYEzC1|Ix3hdzDAi@3;fijHK<#D5vJRoFNr2s z9;rXbbxr7f4bn?h1tw&<<7Ih^*R>@GN>-G3$N{-=_(jh)#haSA={UQUr|JB|ud7=o zm;Nh-V$5pgr3ot|czOq!n_;=JvhsHI#-+FYGq(FD6U&N|sru_R%(E(1>S!{GOulad zYU-5{M9y5QC^|_ch(BO)nU_@jIL5UndWM2;h#91Hre3A+rGjZGqK0YJ4Q#QEPLbJc z-~oO1H@AExvTje>8kftWmp$qC$ui8xsQN1aNVP6wBqHr|7!OqMENkmFOx`FBUU$13r^<+T;zk#%5n0JWRj0{k7Ab=SmFQ zCh00TG)+mJ(WL%Ws!YXYSeWstbPvedQRIySLGa`Tv!uA^x-wAD(X~%@G)YOkpe7mO^*;JQ(F|U*x+}Ipmua6(|{{T~` zD(9x^ca+HSrh*Y;{m{Vdk6qac9{y&IWF3mXQ9HSsKc z1%Uwj9&u#D##i=F#p!$S{{SLfqvE9nCy5;N)ihF6K3A%c>UTE4F7+F?@=nmtlEE~kUXV{wk% z8T$R%>cx!D=u4( zp_%1!p_pn1V0Z%Go;hW?lcx_m>x`B>hJ3b&%w;A9kQPFPLlru0e%xcHEHl~K$5Qdn z9Dn+7MzXfp-sBP6Z(K2&H@8p3462rkt}}Ixf(YPw7D%E+3IZ|$EC(F^cy!6aOT$g@ zikv}7)(DON0Hti6QX7^_p8o((ZgH;(RMr0g4x*&^Ur*JiJVp{kraEy2ncbUCo9eyx zCid-)KZ;jQ9*axU8O~=z)76=MBs0-ZkS<*}iuwZTVs&5JW5zn?`IyJbriL~6Y1CB} zmGqG|VI)E-MUrNbB2jzD3a;mCSlI4yoN>Bp<>_@v)Y-RHWfErjrAA*3247bi#3@nr zw1k2|)yCUj+~ZxlM2xJp6VhiNGe>gECyJK@1I_#K&c_l}K(ZK%%SISdz1blwHOK0< z{v{U{Beyu*zS+~BqP57-uJMi-vi=`%J#B@{(IHe!TSj``;Li zHQ5JHoQ9t>&GPznuacIIG?pKj@JdFuxl?0(?sSW8Z-!XQHB)+IbI*ubes^0fZ2c{m z!&@Q<-fC>sl(-$3X|cqTW5l(0Yd_W9MgIU1Lz6_5b(HaC!H~U7!bX!<91rtsXF~+R znxaV*jarW9*7ynIR`RnFO^N3LEDfa&mD~M7_xB%M0jn1*&Ou993trzrfOSKxpD|J` zU~jepPd1VP3vt04UjZe>iE?gtU;E%1=0vvS0l(J(kf<9bp4PR2z(G4};DAB&=K#*c zIPHIY1amFHwXJQ9_r3$2t^mD_y9@+wSiQ#N?SSW)+mpE1-vP|+aBeSd3BW}6BWBv( zmV5y<9MA+CA27sfsr_;p&& z^TSo~TRq7q=xVxZOwTQrs##(>DJDr7z&ASs!0vH=STP=hEH?+fI%6i&Dyq#hoU(t8-9KMXTM^69#403($A*K=aj8wX zAfI!NQypiNotobhZu)%cl{$|xj4GFwbb-gQdMdmJ1U!aYi1g`+#kO0HL1o27bAn&H+4{USz=i%wDnO)tlI_v%&%l;hZ zIeuGTLswN%B|NIJRQR$pgJu>x*bVvb+ZxVx`?HG2qbu^q=$wj@9P)!NtcHqIm7Z1A z3+px|5Aj;oHpZqtQq>nx*JWelmQ$G}WDk}{^6|AvBf}PrmCHA=wf^|$jz5bgx5Qg! zl(`hOd5&`)dzZ$|4EKR*)HJMPVRl=dO|~5KiHE6 zwLdQ9JTM7X)II+ID`Qixi+K4C8!|9CQ&ASYH zJxZ^rQRSummDCw@G@+vfUbY~CED1Iw@4pu9+Z)%>FL#!FKdGQki5*;8s*X5nqp4UV zmPJ_F^9xv=j>M7cY;(gMGIvuiV{)xzF=9?{8snMnhRWfQ0CT}B-Zc^TW-uC-B%yBY~QFeD&DD~IrA|}TG^>(&>7b9OrqB$T&VQt2`;$T^*0gpKUvdc86^%^ z`$kA(pD?Lf$BhUv!tsSkLACB1&0)UA_`2Ma>HDSbtIDcrpF$Eu zAy9ah=Eq4sxMRh}((|`$bhQ0OI=3jEraZJ&=4+VI)m1C3ZlRnoJ6sa4s0(`IGaGS| zcx!sQTl6hPTa-sw)m0EyP~}y!)Mf3MLn>8R#1uS0Y}$weNwusFF1oSZ@5*miQNhzt z=Jl}jj%kqPFxJ=PanBWH4D7V`GBc3CwSy@S+k!Xe63;SjYr^lvt(JdR)H#)HJd4xW z-9Cs?GLbwih({n5lX35lFU#>|mRrZS+PdMMGG4FlsrZMNbuU#@RYML#S4~eO&`l+6 zZ1G2-Be^$SWZQe++a2+o%dbA1_s4c#idedn;|_5qOk|!Kno5|VV%8H{oS?P%1dXrl zjq3g_GvaNk>HN2-@~?)q-7B2rwA5m1XNI1!t6_|tM4J#uCfbfU+&bqH@9fmdOW%bj z!%mgW`ad$wCY~(5yj4;NQ5P|%3J$Q>a9@qPV*dayD>ark`X^NJT-jekbu}(~7I4*_ zJiQ!sZy#-*abI0sI?El0kKY zrSi7RGRhvCsHItCkzQ=WOC3&5;C*q=SI#x9&uWZ^rL&B?KI)AB06hJj5@r+?0pq5t zSuE@Y>^{dD!y6@*RJMCZE?-3j>9Qy2b<1Hy(ko(lW|V9RcD3)%7`;C6-|9>CVRH**;*lRC;yf;05%$;vbtOh+jNt4w=w+rGLi-E}-sqcobpYEMrzp-VxB^5qpO;MTV zy*jHK1~JVn>#oOxYUEEtNLo5d9w=%UrbBWofSu&)mC)|U7Y;gFUqAI8$53yE#}>Z+jqs7-F`3bo8M<0 z7H82}qsN!gbhdd?=kQZSnU))RDC{wdhBAI?-&OS+w}P%oa(2iw8oYxtk1YlazN#ZE zO$=;*=RK{e-K~6Ys?OF}#=Xamb;{Aw=B1*}24hiB4AnAt4GIYulVsJqFTI8{zjb6m zlXaS984Xri(9bGKP%1;y)d-FB>9Ya|BWwL}cQvYN?}ueC#Qd%Z?U;1z`GbbImVChL zQRpS`Pm(eUg6Z%kFUqiBs?gn7y z!?$cEID5abyYxpt#JqxNoKbX)^mQiIo;u?rC(tk={{T^otbO0vHnd7|t2L$+ zd7IB$2Y|0tJY`bk64q4)_8el)oqyvzuCgQ3R6Pj|eNIMO)VsH}%QV>Y0O7cbaH~nw~UiM?7OCNiKfG-u9 z4eV60xE|c#2pw9l_<*=40M?H}0~rdVv9+)XwzZfAw!oYOOngMRRW{@P_y7O_Z~!*i z=Kwbi$}axf;2>rM71M6S5o=%}&_fa%S8EY~hCsoXjmM}Kz;iLwO}ReSwgMt-O7Fe` zI~|3$z&$b_n});9;w^yQ`-~v=uZR6Pll45gr8a9WXq6C0B}f#ot0KmLmMwkndjJMH zWj_VVUd&6*wcWu*(9|_!DXAH(u;sOxZB1=d!ZCPS3x-Qyee`?zv7E89e`$ZO{UB9Wb1+5iXA z=J>_q8=m(#{o4NkRL1bH>VBPGmdu)pDw!vacp@!5!m-yHxfZ_zSAKE3HEt}+xhZrf zM$%_FO>S|SW^-lqG))|A)YQgjI>{P{1Zh!YcEhJqUF&4L**RWPbR{29Pm{xy=d)%M zQ&-8C$uNYdi%8e40~2sk=KFDnP2+yA>~3?Vviv!qrpoE1%kzmwb2TMJbv!8v@a2X! zAcHOTZ6njYu`J6S-%@UU*K(=4SEF*=(<+}m&U1M(`e>>}IFM5si%D%)mfwLm7d+zJ zc$agOmzy2by%^cNStyG+cgyR(Xw51DR-D0d#Eb8Bu^fIZOD~^WC7-*NZ-(F2syl!8Lwn(Ojk5UH?z2~4R(GYc!PQ+?L6^x`VtR(sC3V(Pz@4`q zoL?4A?!?}j+HR9^k+oboxJ>P6n=)LuA-~GscJU6{i1TT-CQ`}29)DGqZ%%rQk1Wdi zI)kWbGm83@sgywQe6fP^1-lh>RRvv5H2LaN*U2=Lxnyh@{P96^Vh@lWH@^E~Np-lp zo8?uPbd?=%NM_mNXEibAGza&z%|=Rtdn)*!>O1`{z84)yl-DaSHc|DLNIqH8S#3>r zX;9S_sT`ju)Q%NH4O>b0Ndng#?}k(Hbns5wLy-JEMS_~YFwKP~SoCRMHA5lO5Hhj^ zU_8Z`uGaVT#p$x^?fa(Vzb^QF4sF&o`7Uvte6?&!B&LxmjKX9quU*BouA3eGaXN0T z^A~e}K=kKB%bMhJ%S)Wn$5S_t@|2o)s7QYk6T%NdaJb%`={Gl_^yfrR`#o!F^Yo>l zuFK|~>YDDf$djs@`s&`=dA=IF&#|~!S3*%s)pBGqXK}#Ji7gDtO!5k(kZKGpe7;@D zJa3C8TE5}9i>Eq6EzTb`g!LQ{O-5yO{{YfR>nu_8DQ*={9=LPU)fbKGt2%3^YO~r( z=A(G^!tg|~wZV|e`c~eSJDc{!)zU4hdOBCH%IR{f+J`ZMwxQ`hW)>+NGa$RGhTgye z!1o+tP5L-I9RWPuO$J!GoikBXQZ;-zgi*63kgxFt9&6ugVb448ap6rz)3R0dYh+Y4 z^wT}uB~FJ#I#9W|BTzeAan3BaTPwb!q$=R))0s78GgYlRR>_wFO+r(#u#on);MN5x=%xIyS3a6ui9%fTnGA+u*w)J{(*tXlPzVw`9VbWAW52E@`vB>Dl{$j9qK@dE1vIr~fUzDL+=VoKcT^MI z7wsgWO7BP$rFW2CL{RCyDOCap3ZZwT1yGdUq&Jb?n+YHif;8z$jU*HSL7E_4+V|%7 z-g;~CM{;x5Ff(`N+;jFhXKy)Wu-0~9AiA^UPT7!w={keC3!N4v%ABs{O{GpG#6`qY zySw~vK-NWB^8#saknKvXc;i_A?aElvkRtfdioUjX6vfz}ibLJb@}g)#2xG>vz>eV9 z%k`&<niRLrA2|#n^*f? z!DI93BRDIs-uA7TXY~_FY~}Z4ADQZ_v(<>xiIWT>7!sz6&g5^pCAJ%{XE-0r-Yl?a zGFZMVEf(X}_G^iOb8*Hq@BIFo84HUauX#3%h(693Xpp6Sxg-p?32_ndb2Ni4D_%^F zM+Mbc-&_=vT}`sTJ+@#o&nn6OYR=vX!4lDJhskEHB6_py4-{S^WGdgf6r*_Eo@EK; zxYAW)3X@OKE0?gi+UwF3vU8{Mn)CR0tBkwvKa?l?+|aQSGEaA5`9?T_y?!)c2Sr-=$HuFQh7sXD{Q21M1&YzEEZa()h?4JsQIeruampQ6)06=mx? z*1yQ|M(M!NnZD)}F>MtBD}%W#$#{9KjfDU)LCcr%Xu+Zqq3@hOhJr9@p}rp5c(&YM zVFLOu4#%^%MwevE^?Pf4t$!HBL2_}!+%Kj(OGD(EI{I2g#`>S@AC&wDMEk`Z&Wsex z4>8y&fVi=Iyg81`dx zt=Zzw+)}bw@+uxQ4}4u!<2`L(%J)FrGD+Z{+4lE7ZqxgGlZwXJWT3<))3v(hLu$2p z!z1qcUO#xqK>4SQTR)0>!)hahTvk;_&I7vy1(HdvhyqZ)r3R)j%dKz3NR@D(#I@|# z{i_~6JWKnv`Cda9yb~2~vYfeTLMMZ-I_@Q0iJ3MEO_*QiY~02`emdPgbnP0B891YU z({&^w$!)tIa(;rGI~sQghsYE0=q=Tpufi*%e)3~0i-&`XDs!DL!+-*xRV<-wco zoOIFmR8br36K(pP`Aa`?mjZo3xU}&58mCvLi`B_{dUS6ppNN4bxn=iTi;J-+PV^yTj2&hqJak|1NF0QL42z_8O5cQb>~~f zbxxZW0p3mbl&Th$Ztn5zNW@HD-nouz*^tVe;lr+7 z@$TM|kXwBUeXaXPBZGS^T?+}+@5hB$K9pCE943z3%U68)tfHd2BHTRs_C_-*A(HGn zzQ8^m-`~06a@TN5$4p@uq2yRx;b;5J*;Lhn(#${xY%8oR5{}~-*dp%#euB?;$X?kE zx5t|o1f9o-OdPO{x73blnOQuGY)z6ePyZTw?Ejtr4VxC_yg6>St7%|?&|N2*H)&g| zxY?S%k@=BROACDL>mQA$PMb;R>H7BNOhY=`fu|=xs`BbRIj8CxPn%&S!i@>|0&^ye9q5<8&_$-MndE>U6nfTA$jKCy14ZzE8f!>xFlJT*z5X$MA&Ju)Ta~ zJq6pWy+Mia>8LYqeb^eNWoHr`C5v#j$=#Zlm2S&sN5n7QFJl zJ=wUYx`e9sbK45B*8My{OjaSmWG%~!H?ipMc7M+C(l7sK{U1AtR`*lBaq0EO$}JTP z@)_7N^R)WUmvXMrdfHowTXwuJ*?;oowGNUGN)?vMY=h}F+0kmH7=f$iJcR3qywXQ$ zW?Q1)J_H7NYL&3rJqmx_jC|yOVkTo|5jOjIxVg&hLC%_^3GJ4dZ;irk zn0`gO02)k{_g37E$o#4`YVmeXvYLi6F-b;;_a#M>{Z9xQ)tEHYAs^b;mXCG)R9xw6 zd-&l+wbHxC&Oc;r^5V0Src8C(n@}I?k;(^w%zgQb4V}y*V%}z9I>UUwRpxlW`e&EF zK!xc6Np6v*OJNGo_zu4t=OWMgc~@(Hs!Hg<^Fw2G-Dt@xetYvYJ&!z7v@vmKTI{`Q z>xkyy3%>;M^dITk^`G}<+H7_Hq=cjM?657g8%pjUt9dD1a1!=3uE+BoWA~@BZ1&)s%}n)}(%ol|78y zyAeABC-ua88U$VEUytHZ6+Zd?OXe774V@zAe_t{{kdC;>2Cry%pn& zL*bum8~io}KrQFTT`EVee2(q9?*zA5xuL_sU~WdTwbrNJiYp^$;|H@(kq-BkH3wQW zTQ%?V=S16?zJ42XIW8xEba4bYsa7S(#p+k?$o9d`cKIvWf72l$)m!Ax({)70SYPNo z5mYe6(<^{+rF$L%^(4%)F&WdwQ*l*kRPdbk`-}R9N`#h(8($E21S4v!xuEk(yXDL* z=lj&;w8_Y{m8_hV=oaF4tHS(@PgJL-yML-UDQP!aRtk;iz6?)acpRUgyg967o1j=W zA;dFsV2LP6j_JEEt@u2W%Ks%THr*%SxvEV5(!xVK#t^SBV<8bC?=043tJ&xN1GXO; zad4+l7s;$ejfCH8zMHGl$>Qf;WIvsmW^DGXrAwBB1fx_@CzT;;0EfAeoSU=bobE1+ zD1SUwKnSjE-MHze`eAcZdXu?@x4tCJNoO^fy*J(Qy)dQ|vJ`$cu&(GgfJwwN%F<7} z-KCNGYgei+5B_a>{MocwpGR`0d(dsq3`K-CgJ^g5BZZd%+v5AiRh*glq@9|6Y z-=%m>%E}6X;b(OvHT58ZE6uBG^$J78%fuqmBo?8F=h$iSlbLQg3HcAux+`J!D}vAY z9{r71UOCkd{%WeXi;{xUD-2whGAGn66O$P-n{Jp&MGY@wt(?y&5@e~SD+N$8d|>R&ko_aH=g^N6TdR&(dCUtA1v zhUsmT0kE#q-MvJav?zdDCZ(Tn)#Xjhn8nN9x=5HmBiBvc8kL21*5IPL53xGc4C7x# zdtL6Fa^-_1=1foT3%RIRUo|ex0d7{Z48AenmZ6Gqc0`+)b8XZBFq%cQq#L!_j)gSG z>D}f@*@yEunp@_30;gEbwJOK221%$$cVMiY!=HJ<58{caZcPX$AJP`^IwNh9}lz%#HGG}sj zpk+WEVjvjrQmGbab+fBjyNSBYaz~u%AFDx7L1^(I%chk|nc0wS!eh>#KruCs%exb` zP}_r0ic_>~$1kplDXE!=0o{0x;bPIZBtldiw;5g6>;s+t=m@Y*h@?0gG++5W(aAL) zKTg%44l;UfU6m>@#BZ4V~8iLg;LlSN4LFqNOtDUdMa3MJHEeneY)wt(!FO zZ@J!~JL}{8zRC>q=(W66rL5!9hQE;bzVX+5vm%T3Jpz3y4|c9LDBYbslF+$t+$*Nv z^3nXSHc2Y++Ty@q)3QDNiN(a@BReiDEzx(!lVvrs!JhM}DQZ4(nNPXg-*al@BsILJ z*pk1J`kpzfmDOA1l4hoh zuIu6kg=4$6-VuQmY@YUr$P2mYN~b?kvrlgZIO~YnslDc-OX=GgLt)+&n7@nN8evzoTq&ORudWpv}p z&s=*x%=HAH zh2o`UBjV|r-x4CJ9?N}*TUpKA)f0#fRsd|%b~lq+7LMLL7|eGbK(_>$Al`nP??vr) zA6%>|+Wd5hnz7^Lve$JJe5NdPoBY#PpyP%+St!&$e{o>o!a=NXoYl_B;FJe_HYvk- zYs!LCOZ1hwmX03Rgh+H>u?8$75g#T&F}nTpY-FYCg`WhQcGfk6MtR)%QcA?aU!|J; zFLkju4U(cRpA7tR_DcIcQLrxc-Q=@gom&X692w^Ny7xwNd&8NHiO!>A*jKV~O@&yFX$wwe)NjfO^a6lG6JggX%BSl}G zY)mmYg=*~|n7u1^wsW|P+2}DT35{w$;`kdE#1i{ZDXOKWC7u5F+_%~Fg`7Z@mkFG4 z{n;-nsRgQpSSKeX$$f!aW}ERTYF}T{v&Hj>*39@>(i4qlb#qymYA$WbE4wQUh}BGN z{4oA1OkXQem3Gr$w@zBHtDz3)E+K*{M^pXv`S>#@ZRmOGRwsRb9D#5;@Im$mjsBpF z{&BOO+1+XOt>y_5%##wVpeS*mwS3C zcJ6y9UW%M@nG&jZJHwIRsd3cFx~oz~AaM0@k@2u^jHm<$95v`sdnn%=9ZficS90qJ zLDy_|YDh)ZkANcn$2y-h*K14OCnqk6N0SB+hut{+t9Jj=>7o5(Z^YT)lgZlg)?v-z zR>jR(&D>xsu6v?dC8YalJ)E8FHtg>-GQY_=7=M4G?U1COVZ?XzD5e9w;S0cgwP8%` ziQT1}i*akoZ)9F9_@7N$Xu-5;R1J7(Y?v=r3&-^;%ATFa@GCy6tm6j{i&*M$% zm|Uip@aQ3mR`?^;VOmpa^(^{)4>LVoi&;nA?L|deqJn z8(1x7*?QG6WI$}PU(4M8NKr$@chE#cTH6epCshU9r*-Dj#w;XVI3(MMJ|DQC_W9`c zGN^o0*mb#jY-=NNI`V5-S)$4$^{AdZ@}z4B>|di#TPipT2mam}t`R92rPI3sk|}-0 z`qDqbwS7pHz{=z4{YGm~9Uh!p&timSIHjiy@=|hYb0)u&?)M6Br9WP)b8{zWw@nAy z_t4Noso&Nh_Gvo(WNNI^m?=#lHli+eJJOVFz(se+SdW)!gfXRzoXDLWGR15D~?M{PF4cXyF_4DcS!^f@91$H*nX1mQ7O z7ua=rOCR=6x1Bd6WeLK~t@OH?$B`5bm9t-DnQ4p^{3A*B^@h|l7sRgT84+2N0SVrt zHf9g$Y^rSINy-XwaHdq5<@(G)w^6BNPXb!!LKMH8$YBmf8N_34kfUg9(lC%`ksx!)W46@$GH~7IoEuPrW5Mo_8R{*!rICwQaIz1QQjnrCP}By|45o})pU zy_S>Trf^B-c-$qkBhw+8&WJK)E%wXSdjbXi=D-V-(NwyAZh?>?R!@kma%6(XuW6ON z4wL*lx#Wcsm#_knahLG0@NKf}su|yg#9(IB#2ih=6V9R4b8(g@Ho+;6XY{K^R2=V^ z^-{d|RJKfuG)wmT)bi15d78IIV>)&P?3tWBycy2Ek5I6!70UfkQdpCxFf$RgBANvz zZKpz`sXABXhT@bz57uq*g1|v`>HdczEyq8|XirbbdA>)o<(PqdNM{J)#aNRm-rnQy zZ--rbn6yPjO_3G17>CK$baj=;i%D@z_8Ol47w=j|(5wFd`qucDJFAizzi49r)g#@A|vZ;dJ-sRK8*u-iq68 zNXG>3;VHF5Js$8q%>bm94E}x=^#P9Y;6IVOcsh5|Uls~-$yHqm9)6jI31$_4sVIxO zVSW~6&ZB+qRc1-B_i?}o3@LUm)VWW6Q7WoXD)>HML=w$TCDpVLxP0B7GyZ#}B)g|J z+4x*ruBn}W1&88UddX1Jr+8P3PtK0gdyE%lVqiEm@g8;5kKf061}e+L`U*E-NnUac0R{0&Ls4(Vs22etN&zT3xH~tqU!PN^Y@H?F24BpV$`LwC@;$` zg|yeLxkizGw_Xh-6|D>nR9^Y%f(qfd7h+G`nnI+zuk-jR=M%cuZO+$EaT}fW9#6fa zQ;WZVDy$`%Zsd15bmN&R6|fWI3su#(|Tz*A@qK;o=Qi%+C=i1K01)!GN@DfRxi4+KJ-co z3=DQ!{&@dete^dW(TelGEl<3(pRikXB^IZAXRr)oDEg=1F-dhLy@(#ALyS_4FXP3^ z)!?VGBIl)jeVOS8#b0!Z1y89=kBPjfi@a*P+Btp}tRlE>&r(5W&t!(rO-@8lG5D0x zg6HhR7EDqo)AXpMx4_>}_K5Zwp5K^6MYp444SSKaAP5>$KvaZ+H&Fe!Uh~9OOG9tE8HS>M78_KNAP_xht05*3A zSg))a+!KDi`W9Dn(N=s9jceo*xS zZ!$G2`*&LA#?i6){m#EczNodwkJmWw%$*1JzT9zLH_ZE$mXdGA;J4(5QPLgp`hIL8 zdt262?vt?Z$B{B7Q)jcm{{a8daF4{(PnKY}e*DKD8q`npm}F4q>0#K4m(eRm%#sxJ zrTMl?N4?euZ9Dt=KVQr#zcUHGCQAz{>Ot9uzqzGv^&=bRMvuvKVN05*xEi?oZEB?a zZ4-ZAl(&&P(O0Vkx%^H0ZjNPMQ*tG>_N58j`L!i^MZB0_pFSF(*LVCHwUOTZHz_-rB-&6$bG<%y z-QH#MAE1laDjk27+Z9sXu*DIp1=qHyh8Vx3>MlAPCHx2I*@k+{8PPJ|{PWN^^s!j| zasR?mUeSC}&bTy3azT$#q5sFUKA58x zDOJ^=$y~3^el>=A@G9(Rf>r$l0fB)X6+!_%`mNyCR^$& zrWkb_5q|7cw)G$2=CiXoDf`5X*&+SxbG7X_RSGED4A!sd45CUBlwV91=@LFXv6!l^ zErq}Lf!{LX!i}N-*0kvSva^~Dd4=+AG5>WSg1Xc}EK^3HeMv;Kd}<0p)j=|gx%T<6 z+9a^f5=>k@<~Xn9npf%YyR0XYN-TO%Th1%%R;k0DP#e7zdO8r)$P{pFw$3SA=#trZ zx10m%Uh+JSkw#6{($Ohk-ePY0`w?@*?!~8yQ!KV(|n4N z_PjK+w?IW21XzUSxgHcR2>)iQe9Y&8J#<&iU(uOR?*4GyY;da=Qzfb}I1)v4t8vFl;S)J1Af@ zS2OtWWhpbo5$VxVfm2P$^~jV}-gx4cK%S2C*YfyzutXlLBCbAPwe$Pp*1o){S`r^n zBuws*E?uV`2pmXm$L2@kyNq)kO{V=1+~g2-^=u4V=8<8~Yj2U%-n7Wr2tcJ+m}RS0 zQ}-|mLw*Ch33mqqWlgwp$8{WY?bKnPgm{=YZckb7#7IxP5Ht{Zb~7%}o~o=Yb->x| zM-KKV7ow(~P;ZPA0eFQb%+s^(quHb>xm6g9L8oIxW0(D?Qjxw)iS zOo*0ig`-s*os;E8%M+A3S*$khuA9G_B^Ozz_`n;T(^rcAFy{5melv;CjCuZhLepfO z;$bdZ$nrmty5xpYC)4Hn9hfi4`m!JD?921`qd6BNE&Hq)(ez(;rym6d=WW-Aciq$E z8)09pH1MqPtC{~?p+wdawr(A)Mq#)^o$;H)7LTK}IWR&$UP9QalRCxf7B;@hZ+J0-ojH4ymeX+f8; zQdW)o?2E|^{;cFIDR}AV#L{T+g+tPSU#o@LLFNt7=?s$HUmNUOMN<_Yub8GS3h(j6 z`R2M+FXao0b~`oSO5ZQ5?|eB|`$V}UIqwZmUaqHvNEK0FlgZ_fYAHHzz?)QN-UQDZ3B?l-;Xggo4ReIUNPSp( ztOO%4$L$+}WqE7PpHM@{s1IEN3bikJk?J@vCuHz+rZUJ~+S`1>N-hh$XW|Lp>xu>F z*J#@S?=Lt2g8I$AhXHa8k~<6k7%^kX52ZODEW{_^fpSbLt&jq$T(H94r4t@ zV7Wcq2}SpNkn8EEgo{1o>-uJJl1C#V4VhRR2D3e1=GvHErgb6|Ks&Iq`_$BsWJq`{ z1bxIx?C|@(D75M5Ru%-fKl#6p;Ffu92@ZE>L98PGHv&YKN8U#Icxhb5LOlLA49Nco zJi*>}z(rV+J{I=uyk&xhTx!Z6-kJbRjCc)d6gk;vEMv~$0*Xn_Z~qOaQ_o7vpJM%T z%Me>>6EktW4#ADrpxs|ra91`GvHaK~wHhcu^?C)2O}5z1Be9jW@I3#2m8$^~Y^YeJ-oP zbZ&_X?NnQ21<5_0IOv?_{ z5cWnxCZ9PhbZKr0z*ghLSM%`&M0{#|IviJ$l-QO8vA93-*he*=l55#R_mFW&rHQ{V z+zHmFgPWwiLk-bT7Zu?kpG=>PsC zv7)eLcA$v@I3r;t1;4;=%>g)4tT>Js|G!ZjF)|DWXNJH$=dg>Os}y(Ksj(Be7Uz3?4$; zn4rF^AY4iiTk2RskH5H-Y=WVTWg?i0}sKo~PY!CH!a?;EbPviW{zo^n`8rP6l1HY$Y`a0uWt zj0w#T!t#o+b(jQz3rCsNfdD?^r_Prd(_FdkuoHX;@H@`9`ah8Q!HjL>+mhSwcKdr& zmTyZYq(W{4v63aSAg<}$i8S*^dU&P)l0t*a&H^A0uG8`tdFvhC2LrjGj=(JwnLfP; zm!$Zm=xC@Un&eGx*x}zuy)+ z;q1R?;5sTENP7`P=rXJ6VGmX!b1A8b%=Cnn_@H37X%G3}-MI}gkkuFE(L{6b>IAh< zDde?#jOEex)TooBSQ}bRgfhPQw#+h2*pWa!NEtSS+;|YoUI6{)NXkkgQ+9IML^he$ zjD}#03E(8Uukzr3-~lk^g;@L&Fz_xBmS^Vi2HbJWRC7oeKktI)RdNJQQ2{Stnf+JN z8TeUw7wMxLE=eh5xkCc!=kfAE+6xCUuR;VyB$qA0KG?j#X?2iR8mev>G{g7$Vws<= z0}>>VtZ)uja!jHnL`CZD{aaSLo&2C4k$T@`97yB4WS&Co6Q5vN4MZIC{X!3qu-a*x zj%psd@`R_?oy(M#&`H(z?(Xs`5v71SW7chV^QWg9fTMv#XkmYU>=`6O-xKXPPQ45s zmup*~fc^=74zzI_X+JXwHXa}}n!@Pq&Va>;F!tBt`C-EN^n;I9jSI^95dfa-M3y-2O5VFiv9A`T#={{pB3mWqI9#2A0hXO=Uj#6Eq zT?I~$WpcNKh5C#`$k0TGKfKA*0;s@_F(@4%s=YJ?WYP9@C0*QdhXj+1hDM6Qg4tw& zkxh449T5TMG=|0Aco@mekwD0Y)AW3c?HviVOoRpV%!M8fK;{7?3CS5m@`ZpS4F>WV zpkco7Lo&rhIjU3i?~~lYH}e!}LRdu=l#EzOX*ZitLw`tFNws&_PUZ0V;Y}oIOo#4X zO;}yp1YsD+B!_`KdSClS?zkNV(yQ}8NP5k*10FS8O;7X04xvV}#HLDYf5CK}dGO9k%2#%nL6 zH>;KQbPyqM2@tf*x}(v?vFi@B5NC`y7NmvZmMPR`D$jPi!yubv<-K;|R1*zQF+ld{ zM`J`FmK|!akhN3+r9~5^Qnhh|`-Nwr% zuZ1ME>KSpF!lb*};<63UFHW8At^nA(Lu4E@kz-Y-tiU7`R&n|>y8!ZAcxA)%Vi}T| zt)omgaJ5flZj}uu^$h|Y45!KLcGdXEVl?E-kAT-}5te{bhcVRz9?%fQJYK}(f{wv! zDeYr^40!A89f5R3WvQ0ZoJbgO0JVgb$vYsm*>&zxb$Sdy;*&H-st&}uH<3m+mqwo) z$YJl~Q=JNUNhlD-vkF&rtbn#bNzDZ{!ii>uK3rIWi#ed0XiD|yVbmuo%Qr1zB+rlHS(!kK~L*=;FiZ zgCgzjFjx(Zv@HWJ=>*ID8fF#68=`|lLl(4AIk555B|MO|L*`QwyRnV*qR>U<05k$^ zOBe`ioZ~Piysc}We8!Th<5n0!>pMC9v!SC-)aI$Bfz`kRM@#_82!a#6i`0$o z)ov$kqCi8$Mjdt@!aUThduMU5$CSwG)C7Y3&s&kUz(Q%~ZIPx+o|q#n>+LuW3J+8h zl}y&5@L%-mIh^=NTdiw&*K_3z)~xqB&ET@)%NiI)(lv`R8{R()QXrj_rKlA|&w=|3 zE`hKK_<*RZ$wTHR9jLEedr0f~KJ89H+$I{T(Hd)9219Ux?x>s9;~~Dc?69F@thC}? zNW;&zJ9EuPXy}8IW4LYJMaJU`k)hC`%S=x^D|Lb8!YxC(1jL zl&k|M#_lxScz1|0gq4haRB^2UAfCk7$U8>XgD+pprl{sAZ=(XSGpVUfga-!lLl()7 z@Nqzrv<_G>Zv6@7*+mAwwbYs10h&c6DHFUSZ#1{vxd`_rQj;^fH-MkVk=)LPZv_!R zD<_TQymO*lz2u1_wV0|BY^j>R+K2s=OkPd}F17x_IsS||)kQ_>LjZSmZu=e3iuKR0 ziDwLqA-zZ~c1Q-{l7KdMMxBSJq5@RFEKvRcEbE8*5n8FbjvBwThxC5G z-F-sS%9+KBA8kFdHYj`-$VM+8a$Nf+Sh(Ldh11Xx40LTl36 zR4(AqzFI516Tt@=**7=cXPtR+V5fe))z!;~O2`$f6vg<}HK}s_v8K8T6ZMLd$DUPX(|I zbgJy>G7!S~AJBt@$MoO1#|ttWd4X-A4z#J`Xva{gLk^g0=L=yY5HBEC>l)ff+foG& zr4|SfKKyO_cfQQ}#^&{NfFV?D075M0d}v$vAAtLdbTOq61YDJEBeSp!2=4&m8~5Kv z(rh5%ayND+?O$`l_K*U;*$&(TVX)$i`&rm$Dq$}=Z)2p8KnKG>Z@g{RI(_bY0qCh_VMu}*}8q?Z=Hv@%m`Y+wZ6OJVaD^CEt&eGiw2W_4ltAf(3?1VQ_|FX2pX{;uh zJ4Hio)3pK>gGhM=B8y@Z{;wo+?90HvFELm`x;B>mfcT6vc@j^e{dq_!gyWH80B>C=d%S58@)7|9`2sU95A#qC-DzY*W;Gq@WBA*GRNLaq59U%G> zREdIxLBUc?bX5DK2U5?)mvz&586Un+t-U5WsVH#PM9kXJQpKVY)ZGBd2`;nCWTfEy zhgA%fD4|dE5&WN zSXc5aseLn8wNO}1NPkH{(MCAci=BGrT5*@d>RdSDT5IuilIzc47y>RL>_Fd&AenoEIVG;l(0;>R*$YOZ%Tu= z;?()rxA|0q@7u9DRiY7Ig6zBJ9#{K-tmioyL?ey>C#$Kt zS`kaB?gA~pqv<143Xrl)9;6~BBc~H*OeNs_u0!Emviw`*fH#wuJ1qEZfZJiFyog_9 z7!0AwtBn+FVz-HG0D7)Ap=uYN)HqT;{XpO_H0^wuO^o|)uk!Z+{OctLy|C(2S2Ub%Pg=@F_h&%8mUU6j;e<<{+D54TL&&`eAXY{H} z2?LN1Hc=^!ydbj=u^@6GUxyHb2!(UTB@Gvjp@FBXK&I8}Gwzh9_nJtms)_<7e#>D1 zCqCLHn8hgzE=3=fzjYvKb1lM-hmh@%i>mJgVmTh{JR~;pT)Q;_{F)uF15El)NvD9G z=n}_^!jBDS5aUj}Td5Gtf50v>-K5e*o+1=<{k@4}-?j7jQUfwfEuAr(7YC$ZTxmeh z^3mlg35=4SaqsZTIXK~#`Q$Bs1O#=@J~{`BiuBr{I}#nL?Xd6uMConq06Uz^_*|!x z)Dw1F8p=418q^1eSgS3M8$>VpZ%fcPoL|lB&`O9? zGWM38J~Uhs3ZqgrPzvOgJvqg)kq~VGl}$~m1$#)QS6Mc)IVplf3D?1-!~^Bt{!xge zKli+$H8_D+b4QQc+M57qA13Z#zi|hA+t^Okd zpfU7t5D)wor@{{#$*n@Tr^>Y<&(zy_aRor3?vRhuSmVUSGF3*emiU-M*E^r9Q|z6p zQ|*DSd)PM6a8Hv9h9NDZ{L1Vdkjer%Zw~2Db6yv4)~cWN&Pr`K`}Xb%pfDiay-JL0wneq`#_lA z#i|aOe|oR}s)=53()?ZmHSyVfTR>Z;9+$u5NZkv>rgPcQG%{nM4Yi$6J$AYXY9Jal z`^TRvaQ+NX_r|E9`LF7CB8woWzUAtHO?w^>r{TN@3#Cf=)2Rl@07S`&J6)p8);p9E zipZXvhB z6tzUzjeeT6E2k>MZ<6z-%gmnwhsfYcFzoOq2a6_ds?pUWDT!06&p~reUn}U`(FDdD zIHgkQ-!1^fQfMgnjy-xQ18MX_&7YBIkJk=F&k;h;qmY5r4b8?F^kM8asFdPP^J^379sjV(AS0k1)fKsme1R2TXHB*N`(~b7{>t4+brIy?U2XLs^P+-qGCR6p{H2ZKt5yACXf2d0>yi9OO=mpl_mP7V7Nss)>ec zLrt&McCV`q3=u6GosHGllPsZuem8Ht_gU{|B{kxUBaRUpGC^E5(G7fZqH+km68r5< z@5W3dsako72Y5AkzS(6O{bcUCxawX4zHnPrOiK*JV2UG8u~5J{$f7H$18cDQ%2&;} z*YW-g(p0Wnn3%|y9UwDiBrs!^;avBZ?4mPaq41mCWa6i*4oD3>WrBFVrx|!~lTE=e z>A@Ap;ENzEG}R=4P$|!`1U!N2HQUKC3+sTEIT`sYP5|^)Kxd%|J= zB+?j!fL-GWanI2Cmh_>^B$EEoYQ&yuM1PTdE?!a#kyF1Vsb9z9=lcrl!9XHeOBJq_ zX$00cNNppXfM*tQxhX4Lp5fi15PGz*?pv^`Lz1{^ejYqCS{hEw8PPDMGrMs!4$=WW zp-JIlYkKaFPurG&HsioaYCJojj0bM3NGiUV_SETWL@KmVmZOMKu|+r%vYI!Bsk<%z zg0Yk?2i>X;4(RPA;C#-ES@=mTy6;MoD$wRNg|9nE8)ys5nyszRCF^LrA2|a0vuv3j zK4)LMny7r*O&YxeY+}HQT_EbA?X4BS5+t+vTMRxCIkK1dWu|UljU473;=x}?M|AuB zL>nFSQWjA3pk~)uJ`{pjzNxd2W|VWXdVrOGQysm<7RAeajU$cKlXjJ7W=lc9DU5@) zDaW%eA9I6=J7D}F%_4N=JPAZX8MBq#pSv89FWZHX=FCBO&tqH;0`$G zP*sc6+;EsU!qOuqEeY)Qp)}tPkzOQfZN~mhU_lm%rfJassp!lDq1xLxe$H%+v1b{E zmozGCxLHa!OWBH&=n~bm(5-QdMpB7R$|NGANUs!c8)X^Qj6pa_Wr?!XOf!?2dr{Xi zs5eWNdVlYK=da&CzvcNppXYm?hnN9{f7?Edt<+qHo3Bs>{x0h$Zrp&rxpXDKk%8g4 ztTLEwRE3P3!7^7JF7=b7R|0V{VY~XNM-(HAVB{`pW}q zRxn{;b?eS^<-`@03u~H1kXNQQo$g@RB#(2z8wDYj9p$*0Rlq==tB9IdXFfmApob+H zM3NG~jmKHXJrqduw!K&!ggW%Q1Xc;GPSBzWjaI*~XTsB*J938bXWqufj-x~K3k==T zuy~UZ_j4&zDtDbbNj9%qDXAw_dvbL%o%jAdcoXk5cRD*8Tinb-3zk1ZcBelT-yTM7 z^z5qyd`@v2u=EiE$)}t(Z0;+-@mZdoJ@Mwjo8-tvImC8!WnMqLXaR~;#6lHywE|mD zN*&N-rXQbqM|6FhaQTj@+irO7H;KG#_yrX))J%t$cSg~U%!0jLY3}s|K5GS(`GFxy z4U@K7ybQ>X)%|N8OFn-Ps}rg%pXzQ}fqD)CTO#44KiRwW)NyGOEQADi!^E1g+i;;f z?-gu!;K@MPBd>A&TTRdGWi6GoG;oJqLGDY|J@EzBl{L2P-Be@vT?uGV9nGcfL`?(b zga95I_V2}Fx6C%1DX_JtpIOvzs^_L`xCuA#VsbxaQS_0@r73lV3h^BK?1(H?2=@k- z)E8SQ8E&(fo^{J_<^7|=nFWT)HFj5g7=oZc3uGA_ro{XG)i@5)n>*3OT&8!ZMD{ba zkQfcUF1sDl{BjaC2=2VUmi68Yzs0aR_*lUAA(kJL}4@SvKM{hJ))dmP-w1$n7dn}vc3UYxE3Y$w^3+NQgdaaCfv<6D3j&a+O-SBkk3{}1V$e1 z0>BvO6CEFv&KcDdVQVvYd)9l)#$iz0A?_XaB!pjy!m9`*zK>+5K(bfR>+V!TMlM!C z#bIqRy~n5Y{)?Kb_nP{UYIspNjl*hTH+JrCtVFpv*|y&NT0Vg1I@1s(H_2rNTNd!R zOt^|2Fp2Icb~<8p4C!Q{2Q6$5cW*xy=ZkY(o)?lt?ozg4PHy4TxV1;0&_Ia&G)!*` z*bpU-1m8z}*XM|M-!W&PRGzCGNCcn;Zn1GKR3?9AB0#H~PDdn>Udd9nH$mZ9<(Fa` zCz9$gHNVPBJ<-2ckf~(4al6%%b2WT)7IP|gCl0ccQ&^~FFCD39 zh+qNT)i|i$ac{C_!b1u@zmgvB9B0R`MguEaIgIM(7=c-4aNXakt``*Of*)UhPu>5B zZO3oqzu^Pggn2r@^xQVENu0-Xre@!oU?Hb`gHY;ciO-K|E4*z zXEZyUM3B6mT8eeha5VpTtq5k)WUN<^9>JX@`FZgjLw!$S{*FYK`l4`!&bQrfD0QIX zak~l%o%{>@HtZ@~A;3kRjCTyt!}Ekx)f-yZs&AHmyR!8wy5+tPT^AAl{pPx>{rouxhe*g8Sfil1YK}}@z5L2Gu7KP8dpCsFKI7C8$%biC5p<&?FB9~=xwTym zy@3VUo@O?urO@6(*X9^;_5ybRT;Rou;1YAOv_AoyVf?9;sA-aFJxYsAnVDCZg*bep zIlsJU4BQ)ry}O1AT!ro+GYBOyB#~Xs&HPC;sPp#f_hQqwdBqB~(vA@WcW$aXgI*so z?a~c?Stfa_FHDcG`6hv`c|0~ZOA%(`)n+Z`<@FCtNea|oiZ|_iqYIr z(9Vu!)qLS$?z!pyjmpn6R69#(ZYerD3VBtQE4C$2XvDA2+Y9g|pHJ7pC7>MkAl@RD<-Nu1Jf(XBR%kQL5 zJPp+pW20@aW}C!h%dn=_f>l$+)#&9PoPv<^J@G_y(!3W$(Ij@m7M#mP`fQ&z6NdVy zkQswu(%`(p!nQln*Hr~nrB&>uM+mfIa`<0@&7hmvKr0Jf*$`3a#BZnK`pZl5R6j2u zsDH*=^BOSKc@j-=6makIv)h|q|Kp%jl-r3=l4I9lf!RY1Q4?CdV%0%p|An|j19{UY z4m9A|VYu^7@zYx*oX0B(K*NtjN;D<3X=@~b&{v{XNR_9qU3uQtP5&psUo(hF_ zPaQt;h-8Supc}k%<}%ME%qz^bD>7g6mH(u~lQwXC?Gi0Mn56LVR>b^#K-Dgoa-fm% z?(why{%e*9riS*1%^ZBnf&m~-K>HtCUg-wy@( z7j){7Y}xCxQw+1N5}tKL&I>*d%B&BJtK<#Hl38d>aCvlHO;fek2Z)>DxQOgY>e2=aE&EYzES!gOymAx7NP_;!HNdU)yNR0n>zJyQL@o z@5r~$zQGwr4v2WQu9#mugc~)Pyo-MT+Yfs`W6F~ygMCuyrsR$%+o+`z+S__3?k>1K3gk diff --git a/docs/esp32/img/esp32_cam.jpg b/docs/esp32/img/esp32_cam.jpg deleted file mode 100644 index b7786031b63a78d57893e82e516f0b27ee8fc672..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 218306 zcmX_ncTiK?7jCdzMFgaYbm<)gq$eQKq<1htg7gkjLJL7JDj*=emw=Sedv8HSYJkvt zkrF}(h$IvN@jiZU-n@POIWv3C%sy+cz1O$C^{xLF{`&!VpsS^$1)!h+04S~>z<BEI6yjwTl%=4gy#2qgDJdDanQ2&g*zZ3Q<`H7$;p5?95#tf!=3(UJVddgt zWo3WF&cn*b$4kS)$IUFs!ph9UEy%^pC-UDn0Nvf&E4Te_Qt$w7&{5o^qxkPTK=ywN zyz{@M{{L~~=B?ZR+__6ZN%8;2|DOR+{NK6%mH_u}QUGo|xcT6EYQO%z+py5@ch3u+ zRsUfSI&{5t$wB10`8#-Y{KoO&`ML^zeuA=Bl~?888ImAEi&g~^W&82%Z1#-OX$e-S zK5MiU2p#uQyr41#FC+h~XWHrD!zVHja@phu2#q-0!bSYKze)+(<~Kq&yye$L&bf<9 z*;mp8!Zf_*_@ahu#LlQH^{-)KbzQ0n*UQawMZyV!^lo}@-%jXCrC#q`Pagq}+it&D zv?58%5Z`UbT&$dPu$*+Qr=$zcaYdMpt5m9Z`!du#T6^)o|9lc4Jpn9xZ>6P+Po#-X z%-7bs99PL_pHr*ZaA6UpTyC|MaSIcxT(yPe=d(FVGGUBVc!r;>Ev%{yTTJY z<r;swhl*CTw+?Q}d<+)N9M9L{}l*qI@;wK|uX!@2zd z!XO$~?K)$vVp@osu5tRaoW5Kzm4)^WLx@(Mr*9*a!oUBzK%|FfjAKjp-#i99cqBPa z{M#l{RFbC`<<#*E01z-Y8E^7H{BwSE%q-8*{32VtmdI1xW-?waJ~xt^?V4=I1Ip#m zH1YgYn#%4Nd3OBC2ssfvmbJ<3j8PztW3v3>TDlWN$?d|#SdL^LMc(n@<1KA_LxrvJ z={kilm8lfJ5>T-TyAiV&MxkwO;MW!*|0BhSoG;2wk@)Zo>vjj3$eAdh$3$m#@1ya( z+S+XgMCe&$*Ua@!6rY#QbUT}dpMAMLMlCHW*iSZ1u&Bj>Qzp$;ZS3hbC;5BAN|;tv zE-~|s)hn<(O=q8(H^l5XobK~kNvv>$%ZanNfz{~Q^5fa3J$|h`Gi#QMnN_DgvdE)B z2S0o<*6?U=gZR~-VCYVG!-X2&w0}f<5ez_ znkvp$GRpz2tN5l|9cF(tN!ClayK95^hQ%MCfM-~%TgI1kni4#G{2%WwzR~`x7t9!_ zz#8X6R{Ks1N@wH=eDHt~0MO5rm8+XbM80`m`n7y#DC12lao9Ju@w=LZ$d2Ria}Ten z@Fn-b=G4;6P~%}C{2(RxqthIzQ^aOzac3Eo9>jJK%hMieVEXFxlPCi9t8+@{SAm}V z)P`Hf)(0mH;!EVc80y}BMAxtqR;uqX?H+k@8+_~byY+AY1-^KEY)g!wQh06L&A*EAup@m{GVIj+y5qF&(?X$m3*@<95IBk_QQsdRsNpn`M!B1vqb6+I_c=b z#UE?oUk5cZT*+0pIzS_&cOA&XyZEP2_#Y%U2bVTjo2(L75WE(37V`LP(CczvB^5|g z*sXRI>+kMqo;dkMarkcj-F&1AVJbIQepd*%LsN(&$7;DzJi7saAB4);y1*yd4;p3s zy;qCd!W$dly=97lnTmm9I@N9F{YAE2*%r<{iz6K=TfFJ`blku^y9GA(eeSkwvYKB5 zRF}mfsImjKRJ;%#`8l2aR^T+R@Lu_zk)XCmMx{{|-zW(szBV&4_Z#=OXr~6B_?aXE zJT=)+9p;??0PderEa0b~yan804lvRSfR@}a22k8G{Rm%I_bs1T*0%ET@)RsDOT7MH z1>E2vsb56F;2?Di>^pw8C+^({>+SlwZG73m1l$$qL|(n>4L=O#gtK#Le?6@4cS7gx zMC6k$0%#QW$DgEdg@^ry-x_v@?T&}+CUR8gcB#dq)?*3p>TZHu;e;ON!r#V99!%?k$d?J zK??}PqT#zylDs8HVogls)9GY2=HlqGX7c*2*Bachbe+wu`zmkm&r1a!O6O^vviF48 zK@Ip(U!DsyN`d7b+B(pA=T*;K-otri`a>J?V%lC-Nj;yO2xCTQiW%!?LnfB@FbkXeWh!f&J8#%$Sxs0i-%qeh^K?N^KT8W1HJC}(F?(h) zoS-J)i^ljjtXDg0HrC!-`ExnZ&0FohK#CK$>8>aPf<$o8?T1FU0RYglb(NR)WWy@} z#dllsWFF3`z7TMW9yQGGOU>54W%N^Axt-iynNgHGygQ+xa>zbxa&EJSuOt599G%nR zKLj~XI+!xcp3$8)-Nza_bz*@?cz48wIY@ETW`1n#%E&VsyGGO#F*nyk#nw_eOXGpP zlePrnkrsLFH`&*Nqi?X)QO&>kJZzUX9R8>AO7!#2S1!!O)4cP^m94{HcWir(ksy+i zD)UGKmnuT-Fj4z%zvf+@_aKr~$J4bd!(7T`egY3`Z^%Vye{?>HdBrCAY#;y~lGyAYOI8DglShiQ z(N;AH=h|{ce729voxVbiCswzU)DI|B*kCIy{i;Ll%c3K&h+iRhyMLiI9@B>Z`gAvL$a!WVJO+-hU(Sj1_a|m8 z);&7QZ2btl;IxBk1nMschSwEK=5{LQ_51}K6d>N~XEI7nF0|Uc554qwfg2=jJOKa_ zhlYY5=B=7uQ9S6A0ftX2;oYqkN8q^@&gXN^kw3D9b9Pq?s>VWY4g2x?hW?V*mvkUh zlc8GY=l=oL{{yIqjgb@HtP*$1#(@dOi(sGBxmC7cLiF)aydT_+wwY`1f?JJUFg*xF3T05c(t1ldT}pc%{LRLmD=gEycteh{2eui zK{x>mT8;_E006r(7Qrxns{RA5&iI(_-H1nygBD?G87t1)CM&Q!eu@?>_yINibFys$ z|C4g{@qw19H=s%wDN`-tH3Z^(EWsd+(9Ad8zCm9h2f812ow=lMZXBCaG55@weg% zKc!bIEKNhit6;W%Sxz}ccXxq$X8JDyxuR2L)rx{{f}VstbqMBIFOo#%oE5CTd$ra+ zDqZV8@q>+K%7ta1e3@?hKY&9k+obd*YdmS-ZA$R}nJ zB|TP&+Qvlc>{{R|Ok>#ZLSd8)PPK=tgru*JH z*dik*B&8G2yO649BG6r(%i`R-RP`a_$X+rdx0D;=-L>FsKBZ~4(P~FO&2)Pv^S=MR zVR!%0oYtiaW-g*13e+QFwf~2JMfgJ0+fP2s%Krn$=CU7N zn~_}>yL_l-g$4ish=EJ^3DlQu3(NDJg-jI0qfZ`O8O}s%hmD-N;uT=GjjcI<1P& zVRH{ZZ4NZ&`^;A0Ym}pXs|9;iz?l6J4cX9rxoY< z)0NFlPs(NK?mqu=!3XBLZ8hH%n@dOfr5&s*e=<-W>DAagJ-5&?CMC5vwZ?tsnKyY7 zYcS0qse&y9XTUpnHI3+TR#Rd*%IWB#+P`CBoWtcdPAs>x<(UOwbs~EK>?#saU>)LZ z!#Te3-%VV04xzc8)PLNzQ)aBw8niC7&$|2N+Nf+r!Kk>;l=K?>x;Z?7^tg0myP*4> zHZZ7kxM#k>uxtQHOwP8m)~keag-@RWf0wq{{ge4s(iDX!CBKN&2}cka-3RaSxGi zIah4-IVr8-qQO@`jUTI)Wrxe#fsN!6q*d+T=L@)Oi}>X&JLzFx9$E(sC)fmBfvcy3 zd;hs&!%f?`EF!c)o+pQ#IeBPYrovh}f!e!!Bd@pXsjp9vGsH)}`*=q!I;(jlB^BIU z6n%YN1!l?zmh{x~jyX3qs9QTc?JA#!g^7wvEUILD&J1OD?kM?wP!!P~98)v>U@Wkqte1B0=BsL#rlW)VXU-qeM5Ro_n&qI?} zbt1Iyg`CZ5Zd%%WbU|%mq*t9;P~shKFa^<;kgA#|a~$*7^AA3sp8@XN+FivJyWe`v zNU93EQ0Q7as8CRmNZIKeI~~YgkzSWqm4~4vRZQDxIhSlC79U{^!yF3y`y}#xLcFGv zeuA~KE0)OyYP{a&sKV4%mz*M>2~>^eKT|m#Msl-i<-E(OpTq+er>S|Jb{AU%ZU?Iy zLR}I|cocK3&)NiS55&!CFC@XUmV0CAvOlZWe$($k=f-#251)Q%>f*|5t^K^vc{#jS zJ#@Hu=A-bFHu&9i-*b2IGwd3gO&(5`3<&87aTSIQvcdmkOQ?qTnSPOdaQOp37nmt` zjP~`Qu;KJK#F6maaY$XfwM&#m}XV6oOoJRdmW`}N4W%qsb) z<=W|BnX)I;jtPv2!9fU7pm<5nma|LpI&)Ew*YN#Br(;&aA^Fx@UjeTJ|69g7^g0_H zL>^1pU-<^1?Px_S;twMOq3_q)J2Gw;{NQNErIv;@j2M;+?b!qKnM?U$OeO;Qi??2n z@yP)5OfA*unD`FwbqbqY1IPA^i*`Z!isBTtv1lzd;&|iAJrK z*WMjeP!+zq8^qbXj7G9zod^dF5<`pKWz#HkEGa~Na-x3M-LYR0d8E)OJ>slkaRFbB zmNsn)t=nGtNJV4!0(lN`L}P2u=DUJuRSo1d>#NtGzaac}7Y>;>7cO$A`>X^01Ly?=7bgr5kly=; z%#CFqm1UB9(6)nlO3~ROE9%v60Srn78DUNGb%3edI@`R#v~!JspOBmrwE?7;rwEbS zbDkaZ@R5KnFNw?R_&7!{{YvD#;20}!`664(e6!eJh% zEWVcVp#OGLaS1Znw!ECGAZZ1oUzt5=ad6wh*eIRlRqyhafNoKxh zyDuAAyS(b*?-pc{otwkVmx^)gpc(E{D`e(iA?c@0z^CMbLEx*a;+VYA(XPDn{&|+Q zJ-wttz|DW3W*km2rpu|#B(F+kVVd)Mh4DRBZGN`%q4@KT)=6mSB1E}5BzQVs4?DjT zCP~?|q!ci;k zrjEcMtZ8;WC(JH;+ORvfdo-CKLO?x3ABfvqNjmK02~XReH6rFGH}S|HFKYq|s9{{H zFnn)&RpI$`$ReAnu`{QnPj{Plx6$m*;DIvRKXcx%`)LXR6f%_^HdO&(2OFS<^(P;; z#49q_M8W&x+!+2{R)n^x&$!uA_c-z^Jve~-6w7nBn;(skI!`?wfxxK6=Y)i7)83ob z1>WWd&849_tp&3=kcuB<4LW!0)g*_xlP#o6)pUXw&0JFi)q!PYxi26kBUOn4I?3jl zv3iSfGkp{mYH}&`5{xI*11Y$NEKPAG&EtoY@PWQ1OY77O#8L5-F%Z7NWfVZOpv3D$ ze%Plw_PI=E_s12n;=)w+lspY8wc@@G2Df*6Hj1kj9Nw=g-^uUtluzQ`SJaXjy-Fna zffqn@qvuFi+vyvQ<5t+aJ$;PC#`-H8>H7h7?2S$z)A0>q`XvQ`s7Hy;Gp0#uayn*4 zDmvR0NIvK=k~dY>xsY=_RhFlK_I(u$Mqz@n$<2l3xd1B%E7b4PUGsB=Q3TgCyUv1y zO2xxUubg*}aMLA{z)XLoD&svcBy`@OxQg(JI1!FnZe$%+?ppg_p>IvP4{>=^_ zxv-agE4=;PtS~0Q_I0wEsTC46F3cOHRB?K@2xCX>B;qDuQF|n9Va&eBb`cSuO3tEMc6C%S*KYszIN@N*f{~6UZeE#9AR(Y7aE4`0 z)T?dYS1D?%Ui$v3YEs}hci-gaPFe^|6=Y8QU;HbOGm+Cboxx<^z=rarDrxF)fD8bd zl!~

C2{(aCYJQyHpYg;5UUo|B5)K(rKK^*pUxi+;b*dx@_F?MhO(7Fa+1O8ze4g z2!ilhYL?!xJV=+e{f7+C&y8CliLzwAvKa&i;tEeyK94D!%>`Xu#a<|Qve*wNWeyv5lz_r1w*ffxy8V7(-I)xjTGFDm4IePQ2wqq)>)L6678M_D!Z+YVK3YC2Q9 zQy2XQcqLL;SyE>_%h;y(w2|_dq*oN%+-xg;MxQQcuVZE9^wgz$j36shh2%(MuVIwB zhGi7^vP4aCv7*OjzIMTpq&i^MpY>vBg*Qli6v z$;#jK{lfIJ?@#Hse>c%%zQ-Etf7b=W@G8Y(VqT9=Q<^@5D*B`PfwvTU#Uy=kE?+WO zt}wSUD50tL-!F1L<*w;)?U=5D=rXa5gRPP)s8^ikvAhB!o%+wFL-gGqTJE!Nt~MlX zJC~D}>;-eIzs?s^U}HUscTkOalYtSnyE(8O4#?DnxEKi$?l>II_}<|+S3%7#Me=gMCR>q*v>{vvz#z!|ZmgM-^c*=jZh z45cHf78zA76cCY09q-~E1Fqj(rQD z6(Pv!BjzLFA4V?DpwHn9NY zLH2)uMkPn9?ErFzAFWa}U}IhPR9g-vA)E0(qpus~isVZG7aK4YcEZK)Gi4^FZ5K7g z^`(tmFvq7{;oRBU!02^nKB&RlI4qTtt^W(qDZSU-n2J5&kr)JzIrhb_#KR&KO5@1L zN*}#)0HZXiBLfZF1~}3-=7Bc#vIAepVK9!i>L;{D1zKaf$k4fP^13X~t%zHXbLaoO zSpfGK{!_E`^4Q}ZFzDnZa(MR9a29?^ZkkO-`^6XWC`rUyV3d&Qqm5kWWrFg&vR%60z+KNJM-Q_H5fw><*@!q29c-xyof_fs~9@me0Z z;S@o^1efjF*&kGLoH#ty_T26sEpk;78}hSn0wRk)%ynN~{oJGC)f!m7(^Fnn{+M(( zW_$E)IjTS?Erd7nNi4mtJ^%SWDaE6@I9tMf&s$1_R%0+_Xu5>?-o2dXUeKx3ioKG% zWxAkDi^ow;oM{JyG>5 zgqKU|pdtV+?wcG)GOq4kmh4A~h-eYNwDSeswsp-LB* zRSRmd#bruIO2fXb2kL2yXiO0tYu~poRPBD^io;tMgNFjd0}v4I9c?w|TH&cm zE`eLwjiqG4fpB>P!!&e0mE)8pm5jvSAL#Z`OpqjV(5m?d06+!d-qT-JfiEs?uH7#B z1o{w^sN@O}{SN8tyByHTo;Zm2gy;}f7!nbJGuCmh2NouJXtAL79TiM@4haS%*&(%_ zMfxdeyiWO%D|WPhTcf@Eak7f8p*n?cb1W%FH@Epn2_~-8@Chggav&p^} zM!VyFGr9NuPYTrbjkTWLy_Ao?l$0-f+q5R8)!7F9C6n2L+sSLY%$vqU^S+Jc0)avR zUL1(7v5uJ2))>X;$NTN$4qbsX$k;B(IHiX}aUH0l{dsG-fZ+!N)S*))TRw}4cb7F~ zli+h>OOfHgmS!9^1OiA^p+8brOMQeJnGdBGKhZchb$u{iR3frHYp&TUQlO`my~{Qh zFgG_Aq*W%j-e^!?AoD~b3ct#)mtgWEjp7GLAgGNVqfGSwlYc>zyA1%`!BXqBoEXs3 zd?*aqD)La%q9ubptA1{2J5}Pr7t1A4 zF&D6B$MPmBD*V<6Te|c}O%xSRy7c=3uEru4jId`kL~^nEo}SQt}u61Us47NlFL|Qu$E`p^`akB zuDFy*n`V?q3h|^_(bLMxwt0c_If_+di;qCq62?czq#^>WU)jhKt8N1^? zFU8fe#=eMRM)y5<#Y+0C?xzF^ZlsRS!v^f+DcZ9&;w5yD7LJ_E%dOohUR6H)UNR%2 z_uP@{kp|KiKW)}=&Ra_{EjzzaFP&TD{-}rcZY$k{&r=8dg}*hg@WZ6hQXP4=dquu# zpF8QhZ&M$bsh4v4hU%2!8rA^9f6XlWn#?zDrgCH^LSa(i>PB{H&)SgaE(Kk@+nPih z*u5H#N{xhtwRJZbX&3F^48a`O1Q(yW@#GOjfG+cDF%tmHBLN9_Sr@L*-_ z!;NMC{sh(KMShOFp4L#CS7SavY@Tpxm_KL#9Nlgjpu7?2v7MW0YrQ?Q_7-2+R4DV4 zD=jEDu2`8>qjNAjvum1klUNT-tV(P0i4L3UKSJCXrvVO&7FqF( z9C1u0`|LJb_mr`B7on)^;9irNoswNTRo+3Fm+be^I2Ts9-;dQt-S2W=A)aQ9f84LN zgp}15S2s2}5fVM2TOF1{dT-MxL8*ffei!?)I=KLZ4G9QUxNK5%{9GKoZt+`Jf~RO zd)UWQk*dTUDU|3mNWmzSE+MSl^f*uL)@weeO$!SkdC0Ry7; z0tlY+D4#+hQCX3i2qkPu?k)A#h56>wKVk~htayZvfY@v9J$@y}42)kdR+YcF8I4HA zKB~rAQGXu2EHE5avNcIr#+9(mWmHMrb;j8T5RamFl+_#tjo7|m)-4K zT^qDI=9Nz)3v)3?%p9xOzLH=0W`@3hGPCw*kdq6mIuk)xf?CemE`@J*vA%=59-C{-c@QsI9|30Ul$Jxl6VzQV6nx|xa3vW_8M3b^9QR!PQM4}iuZr=0;)TC ztgT4D+=xGAft7MKeA8siNrA}f-^$v^Qr|X7xlUV>Ew=Y6npciA(1VHbWuww0=vEC% z9BpYGvnMRTAY94MblmcMU1o@1lttD_R3RdClzWC+WrU4|k#be*iIa*=)|d zry0B^#x{%Tk>bf}Okw;Xyx8zUz&!wf?n}&8I!k@IZ&>vQUZe8rB#Uwd;HJgzJ3z=%X$I?$=(Tp`2Xmal}QZK&xQ8zrd9my-eo* z1E?z5rHQpZuF61O`u*&C3xU8DP%6`nc?iiSf5%uEpS1~8M%j}2spmb!P9AjE8DBu}p*3epXk5Ml~_%&9Wb7=dRp}^Y%>RwfYClbsyw|84`(tew`PQ^p9 zc;EJrfKF!kd;dcd&ztyD?`G-mxbdQ8;bPG7*rT+Z*|7L;S9sL$bFFT%?oBOx1Q$WrpeO^KBY zUpG(rmHFJp`$T%enkm8NX+!|3Rl}S3R~5n{{&Ut2CF-=4lQMexA7BK0zujkh2l#z= zMXN5ac|A-IM*g0)pZ?clJ!s79)G-4zS-CkMp1U%4Bp*fuoeOAP=Tvbmw61pN$Jd2L zkA;i4kdChgN;{2p9CaB*I6ceOy$jvnpJUrt27ZE^F+EiIKRE(Y9IOXiqA`Lx&oWhS zXe>BAFxSsE9?92a648wmQA!SLou9M>-MVq<_qjrx@r3cVp}KuL<6WQI?75{BkXOAg zsq(#}1;n42Uzmnky%4cts#jC8)&#R>yG!Nea#fD`wzXXNuk1(lD)*Oq?K`5RbmM9| zvdVoj#sr)!trrR&`kCeL0bB8j#D@~Q8wop*pDYgNn(3jbd?j6|&QiQ#wk%G*edJUX z3#q8knSPvLh5Qy47P@;~>!F$o5dz#n4IQXFUDlBE@;|bXx7??Ov1oQZ{a$ny<&txz z+jE3EL)xi+KKsIqRXcoV?*oyUkaL9E!+b5XWzUk34-Xa#^#~g7B3M?l$x4KyXFojf&6Yi;Sg?W6kkdrqEmMh(Nh=;7 zMH{N`MRR_4ZbNO zy_!SSkieWh>G4%ESJF#+{IbAh&m-F2(krBBA$)qW)jrIzNO7PQX9pM^Lt##E5X6hk z9?|gH^c`sK_N90mn~l<9C^V_+(_q=IlcoB^%Hg{3tC3$0$& z$`s6pCaJj+@ER2o=hbN=LAD%O3{;p|o4}1%ZLP1|RQ;m-dQ6Du=AqPS-CSB#a$H$a z!mu+HVy>4-X`!EFTWVM;0xCvYf<5qyKI!3QLO!PM&biwcHyTq=YWL4&Vnb6ZJ&Ixx zl=~GavE?P-%*{p;1hij>Y&Bb^`c=PsD2FasVDGD_D%(!RTM9`NBaS|;Z_hrINW)d1 zVr(Do1}5a7Y`w!XUmkd^Qm%FjuI1#ucRpkZ@{zQY^m?NqOF3dDBWXsRDA$5Xyi`ex zmqcpbfFCozRo-lY3fG_1*pl+>9)1GR98Q*uEU~RLlZvZ!7=y#4(U_&huYF)EPlt3e zHiUp)0L^s3P{Y~mtV*_V!4B*gi0DYJQE>uwHnoGAoT*I>wKq2lrC%XNNo;Futb-ho z(YVI}cl8e#_%ZK{B06D8hhFRBsr6cW=9LMr$H%`NQ|F2@VXOGR{LF*2P{*v>rsQ{! z@6?^&G+jSL;(a+eXND?teLt(J29Vv(XzvE8rT&kGz{PrfVz3f%m)X4gqqL^2M)I{5 zZx*;V*`;c*(6)+iuFcj{=1>yJ^<1jUvS2wZ^W~`99^oO%d@)^e>0cg65la8cVG#p+ zrzz~)ib&TAJ-MQ!Q*`c>2aNl^EcAgXq~;ss+nRn9>PDV4oFD1ZEzpB{?K*f5F_cE)+?vDaP9wDhNQ zi@j|voGSq7I=}z3W2>TlFw~bFqq_RTZ5KZzAvKKD);9B%Myz97&D_DigiPD)J=r(H zd=jocx>^!~JZj+$&Yf|df&G}wi>~KfYKm4B-eFi)=fHG}LjTp}O^X1|-=%VI*b!{! zL#ELE+ze0p$`SEl_1e=Dlx)J!;$_*&%Yqo?_+f|ZSdvu#Gr5&a7*@zsCkr(B71fl? zx!1|D;JlY+1LHLR_J>%%tW`kCCI>U$51Nyg2XD8dkFuwXifz)pYimR{Pt&r^JUbrf z#lA(K3*5TqDQfIbMQ$A2ZtUPUpRi0nbt|#>mQdtQtzflv2Dh;4Y3ujC?cLtJK%qI<}bP!#B#&!IHdPqH-$s#7|lRU`s8tdhRwTRS;tTsg9mwmfGl$~u=` z)LCMBOq|T~oiSx}_#SF3+ko=g3hjDJi@GRi3(1@#hbU&1(#y!lrX+fKbkbkeRh3ps zf-;8#oQs><`#P&$ns|ll;StJj#9^+&7va~8m`C_UTbM%Nz~A!?BuXlM3#?=H4##L> z@xkS#b8;x)tVU6?_HLz@d_n%_xZj=8%ThxJY%}89MeNq z54-+`T`}RhZ!#?8;LCuWi?2(qFS@*r^OY8X)%m_TMxln#haFDmDL+I@)paH1Uc~0a zQudE5AKZZKEIV0@Cy1y&ZW`Q>i}Ffov{gwSCS|qHSPL>eHFMMJHgDR@sbsmW*%`=} zXZ56?by-QK@EFnMc5w|k?8hq4VA_1EQa^awRM_)bGls57AC`aGo74;-y?3H zU#-s_7>{U#mvkWe&mB`O=IQcjOgH2DLCuTGrH?$=HZ{M}lMnmh{p(y5m# zmYuv6dSl}9;G3W|sahW^5XAW+02Os%_2r-9y@NZma*o+9{}$uXNw`rw2j5OsLfu@D z3vtJ=YB}0RjzH38jGd}PAwyN>gBn>L$~Je#LLC~;%$dl&5=%-8yWvH3sg7ZgP_}Y` z>M$$#-hpel-v^?X-a==Pm|n=_*;SPa6k4)3JWx0dihEi#fnXlKT^Zi&rMR?o)1H`Z zW{|qbrA+>CfN1!J*fG4ukmSspV~1Kfb*UWc93XSMU;FE}=3o?aKF;Y{JiJ&b@*lw2 z5gPm-fF711Z&7}FT1do-rL%&k2|t}1osA1?A{+Sh+Rf z60he1KR7StDwm~ZFDNJ*`=v>US2dT9fKG*{e=86pknlpTq zhdYm0gRg7NPv85u<<=GKkM5tkVOF8ClqO5;CZ=9qv~|)lvHl64>n+xNA1-A!ozurc zl8Q7sEBrFUK=baO><#iZufP&Rsuk=>ylDon z{uUI_2SqPvG)wo>mPfG^nJ;WjuZIiPf$lnpoSiAt%o9|Td(BISkQ&#bm)o&+Ee0S` zC_fWL>k}Yy_ED6TW3QH=3ew&#-!n+bi@I%`1CE8?wES5kiVkI=ZmQhfqbe!YlP)U# z^;zzgj(qp;rhd{fRTm@C`#M2x@`vb zG#k>Y3q%drv_&OhN#9gr|Lk7~d3~lq?20{XQN4MV!RGRA59vj$s2069PIgQHEBGfJb%%=Nevy+gkE-+CsVgIQd{|>8_wlDY zC2p2*Jd#d7^}sb(J?||aNyPJ*?)MrW#Eg6R;jBdo)*`ReUO)$v`@+=PF||migbgaKn#~FRDAJh##tqDZJS!Yh(t|vP-($Re z;|xRyPueE!c*Gyr3OkM(J^B9W-8e)YYw4yp3u{x3&E#u7?r-f+am!t_b zsSxd}9RATr9t{inL3Egzxn54=pTuwX!eTWl`=!G*mcn>H2SQP0{pB7~or@uHbz*fa zq;06Um3NFg;e@d>yUxPzCbA2Y=sP)Ab^#&F5L#Th9}*KeS%L=6(_c3&8vnlaS&fzx z*&~?SrgPFgn@Vx86z_>2RW>p@={F!UfbUn$+`g`?=>*hUgcx>MSAOKQnw+UPE_Ui7$!vH1y)o1);zaYehY?rO+Bi&3`8rtXqrhrAwLLyXf!Ja27P;|corlY64F!HiY zU-(CDDKOnc?BDxScX4{4tR#yUQ9O#Yw0`<^^zwJ44ih})VEx}<#T*iW^0{veg9vMS zddx4#J&@Fyrl4E_r|i4dj@p&8W*4&iF8m4f5AR4Rf2?i#XDpa6Kt6YA z)FZ~>127KjKF|JPNnwtdOWS=mqO|4YnXpjZ2C1F%WXlJANtWm=68285WztdFO30*S z=zeTshjD&-&V$K2?+H zD?X{M)R}Y&d1zzF2KzgNxY;>1A9^v0Lzew8*s>dqa@D^ePvX(Ez%)4H#bR)eTCgpRvW&N5 z<$m6>cWr}zAmtock)f~F*&nD4#cmM>?WT`@>?`I4Q!WMqOQpg#?byEO!_9_`^KIml zwv^`AbmNIx=eL4xCSECBj;kYK-+_G|N@yB`<2^!m_EREdckh0W1dgR;rolgra<=); z`gRY$(dZK^L+~*Zt4|M`mD|j)SH=<&-cf!u-aaJePpn2S?3kqzccqz%n{k#m;#@s} zQM#(7Z^Zw8-oX`hZ;gN7Vo1jsBvD9neqJ1|xD-u2V(L zr>BLMkrzhT(3?mt+e0l{Bj0+%XU%KR`@Y`NLePw%^78MW1Fdi5VG&VsV+HnLLpwsg z&V+kueSS-%#NsqUu+XbdJ}Q6jUGjBGJE}NBa7OQe_d}`QUZ~>q6F?ql2tw`rL$|?4 zN${zXk#4(8b883%Xl_f6(_^8vL?}s&!oh?;VVh0<;orvW)jChov;I_H3Uy4mmBPLU+qj}k;$Ot% zGs>8f<6J{{`U+mbHJ`A^R~bl{!}|B2YIE%H*ZKJJ4#DvK0#md#!dO4XD$iz#-Q54U z{T|Kn z&Fo%ftWc3xU}a_Uon#9EyB3%8<>NZGrneRvitu0?R8(=d*RnBkFiI~9Gd2naB&G` zsvG$d-^R}EP}4c?-LbV(2OoW8#5KFcd5)Me{Qh|p>BHEUK8ewxwk_sKt(OR$Yl}o< zs&TudQD2Sig#oi}sBb%TaJ-{9*u5sddR_AOs*A6xulJqqmOLZHL6UIon_Xzonx(aW z?EOklq&#Qx;_$&=Og0A9RO4Q-=+!emGN$8N*}E8L*OccppL?18BU1_W9jK&g@hVO- zrTRQQ>!3Ek)No`TFPQF)u!r`P>W~CJtFh5J1u4);#Yot)s(q4$G z1O2iv9dKsO&`iS0BlPOL}c2{3l0ZH|X7oxQxX%Hcd;Hb{}`P z>6+if2COFFCRTeiH@@qtGpH7#s~fjeJ`6+8&kh^R*iKJjFq3^u+_8+;3cvTpqpgqr z#Bz5T>*D@$>h$%-0mtG{k7wM#e*iFA|H`#0OPevMmm#m?zHwZC z0k=GwVy070W=-jWNXl!%Db!+{#Ai5ggL)6kh&~oV7sVN!mC!^|AkrpRI>()nfe$0i zk_2W{(SRS;bN0N?6#^wNsqZgJF{8hzaiQtLew}i0^TI_?&oh}G42qG25Ws8^-i7B* zPrm6t=RDt#;p}~8SQgjc7`}N8E3E27>cTzXRyr9Ny&#Mnr|rD=g)G^lHkjRax8-%q za@altGUDG?ibuQGy`OmsG-~lP#^c9D(CU9M`R`mv)86_FK*N^_`m4`R(szixL5$h2 zb+V7iw%QH2oTK_p+38n5Sd-?Li!wSB?q(n1TkvSe-0Yz*+1%3#xd({l;t{MDgHqy4 z0XNt0)ZMx6U!bKm{s!Ng#1}mhc&^@XGGImT)wH_@JMBvr3)wMp)$?(Va`xY}vs5v? zdNtNg#cs0n=Y{|I#!sX8Fj!4y)*)+w#$P{8kJy9sDi6o*z<-jneGN$w-tnbfLGBV| znZ>2yTl;J9rA9yR58@SIua8qbb(N3y9CW!nZZ$97`tAJ8LR)Ue3a+jXDK0FPgxXNO zK4a?03_0Lr{uo5y-=y-8OxX{pBp%edgAY2>&|*a=)EtTdr;%zwq*V>O!c135vY$S1 zEFx%s47OZQ7p^rk8>-QPUYN6(I*rEAl0`{GelR8T~kQi6c= zCcT4zfOP3Kp!AOP8miI-0jYt|d+$9+mtI2;ks3OZ1d!@K?>XoFzWaafJ?}kt@jQEW z*vW6!%6kY@lhmRxUUhExd#lPQwe(I_qXX&c;wgy?9mA95XesY#R4t6V&w*z`6 zYMp(-2*qri6!JI=qr4764Iv4a%nw#X3cV(|IE}?v(xzR}n{(R~_n0tSk4{D)BlmNv zU;Oghc&C@`v;7Oe%C^0EajU1Jp+HM+e5caF&bkQ_Vr~?z=U_45%0`1IVqMsB-bOJ> zd#Y`1vI!#=$LW^vdBDc!(kID=*$LhQ_07$jKfcaf*w#;Z#ohJl1ag1)*~%0(%&dpT zKE0+qFVlMDMLS-V(<#0Y=WJ(cpUZ^F@5!Y`-NtEPUO3Y{YuV3~PKTKFNPkG-tY}>A z?{D4#N2{0_hkEW@CgqARi-vG`UQ+1bO6jIuIja-7UxT({mWZ$v z?b71jzW^vY9}0{!*hSoOMd*avNwy+fFnN9l z5Ap6yUkmvN;0t={QW)3qwfdL{9Dghe%;W|-^Yu*TqohR1VJ&r|!Bu5Q0)WP>#(=+@ z(Cc@^E-1^#xe>9WPWrLQIS6B@qY*yuNz`RJ&a+R<;X(tw-rDSbHtx@K$vwr& zw=>o_tCxArO<~g7zxA=f%S4Nr{_WnNHT}?D)Wc0hu=P8aO;f`eY7eQ(jSN5LTIM23 z*(IIf?rFaemo9YM-gL0UQAs%968lgCbJNy_O>%Qom=NLl4g}-TO3_`A3Bo*Ou}WWY zyRPipaw=Kk?=Q%Os{#%myMpc#RmXk6dQ`nU$!J^R>2afltRxt#4__#hqFH#;zF4Fd z?fSQeV$D&g38|v)``>XJBk@rt`yLwjHH?I1ndS53l)fjuwJOdGF&b;N(Ct;|(s`il zFlc}reZl+u_n4xtN*q;{{ma5AAug3!qj25pDv0^83*i{b!OdD8zGtE_vs_b`@8dfGjH03E%%sDEwaQKVkv)q#pf zLtJ_lyv@ad1Q=V_?IJ<%4x2hZ$;zbD8+-O)LOgVyGL65!HI80| zFPkbMOvL6QTv8Y6LiFGV=Y3eOy^kTn4A}sn!c+Tz?sC*z5a*n)Nov_ZExW`hqjgeW zINd-k-_xl3F_4S6D56BzmbXXw6`gEA?riTvmdo)(7rmXx9Q$ht+thS@{IHe*QN3W8 zW5r>}u7rqbVRImCVV<%`?nA=pHUD~CB}3ze36dcjPnkM0mSc%pFW8q zLEhH=HQ6>KVA;vsueA3iB!AG0sdf(ta+gfFAjS+B6kp__vvxP*3B=b-me%;vasUdl-{`F^%K zH@V-`i9Er#Syla$)4-~yM>$Qjp&-La#I-&Tv?Q3_*rS~VNv zY|Z|Ghow|?UvaG3&FC~(BvezmVMm0wQ>%ml6$3QsjsvX~9&eT-$y<7zJdtW)g_CDw z2yFXRUEZ!wT#smXn*z;P3lC`-ll0WQpi=?b!9x;0(;5Qu;&MkQIeRtcN0ah&l`z+O zqv{z_ORHn6MeKjoxNGZdCGnezE7k%R35H zq`&AQH)?z~6j9KK;ysqJ@re$;V#c|~iq7ypq}(a+mSI`0M=V;n^tgc!KHZiD^>mi7 zN+$|fC-~5P$(}5bVd{Hu4KOLRgLQAh-e-?gb6quPOZHedT?Mk6bPVkBySMo%o^bP8 z>gAuAd|UZzOz@Brz+QOF>k@lh2SX~uIKndxom%y_E;Rad(tqC!gb9Cg&Z zWoKhK-_RNbhS>c9l)6z@9}rC%U!TC7L{D6{G-CEeDh?tnMNnMd+GXdA!E+f$CeD(l zCiNpe?hTtd9#7Gn$uQ(x#*dOF!nSpqhLzt()H4#MxxUtNe(QxyviJ!D)TPmk7R_VM znO<8pu)Viay32u%RT^tpLrZ>>#c4=2;v&x$11qfFKD6I!-~9u~^P=Fk7ZiOyWoK%6 zoTZ^4X39GXvgmD1IwouX;j5R>6l>^(b38UJIB5_zh-WPb0$3w?iEcocV<7 zYCI%8JwB|PNr91Le#6^K+n?^%*LND~ylTJCJDb)$T;LbuEe)`!EA$0T)L;5a*no4g zVcIi|F28}1ln*pI2;eWvqJLX~bHYo~JHT z4vIV1R8oj1l_43rF%Pqcz=b$SI0ux**!BWASJ>K95sJ(#`h}pZYvL%|gZ?os6^cIFl*-b&2Z+r$m!!Grr0km1%IQ!k) zEBfv>Sod7(M34LRn%dGD+g6KKfgbdYVt^bm0g_0<*XPd19;8IJ#3{~6YG6})h381Q zkA}8HPZ0TVrS1!8*Ku2bIc#-UWbD~KLM(r+0wE>mM>Q3$>f?xRBK!51&m^W-4sUuC zk>62)srdK@KtvaH|JL3V1x}uedKM9k$~l7O;G*(Ci-GMV9Vxp`>N&Ut3M^s>*X6FT z>YYmp$qcs$%hS=dBGRFny_fsf;2QEKo*9UThzZW1b2KLtQ_eb>*wRY5a~a2ak!dLw zPv2C-F5E)X)IakTDoy(IGQqsTpabDC1EI}Qq`o^X4xh^y{5EYzlt(MvW)D407PFg* z%y*oeJ`xbJ=Wjl`oXr_OKb#(dn5wyt@$jl3wuqQVlhZBxKNbBrc1RF2W&D{&@IFgl zt?x&3x^Xl1@|$R8md&Ouaoo(Zg3WHGn7p~Gz0H2g#1$r48={IHGq&S!;hJ9(q$$FM zcM`zYL(`gQTx*{joV>*{M2H1OutPRRLvl`OHJ^3-LO*NA`AlHu-v-^#2LwIu!2crO`x7Eao!l-!c6Aj#7zxsio~pfqMZtz zwugIeZRIGnha4&)PYC$6xkrCg%D`5uwC0OkW%=%KpvZgF2e%?MSC1Ce(#AaU1cYxB z>9IACVnKbVC+RjQHJR*mtTqy zM6%ew=%VIzEcKxzmB{dqY@pwRBdCvcF<9bPIJE5hEmZdL>QVKDFJ^k29zS#-Z(IT` zPKwilXX8UdxFsY2K-v8lSTwf;F#K!Z{aZ&x&<^pluDwYj*g262@bjrdm8LL|SZ>wJ zYa%cU`T9N1ZZ3RyABmq+%KTMMPOj0>y0y;MY>TgzztQl01L=8ijLv?B80Yx69qL5r z>85ArAjVaV>4?(>Nu7y5G4{B2>{pa6`f>I&q)bs+&PZL*kkx}YpMS2*um`tW?aaEG z#luPFT4}GyCE}@;->T8QW8XqPQb#s~P5f9hlw$8DKQlAyISXB;jH-@Uk0X~$*!jqD z#ujL%>c~iwq64edXH<}IOmq_Luu5Jqk}Xm8s#{(IIa-#7S(G(299RM>>46$-{Nh7dh5>&^;ta%{#j3a6pF-~3!RX~Z4>wt`=LIWcuU|{U;gwJM|0x> zkpM&C-X}m`EhGS^x>_ZIU3g=?eSJ1$?nrNoW4+IC2F^jgzjA<|PPY9v;7Ez%uFNf* zc1GgYxw(CZ{+{hYg+?PT9>gMF{W+CE{@^P_hg)G)vhr5l7wzep{)xm#VNR%&_x#6M z^A2zU&z3bk{y}WGjQ)PtVKXSX30PK!Al4{7^{ikcMO*5 zPHz$?0SJ#HQdbB1iUWY>+W`DfhhIu+F#_4A#sQCXaAcBuiGHidgpih+~CS8c3y5zlB+LiYI=Ny2tGlvU~#Vm%$D;s`|SY&FLeTH z)W*Ao`#C>}QSsisxbQYWs4rF=d$OVM2`9D5X~uh35x-=)iU1blP2#k9*%-JtZtEK# z+O_@p$uDf^1mNuZt<}Bn65+Uz2itGL=vmrNMJd|6)!R66E2?vQ$tcQB!^7DKh=1=E z2L*@IwAF0RZ1qbcjEo1lP{?puacxvoZKzK?y?-q-)og)?3lf*+8<*^BUR)EclQ_CW z_?=IjyGeYA;4{sT9Q7TKMkJf%)cDT9;`klC8AB#Al7pJ0nOD(CEy883OWbhlVV;o{ z1T|*X3AnUge5eZ-ael6yip`xRFut+SJCtBZaSE@5%f#7ATqV` zdZL3OIT3k&ueO4B9Fq?B^Nb^nn$>tQ*Qt7Aek~kS^zcmYLB{bN&HYDDdWT45NZ)lG zwaOmBh$OIsO_{@1mZg?HAE)M`tbd2Nt&FTt^>8UfXXiC#dMLO~DgY(J7wm)fvlMDc zHfJ&*4V$ZM>P4r$=VhA##;vDPdHjQz(afJot2^rpcLpVhr(H0ffl~)$#t9)BqPS>< zkpXV%7sNw_If)EA#F&D#4{##b@Z+G?0l}VqeoKB${T0x#dP^+ZTiIkT&O_xG;&boL zehShB*2#|19z>4~01(DP^lj#?2eVTq-K0ndUQU@CdWoy;DG#EgMcN&(IN!P;;v z!7^O4MOMrpw^+NJ!dU7Rs-tfR~PnE=&nyIH+V<5r?=n+Y7eUN5^|NuV6>bV52b! zkbUKr#Z$9+_EV;=(M^M7%QW}VO+gc9beH5vx2f{#)V2*rQypW?i9olBem8nq-TRoA zF;Qwh;rUmUm%Z91-Mn$drknuGqk z$A18m4)!q@d!IilEvA`@9LbgkID?taODxS)c*Jr<26mXVgzY#e^4mSepGiX&TV;(s zVjNBAhTUTe#}A3pI+!!VZF(i4`xi^RFk4UO)lU?V+H%gj7=akU(Ntm*w+jeae6 zJ!l*ZSgo~YU7|LHqm1n;QkIu}VZ-+zVnzp}vMFkwM^8^@y8wU`Uc8Muq<{pn^)X*1 zs|a;wPQaSxk3C7zW_VM@xlp-?v*FK6f?H+1%bxYkQ`0g^^ZL3UqT!3yKA70!N~`@Z zf{x|4PdW?cu8JB2tW|tAG&d8cjRzKfuNWlmvbDGw>55QVa+omKfKJ}lyH+W$d5lXI zI!n?sL&NLx{d9PtPmgqcuMiC&pzOFOCv)9NWnG#vnuS1~DrP3FP|+2)Mc!%0mGDa9 zxZIax^FYe4-lI1^00_jrMn4ey{2U6%_3ya&%px1=HxM%BPZ>Hr0DJujw@!-l2cGZj zyRL~QviBdx=ag1|w$}XELT0}BjO>k5MqK8Lx}B}KWzkE)=zHD#Ohr1oU-WiVeK9*T zb0Y!RbIerrmeb(I&<|LM;yQoN#d-*4_fX1v#L+4Id=)-9Bz$J=;yRYd4IYs4+{9TK zF|peAu$x)=QrVRI&AmOGIXCF6XVknV`RNc)I67DFk$f&JxMwqJ8NWrFNN#^3;AyGG zsI+)uGwyMtqm$?=V)n!3ijsL+J-$iJbMC1p;tt@pHj*e&voWlyZXS$ozy0i*k?BIy zgNWZrKc^ANWw64yc_Y3@l$EHY;4ho00wS-1?3wW3D9&NI~~Buk>w)wp0!8 z*S9pCxzJs88580^)vkU{L*>_m83qa825%o9MWbMHCK5pUV^NYan1zxgQ~`t6d+{aM z|CFYx17_IWsg=cXEe0(6!c5hpFqjIYH+4BC{Cp8@bB>gAOY!s?cfcMV_>IO_p09l= zE0;y;f>eMCUi*QmDcTnd8ko|-LZ6uoWv?%hCD4R3=$ABZN2kEl1^L3x^3VxJMCRST zwnv#_T5J!>(Kw+okyqRf&)U9JF#(REywRxsxaYq9Vbf6ZSyj;)qSd^yd7v&R2!Ka) z-gzSXv?}#cMd_1B6Tj_G*r*5ba@_XEgJ?@B; zi^Sth*o6JlmBl4~(ZzM~65-@g;#@{)+&VS9-c}T9`UcSDRz;NXEl^&VN||s$viFEl zn(@t3f98C76-ADXX2rqVMMEw+WY5ac@nm*#x_xrQdXMQC8;=srI#ZoCoU|IYxi;sFg^1&3w$0b7;Pza$3xIg-A`~CSU!*;D{CJ&gDR;&~jT7KT6 zh|Tw(0kd*fn zKk;l&|D2U*c3wTfxk4lBWyi(5q+-uWYs|ScEqu^=hy_-@Oa#4lZg_%QFXWX|&b=Ir zj~yE97g0?nlun6JBK-bd^Ys3#YPvv5+berp2&uh|;CuLrBVEbQC3B6Qrm!rhTZN2H zeKxab%I7fo2xoVj;-=KekIpXHI~T;p2kd#_0zOkcDTvo10gv;jYlyV-k}@?#4B!mk z)_0R!KQ~n?P!H~R_0{^lcX>(EE(~7A3;%h@|M3dcE*u8@NzG|<0jQ)pAjrnC_y0)v z4>IS^BPp+i{Re4Pvv|ehiipy*;tGz^pXg09k1Uj68!&o3J4RbsMN>_qS?c*!kkB2f ztt;!vxiLDd2j(l7%6})~g5`Yh0qzr&VY?1xp)g4?wu+U*4Ale#?V;UvZ!+^IecUdG5z|};Mz>cI6(Itv}3u%xOjnL9aaIo~7^OAH( zd_c!R%qIEw)cREW=edq%2aVn1pnq=K`2f}p^`MgO^sgHe002U}iRpm90k`(Lp5Qm2 za(HWFOYL{dP5gLqx{p`;1Ej*!_(QM8nVX6lJiBJEE|>VOLA3%yJuxPG7p`@Pf+b9O z8O6}CP2oa%pk1m2nPp*}z#e6C?wpaNq1bgE{i{eXdyP~>*AZWi2;R?4TJVcxedqb( zjMX$4ee>A&@2A0Wt1X$es3%8iWQS_)bv)acp#Na(zdf9HVFR~vLZuZsD$sG=O^eTl zI$8S6B6N#C+l6;`NbeYDM^tD$gg?O@=8M7X61mv&s84$53OjlSL}f+?6a^lj^h(JZ zg*%?ODqbxQKb7=d;!3HMIEB$9uTi&}8F^_qf;c?c51Anzp^MjMZL}>0k$(8M=b0WU zc+_oe@e7H0dNHA#6W~DS&`r5EV7vKFJr86>%o4&imsUGt$k1QumVwWn6H~k3(&rI< z4?ay5-zLbOu)x-(YgqsN;cdwW`!Ux>Aqg=CBEcB1N;C#}b_i1LmyB(vm^A`+%~ z>KW_XBc>w$k}u2FAb~?FD-s7*Ri%dtYj+eH! zj4(7tX-9$=$~QH$UWcX4>skinZl!!BulBP{H$Wnmx`cUp7_5`nK_uE>PlAYLkFgb60H*MysU}1m}yG8X_)T+G$Zpa7S*HuYSmy#Fq zZ<>RLm5LC=BOMHgh~&xY8%!q0uv42vMFVvw(CHaipsGn<;{+3XlIbxz+EiP5|9rty zDs>8toigG|(lW6~KVwBoAanf0GQg6`$-jGAP&7C-V=huKAZag5S3(jOUFV;OmLHaU zHEJySFwLTh`KgTar+nlCn^ii$)iv(7>gwXByv8PPWUxMa)8pWX@VT64;Yc%maE$D} z%Mp!vtfW) zDsP1~eekuo5EIWT-{j!BL=NT!Bwrn0a3Tl3#_4_gr`F-*>ib^4rkUEE3e%=Sj9?a8 zflV+cEnoYEW(~>SCa4*i zbn?5Y68D+lC3!P*xO_>HWPOb{4vQN-|4cL^R1`OS-l^6RmqrX0pyji_i4U564FHg{ z@8@l*92G!69${3maf4Nms(i%&N6Glt{_BZY>nE#o39hP}6)<)9tgDbeh_Cd;7>4jj z;inPG))?LJ_;=;23WW~LG6K(U9$Qn&>E|TbGJ`p#Q8uh%A8d3P(uE#`?_7Cw18i(1WCYP5$0ieq*34j9QiF&5bu>)YbYoU^>4I)!Tujk9$W4} zZGK%I`}z(^g`U}dNPFDiw7lq~ZhYXMR(R9-W65_AgqApMN&6OAVd}k<9_s0yHr0;U zICuoAU-o^>E%E2K%7ax$7mpH3tnnd1-+X?aB!_@s|u}H zms@Fg!TE4rz}zrV4d&lyf201NN_;VQbqQ~YW{O<}C^ad0CotpG8sPd(0f)nz%4V$f)I zDNECd#vZRczjTbVjosL4YCeA?g|Y0#KrPqwgL%-K}{#hrz2jP zmnicf`s2>%aF*mIZROR(yT2I+Ja7~LLR8wATy_<=jS+r#+(;PGrB|_fe#%{2S@STG z#Aho-94_|A(OEoUG+{lB+cp@ri1|R)L5ySMG~g{b4*D;se>@SH%WauPUDg12PIP@w1ds@0(A?7BG@kFqNdu19H@~UzE?; zi)-htkf486B1_slanWS6oAu)+P9R<~CAoaAjO)#8<+5+<(Oku%L(yYhY7Ei*UbMHO z%^*)kVttp}wO=(sxGbjg4LB=t`;u1tUs(Tm;@0`btuq`Eu~b$piNAtYxd7XRvi0#* zULAK7lyWTfTY~#AWBxyDmg|TD;~w@17M85;WZjt$@T#R5C=y~HZw}w<#KqYAn;vLc z_J4*yZ+w0(HDy&_SJHku?o$Il@}AwEYAMq!P>F`}93*{m6z+QBYskytMtATE99-G> zzf5J=ywo^rCPb(MjaQbOkPdo4>-y;1|NJHH zxWi?8eskrEaBcGEZp|w#Kr{_7IUMLXcDz$DEqV)y^&I{JJ#Y*|*|hHIBwU+68Z7jZ zZ(s5bX!>8pig-z=iF^~Nf;RbDQe8n5GS1@s`{2aoprF{ZpNV4sVZ8%2yS3S>r1azD z@@SgQ(^M{SkhR8hdcC96k_TU*mSc7jli#MN z*f>y5$9yHX%{--`B6d;v7)IlMpilt{yo9?+dQ5|g+D3hS zwx?^D6#GDz%HDBUz`#=YWck@DiA^S8+A4+0s46|{iW;v22zHJS z+m}9Fh!xSSNtk^6?_2P%E4Lner}T5R9R;@2p$oH*oeHCNjlR5TRGbUsXgdFR%z13e zI&5jdn8k)P)s|f01dz1u#f#|)<%Il=@XUE^<)#rcHwGIVvZ`r><0`r(FmPSWD@CZm2FY#MD|_W7C>Qj^SYyOq@4x5TzhVFP5=bj`a&K=IPHtYcH<()&;H znn*V6Ak^I$1$H#xOu_2&E*h;3D8_Bt+80-&%BtK3NmO%1U2rZ(|5tjtr6f#5v9w5C z2;X>z4OkLM8KKE}V}9j;NUs z+@i~!oBD;lps%9G|5p}qUwE6PHm{5j5Frm>UOE_eSeZ4EZE`j~yc>v1qgn1M{ESpb- z$WCuW9dG?5dH)A}c=p&M=Dg#Xnj#Ie;z6rek02GCQ`&t1jII%HqHeR+X(T8Js3~{- zZChA57bEq8^NfvhKFUPHikE|YQ$e?)tI8G6?Qw=o(0}3mLxB&CrJr1K)3(*KtIV>o;;cUDkC*!Ya7_E^%IczNFI>O1c)+3tr|U)&BBkA1e;Hc}XDe(?a8 z*vV5MGx~3!N47DRf&m~Nf)$!-rfN#@guJ);5^vmJm_pU*3ul4$;=}wlqYd~|lk@j4 zaOsr9eI+0pEpKfWJG_wNO-Ckdf`*i-wRN;W>Thu2EPP%z&yI9snitHQp8O5<4<&AJNhhh= zly*4zrW{_WGRxhuC2DXliXF1QT!c~3l{sG))ml)bFi8ig!yA1`+ zF2`$Z2(D?nHGoQfBIhcaDlZGE`}tJbc9Xo|yhp8;$Zy5# z+Ff2JY)6Z7$eCcTYx<)_9ptw%*p41pv+}$Ebfl!`r^R(r2-;C;8%y)bxbD*F#qaW7gkEJTX3RuQ3BScfIFJ%)PVIUFG>YYwC#6`=inMurMad$ zGO&_hu-5I1+`A`oxZh`j)zx4{xK7dfyHgBxP8iuP5|Y4jZ(-R5rthY5;xuz&wYV+| zLFY>`inc=!|fVw=u4f2@N0{z z@t47Wk!$m1~`=kqp}G6VgQ{aP1avT7e(wr7;+Y<((9J+wa`V%M%7 zywjK3l#hV_V5bk#m6M(Ai@A9NV;Noj^rAd=FDbRGoF8$dzAO3c5wuS?U=`{1voogL zF^D%Kjs{ z#WFjsKY#{5g2MW5d-G3@KKIz|6GKQ4)k%nWGDJM-$Kn}%i#tK4;WE>kj-<9T?-FD@ zsEvcOV=8-|uuRCe5!zxko@UBYY1x{|Cy=t_spa4LNEt&2%1l=M+^7{{rly1&^G0X^7x0S zQUl=;AO3+u`I@RhNH5Zg?2b?GXRpK*?+&kE(9g}KA)uAO9^syEpcEmEgAQ~@lkcTC z&Nb5!)Zn?P%KdCXMCS&=d1(V`=31n1e8`uXHr>MEyjX~35lw9jN8^{&B`w>Ga3SK< zy9xsLKbj8O#Vx4|s?BieKIoH2a-Q8vyY~m62X|!@nNtEVd|cel{|6A$dn0FVJ*+12@Nr?e9~!Khb=By+SY^jO|Fh^s zMZ?c_F$h|xU%}}tDY7Ks{%u zGdxlzyovzR6rJUrJPf&BVA_ASSP+pE=UVh@6*e*iL74SRF*!d<`Aw${MW{z+;j|n+ zKQdRtE27Huk_>+1^@LuAdrq7TtQKBbF}vy`f8$!ySdo2|r3JWZZaUCwBHI60v{7uH z%o?E%82VxN(ji{P`Bz4b{9=Lv2cXrKdTPw>O;?Wb*WCxB9Ye52&6rYEN=TlT>R6TO zLkXusa{4LBgNMZE45y5DENJOPj)iZPYuuj@w>w{Mf_u3?|EjZkW3!gd$1p0qh3COl zb2r*vY0o>jmgy#$;{4Txn8sn>E*W0uaU50khl^zTnm>RWsqjaqR@y83_Rp?L+8JrB z?kY@btvRoESFgAhdB5K?D+YS8A-k-@ADj^z$sH>E)v_X2{W+Gu#;GFjDt`ApZ5sJ? z+3D(aEcwU@A;B{*g!wzEiu{_bDp#4_e!J)7!)-_H+zA;@p;6Rx=m-6)BC0<~Z{9-X zZlPKe6_}}PQu!YY@J0Pr5meA&-AL!sNd}Sl6m6$2eY#tj56~~%jT^#GUdup`)jbJo zk{u$0SCTuFrYt>l@fDf4j(sYnLzLG5+&9V5_ygEo4*A~sl3rKphUhVQKre2*zL@<1 zBngGhshwtP!{F+X&|am`jN;$69sO+3CY)JtS6t7hlgy>R8dmTh4Z{r?p;Se*Ezzz* zNl<`=-)UJc?fe9lI%Pkl-y1qP99N+!&1poJ#A-sy+}Mk*n4Zq?vw2|)zi2I#S_LaPX;+{NX(+In zzoHXi58vP0$zr47W4fwJsCu7yU8-)wmXna4O?OGnjLyM&=B-4}u!cy#Q6ji=6%;jq zWBe!k!&B?O$Bj;EDOmGTNAhXzmA5XcFJ0UMd-^3jOO5gGB_IOCQykjdkdVCcjvge~~Zg#j*FyYny!JMm8S)SXoA= zeC^4kgwkc$LM%GREcbbc?VR%Y%;LAD`9=By(FL9b`5MA8p~O|wAKG4?#f|%_9+@5j zaV(JBtqk!}2|oG z4%gf6%z~Gs=kUV`Xw5wCeu`lydtS=Oz>2h($ngJKYHC;1J3jFF9hHmI>S`{nM^xUj zZ*Sl|?#INlo0jz^`vH{d{rAhgG9<$&{r8RJ&aGz_j)txN^Y)}YCoY#;C^gq%{sUmV z{Sni_r`Z^#to6FD_7C7I&MwlneIGKbv8$%=t`@>_{&{Ez_73tZol84owv@->K&iUc z5f-2wCB+q`mGkiB^*h14rko)@Xb~Cc(hIznYK*GTNM}V)x(kLBp=AB7MKOCSZt-FL zO>B-(?<@uVlCQpC!^aCT)uXx@Hi?e|FRIl8JtsVRR(sTUwvg{@1uX5Vb?sV%}m)Dkg=Y^abuggAQVE;&r0Ro3?DK|kCZ!FcM(C_YY#~-OBcRBKPJ}eOqBQ3ZuBC-lTrx(*IUYr_3moUywg8pO&Rid z6*uki^FnTnC1a5A3DJy|yVA7ck@W`UHI!Db zUpt3|;LmKv5WbKNc*#GdL;ET_Oz_-3e3uTljM!h*Q8*OmXvvspOqwgPG2#u>#6IfY zz`m@HlAkXm930TiqX{+;&k1~zYX^%A7T%@c4I$q$wZ9!gJ>9^*!7L_|(*l>=OPyMd zO3{8a`;OE&ih8K^{PlL4Ju?+~UMVFr&BOfDkdVxRPo>oc3=yUIYg&yTSkP3y&vlYb zlX`~yf2~$RM)Gsrm`Qh|?}5qQs0HTK-&{(_gmy}&cs@9)`dkm(Fl}jVZS9P{N8um6 z=|}++=g*4i)txwz-TtuH5p5I5vr;&IW8rt4@p^SlD6X`i^;=)mGzEt+uc%#gyA-<& z-GDSdw`D|Fc7tvkAI4i|Vcy*huB`Ai{jpDC3z?zh6{GSWKuxf&UzLidUc)eDsm#+$}>^Vw$F2XiN0I`u75vt^r-}JDV1#qGIlI!YHxy^V@f++)l3b&-FLpsXA z0DC)rFKDYlYvb|d?=pHo>Q3IM0zv4osKc5-z^athLA|0?e;fGp`zt%A;6mo+T?$lJB~x=)@0nhu?{d zSoh)`dpF$kvQd9uS_eB?6#I(jzOWqZNu$rto+P!q4Y?FTY8$$Aj0F*eI*p~U+e1x; zKGF|iU&VCe9Us%0Y~O#F8kxR^pT<`bxR`jU*Q|CrbGv60m|`3fS7J1hiEbfa!sbe9 zJ5tM3*k}89(H`8&{Y1-4X{GQwVv=gXKL}DVAuKv=u7IR+O~4cjb11nkP(NtU zjuVecO`b#q1{G)X3XDB>?+hw=RY~!vBFEm%K)dJrN4r>|6nibj)8Qof3VjLxxu3j} zQ)?AMPsLZlrZ4wT9{vGbyLr72IRS7{E^@m{{pNVy#9N3VunA=7QU1Lz=Sb8-n-gZY z#Sw_1-F2A1*1aeLy1Q3TXr;6=Yp&IZlou9)U!va>m2&QSC@+OXiPq^^&rOf@Q&GQVAy>ex*b2HXSN!x|WaHteE!FOlIYV z&Jd4I3|bll77d8ah($l6)%GybP|dU%CHlqk_Nay~HRholAtH6^xmOuZBx;fAE%)}^ zXV9TnWzeI8+ZC>9=!$Qp&bC@u0i7lT9hOn~&h9ii8#bvH&|%+Rcj&2K=g*`1~=c8oix0eBUVBl`zn_(cDC!)t}~MQ)F* zU;08KS|SaOL>u0=QZ$gpxaGKs?$*?rqmjg!g}9-Bb1E->=E-9^e}#Mbu_4TFx9!YI z(ruC2xZp%8zFflZRF)4$zfeyhVE1DBhadGcTZrhW81X-Z22hWw2QIlhi*GUO`Mg^K z_j4V>-ko@`o=};6n|!7mZ>dZ+pGz9g>hq{S6Iz2{H>^y5BuSNU^|Bu?^%nxKM%}9Z0J{B$Ko9TjE+;~^OvyD} zwV^{nF~Pb@1o;gD%4S7%2R1|zrM|UGhDv$b9C>Rs`5dA(2WYl(rGp<<-D?*6jQi{ifX0b`W)9T znx}q8IP^IIgTy*z-(H&jX$w@Gy(F6EYEVKo?W)LmQAi}d-)ON&J*lrnOOZgtAPTm3 z%`=X>a*WroT4TCS7j(qfbIYUVY{%;d6rBXtS!w1($`h5Qy=Gap{V zOvKjojsD&9%20RK!XZg7b$B1YD{F@y$E3on1{?6(D5GlvpCtgDz1&vejxuK0GG*Jz z(?NIcC?Q3MEyNd#qRVVzlNHj%im&s}sdt*K8Pd;6Om;+zPUB)o2Miw8UA5_IZRQn6 z$G%LYDRF#r=w>sI2@59qrLn+YXdn7veA`j54mW!zYb=z=X3ZQ?dye3CjgXLDK<&qd zrk1={x7Jx3f0CGU!A|)EW>vY{(GNz4VK%?t+%$!7Qx9Vg$T4W*IkBqSzGPb);d_kp5lH+53%~INIHk527appfC@3t(* zC~uouLvfQ!76mTEf5<$DbF8>mkgwO@E#qNMU3RQCP5My?U=E^@fd#ugTx1x1&FL{B zOjiojMRaDF?f(sD_N$rD3W_Jb6 zeBUjS?#&T)#Jfvr-Hr^I^xt9mLkJy}?CRhhE4HENr|Cfkomw-ut1~nWceA8&>a!A% z@3Q$cwC=2$1^#UL{{WUiX}_r@beg*hriv!^ii1_&l6mSd+gQL_+)gtTlE&y>Snbi$ z!pPVY@YtSi4jC9t!`Km|jPq_830STgD8x>0g9z#i85XOT)Y-4eJL(0?RwIekah@Bq z*o4n{j%}uoEbV%_;Jp@+&(e$BWwCa2OfhR3dS?tH5EPHs6rZ-#zDSxCf))#B&Xr0% zSP*X|n^f*;hT5Rhp-c)#*jWcDI+1PBatGx#MWIqWXVUq(f#nu|@lND|Jt>^jsix&- zvz6|i>wtrNi{VD!GM*yTz@4bL+(M%E!p5mtluoVdM;U)iQM)h>t)8DQNOoYJJG~vG z{GUw3FhomrZ4b)x~ZJi}ddq<1L>Mbg|;~Vxo5uMYHCjHpqJ%RMl*bS3W0n27`11 zNU{xJ=XhF+zS(neoYgI)iLcqom@b^$q=RkPL+@a*zs#4^*`LWf>aUtRHnip-nvFuo zs=HLeF-Jt$h0M;kIH!izH4GOyJsJUeh*x1`(Yzk+9V@w5LW&1dFviBvMXqOIF!KWl zjB2UiWPLFm2u&K42c11MBgr*5YJ+U1*DaAzvzlUP`-CY+oGWOe`BV8^0(VGJWxlQ| zCf&*Hv9ign(I6%r3VSVLvvM1UB2j*3t7yN9KMC3ie@dim9L|0Z;pn#s;%F0vk;Dq zYfkr6%w=_iVQ@`N?(8%;*@m6e$648t-i{mjF)DNR(Qc&;ES@z_37oYSL?(2&?)g^J zQKI=wZPR1TnK2Yim=;|WUnzpi`4sl*3iwefhU%OFXx;oui<*`GWyv|WLy~*50J7O- z=WAO;W7+ zA%?f-x|#7N9N&m2V|&;QP7c_fS{;V~h8=YJ7{gm;Vc@4>qv5z^`S)aGDm)rFpJ#Va zVIk6CU@q-$i?Bm?A%=u-z&VNrsRKds_;45_mVdd88DCP?OfpfQv05RjguU2s;~b|I zh`>M%QYsI}X5gf+Y-_#LSd(NzPy-14`HBA0NJZcm9SzQ!0z0QKkfl-SwV(3F0 z>Bs_`56h#f3^b3*+B~w7RwGGbTsVGW6->`GnW3k|EAz7d0M0*0Xul}@e@ zQ<}~rLV^4msLOFmPBVsFLJ>1~)RETRt%Q+Lui4w~|u0a-zvf$ttv~B&#H= zETvjymPM9Dl2ka$?<2-5?=QSoS(n~fWm#rf<{ZpVH%5ez0`9cR7c($j)=jcArQ&msd-<1})tO8fb3&YgXx>J>ogDT*r zL3NST{$$r?7Z~5+NVaL#&Z^Dkkx{sTV?jvi93FA_z^A&4ROswgYcl2Zwqx=n-&F%; zbXSlzrx0#Oh$Vjv;uOMyY>Es+H0_y`+aG+8rL^L1`Z5xDV8?7TAZ3bRA0?e`)eF?dpsa| zG?fL8Y7@pGbcTB7JOJ!2Ajq8|#HL`6N|Wbxskqc|HRhhWS>$Lm&Yadei|B11<#-tz zrEqI0a2R0$^Y?`VG)=0*>P?mlbi!B1MT#^3PaEZSq%`_kaAS;EU(H8^=TvmnUI;=DYz2Vrr z4Tf?WsA^%Iyg6|O@ZLbf=tOPfNn1=StmyFiSzlDZ>c~u2b1=u3vE<96RXEBNp&9j1 zIj-fDJ)|mMkg-Vkl(GebL%=0e`?4w@m50g~KukByq z3#Ri@1bGF0Q_qzXWWnVt__cCU$$i&SpN0J)eNbwB+)(lWiPRKdaJdi>y~>Q)t1Xk- zK1tJXc2X3FMVJJ_b2(A_Ya4B$i_(JEvzs6nV9LiRDtIjcS5@&fC3mb)z{4Na4jLRQ z7B%u8M`*|Dx0SOk_nfh+xgRNwSy^B>licA>?0%KB^8($><`-&``o6O2oR=}A(R-yo zSG#c=tb!xJ5E}R!zUz_*joG&ZH%M%-zjf;${EghT6z^?xW;cvRXh#9`^+p$6QPjFx z&{|#095dQ=s*Aj~V6vUV=J1yQqK%o~XZc!fOf)4_i@_qGQ~R%2c+{vS5jmy!#w*$% zkamE(KvFzEm!q=&^t<+kno5xiB9em=rEd{ay0Z}_jFuNb=SKekR}K7_l|Qzha-t_L ztkk;y04d+nbG)goJk#BLs0&?Rl5!19`MV0g!74+^3#kW@M(eeIK{w?a1FX6Y8dLn_ zpqcWUC5@4KX}*d^TWz#x(`VA*z-%P4oDw11Vnt(QJY!n9IWOP%mC=r%7p*}u$c+Gly9 z3kfU|IK8&!s41hXkcZ7f6C`s3=@_ZnV*#p*+$r5oO$hiLhVZX#!4$+*ycxG&a9p=P zQn1f;>u5hGdt6zl{MP`dhq8}7EmPu`h}khWO3(%e(JGW?3A0_QT~jHwQN$a_k14!b zHp>y4flDKn3ojcnQ+uyXiSIr}Q&ecB!li%QJ>ClLvvLvB(FYwnAq$xCN z)UijPLdYitH%eV*f`*}Tj3Z~OPJEO~pF@?2N;+9xr0-Q3Vh~GnKzbkoLG1EGT$C_0wB{XE- zsD@lK27eB&BDR;_V#7Jfb{liTo;y#K^dd>#O>HmVWI?w)=)Khc0CDIa3Gmx+N6`xC zBe<1@dyZKD0Oan#NzpM>?Z-7+bC z$E+~ey-?9U?U2b9cx7sCihvyYNz{E1wpXrw_4Ul6F*;0Usv3#I>F~XzZd|oEol7cb zbK^oc6j(>6hW<<{4{WPkBCq5L-^Dvrc`xFcZd#wJ2FmwNwStY`*&65t(K^{Tm{V#{ zb;4lsru?hwvn%FSxKWO4E%aAbL?GkINH1k;DFS)1Huws_SS#fPUc?mPWF2tgn{O!x zvEi2`#kg@D-)*v}s3H+GW)Ahor zrV&5QC3FB-M~H}}YuM~Szg0a$q?3xGJi?;)Q@zKaG~PWBiU_OS1bk<6)*0>%hX zvbn7-9vzh)NtKpRRFnbTJ={}hY=n7MORb@qk<;*&&9liOo86INf8|tF0#>vtn$|KY zEE`~{0o6^`??f$?>!{z3(RRTTQ+8R!Q|!xPb>^?6?9-Ur1T2Vv`kTbyyWw!nhv;Jfmh^-$`A_KQ^kNOqV`W>1DbPgQ0AQUG$CJ_&10 z9carNy)ZAz8V5+H!`}+BY-QY?8E6E!IjAZs&vk)rvW2NbiN}_V6X?MSnb|}%ZH$0UNUoVEkzy1gMj4xjz3r%H4z7|3 zTG3G!8$j1Iv^Anj`a47UM|oQ`;lP+Gd%4x2X|2RC$qUHY=(x2_TTIV9MPb+bmTN;o z!dTZCFDwyfa20U1j3h~jc4ormg3ZSJiQR5PklZ~Wue@%*h%2WjEH~UMAN-xx-+S{w z*=D+>XmqT*n8?emm^#+bYNX9At(2VQ96;QEQs^y^&1@#WLh3`BZ<}aJfa+vO1{x zwL7n5G`b^_k=&EhoqZ5C7FWPvWwlKfPc6)&c4sMmQ=6sLxg!Yo0brF(y*!l3_eWpP8b2&oL^)=v` z)~KSIyfldRYN4KH;HIOCFsbx5kMg`29cy57<8^H$w9b-P1uX7Do_1Ue7Y*z!GRccp z_PMSw;7KUNGGG<;ZG z40Uy3hlt{Dsq`uR#ws6aa$m;lt5i9zX`wUaUy>^~By?XlMGx(N+6PhlzQ$z2=YYcYKW_9o^v$^=$`IMAC!NkZ4J-Db2+YdS+iXW1+@KaRQkQE z1oE1dk9!e%SWRaGh{a2Zx)}?dSzRSVL5ey)%)y#Uo)8w1*`!#cv(vUN4LxQf#9%Dz z*U;K8%RUM^Csn@9GsQ&4i5ZJizmxH5cB<$dQ%*!>g@m-x)pl2AM;N&zyAdxVEj?7B zq|b>=XAUKfwKNL|b`oV&%w#LtSpTLkCd(x&%VsQtBk#>*-WKxkF;T(>kJ z5f-u`Sr+O7cSAlo~& ziRIc-am;MvHHEj!hDkH#n~&&i7v-M@1Z>Gv^i$T&FAU)o1Do*qS*h8osSLaYw07e$ zLr(ehj8RHZrIDotJ&pA(xc?0O(^m!9dLBF zZ6CPRT`!U*jDJda2dExUdEJK#&kz;!z9&XRPpuU}U(e|67v;ObfN0rP9a!3T)n+)9 zcU3j4bT78e57mXVdd$Uvbxfd-GQkTQBf^!@@nmAFU~36jGi?J3f4bD}w;|vjmAq$t zmG>3QSkHpzH)L2_V?KPX=f`CCzhwXHItOA%1v@yc=siyL|&Y_I(+6pOriPRKM`zIEn6myn0 z)u#7jRDB8lZ4l(GWbbprlt*0Ih|zv4VNH7 z0WhH3+@Ne!rE6q#ZIpThU1AmWM1Y)_xr900KjnW5DAbLTaSvmQA0Agxu*h6-zyqIbAX=U@@%LJv*5uzG#^LDM zTX;bfcNEoh<{}lhTXm5^Wm!=Q$ZA5LKy2b{6C$yVW8iR9Jpe2}3vDzn84=Y7SXo&`qk;p@Q@WI=lH`MIu8yhgy0Nlu zs@6{z0XLF2C?=abUxe3Kkfcr30jW<+wUc^^+8@a)2o{tS*YT@lD``Ede_Sl*nlk2X zmdkr@^mc>t-Qd7_UYi*evMG9s#5uKLUy~ZzKYfatrSz`O$+1ahIi1>HE1C}-u`?^G z-mActIMjwzafigza%IibneR5VefIWW*IH~uHAvkUkGcQ z>r4}moT0pW-y4qOy!rth%_yRRw&S^p2RqlJ1g@k&E$FY0@-d; z@2q@cXhZ5NDXAalZY4=kBW*(7HC(S%F21R(XpTZ>qk^kfcWdb67OaWUNI~=|#pGaE zev#Qt)Pt^^-bG(U@R~oh@`j}b+i>T({MKX}s(8**`V(}rji5H-{6d~AQ}iJbWX(|s zpDJf1WLwg*FXERzKPuArOt_myNO6%FFn%y)IQ^%U?X#o?cBr#Gks|G)MYwaG5 zr?;a+OeA}A)Md|L8qprKbbMBv*p(n)%qSoFh_8!L%T>eSV-XyX2;=AIH*BES}|27QCo(xouXA}hd3#qn?!l~A#Ary`|%nU zR!b0nV#DG&Ui>zSr%0$;aN4;dQ`CcCrNtN;B2?2wp>y#U%TVqfEr>d{O-G?m?lRbK zwXDa@WZ#u*fQi*+(HD7Bv{9npHj@?~{yAKHA;)H0?6XGcj@QJ|xwiwKO#C1p{oki6l7^CvZA+cEtlwy?W3x15+KG^@tU%O)cQu1 zG_8zeXQN8&vc^pXvJ$60bk_{Yr#6ZGNxv!D*-NR_bLA*aKq1L|q5?W1>n>@-HMc@8 z6ErtW^F2-dA$FA;wNi~*oNN`ZWd8sqmn~BbkmUP;aI-7esL0eCWeL7uzm|?|f@#xS zblRdfC%cbR6P6n$M^4qWqTQH!RMHrkbsb-k?2;!sM-1^v5qnz&eO~|@PcITjJy23r zRC$xCqr=!QgjY#d#B`p9J^63&U@%7`WiRhaSjlPc%0%pTl}*F0rg;oeNa&rJuCJBm z<+U=%TGa((X<21iD3zsQ3^`ckYfg#tlsp&Qd}GCBWcJxvSpmJsjT2Lb?}Syils6XX zbaxeGdK!t=C64((Djr2)^}V5_I}pZMRKXidqfovd=OeQceJz#t=HIjwlT^MA0mo}; zj|{gNuB&j3l@!v`zSDB#CwNYk&gbbsGf2RwMp)F5g8QN}IHsLYW~%u~wU0F8eHY4& z0Gu^PMf_8aWb4=z^Ls6I3;GGDo}?RQ-8+#{{I8WL^90>4Q8}Efj+!a;vOJb+Bgx6% z3E(w2e4+=-wF*C!H7lD_&PJ`HH+u@h-+38&4|Y|w{NT5v9bBvdg5p34io*GzlP5+l zZI#Cl1B)Hxk?lS~RVYzByqo$M{{ZE`!L!53D#aU`q4`;c%Of+j%(B71^~Etk0iD@e z+$HW&VN+b?vMpOTDRX56>pDK+L&Q+^Ced9pTi^RxmUQ5rbwHr($+{;$G7eVcq$0>j zhn;do1kj%*mS56vw(Hp*N!mIUv>s-FAwE@0Qu=r1WQOl?KBmhbw>J4bz?%AMhq*E~ zG2UIMKXNZt2>6cxiWav}YNn+gQ&l6iLZ(8UQz>p{;jNZ-m#(YXr%NWYTc#9SYawEb zuIFxcp??yBb)99%lCPKq)H#r@SS%b)TPEn$A5y662o-OYsv4A6CGo2kWCmko;Ij{r zs7&TpBCU06f)+XEV*salht9C){nr(ZR2s>KPRBAaJrGAf7Gqm;y^SpDK8`*4Z}4Cy&5=Y}`6W-ZV#2z7*_I9e0Iy6~U%HsX&0!S*nb?D$ zE8Gm^ve((G@TE@oLd$6i9wLXP6u$}f(#1U4g$o7Eb4N#oCaa~}iTDx?E{{k?!~_5- zgDdQvxF?$exIi}Rnvm{tc+qowOB-dQaa8e)fT{{6);`L&433Zo8%3gqiaD;|Pi6hN zx69O+_EEt38H8f}zWK3XYNDq1mLYexrITnPpyDi8 zTB=YzHn_Cr6xfX&L``hr_*fu{dD$^@ndoDmmnVY**NCC5ij~u~#}tj~vOxP=2K)rY zgF7tOR&mkMO4z1rmPQG9gB~L@t%Wlz5!vpvfFsm=1Lt`|)RWbauRwWjU_;FEk1f|y`I8-@w)qz@uW2J#>H98FpDmRoBPrSgar5@r6m1I( zK6e5=5Vl*|mwbb(FNU*Hx4DiJu~h6DzFO(7B3A2^cTwU@?c!bCfEG zgC;qt;R97%KG{?02vYnY`|dte%@w&VF63MS%XHDE=JP|!ZoY504=M`QL3}2Qm7gp*WxojoKl${+}*x7 zS;LN|K=#;SkF;=v#}`iP+s*qhRHu?LSu|x=SuTrgha~IYK+=c>x1lQ)6+{g#F7!g#Z)#om<{2e( zqT&5DQmHm|#nzq(TFS81JOj-3%{D|UHC1u(Mt1reJbv+6tzfYiWrfaIbmCAIdHZT# zWFmJ4>vZB*P*IYa?r1XOT*=2G8ieaEYbyCbqIWlv2p(t-NOd52pzET->mY<_+|!$4 zn25Vd3p!qlPd934NMX-TvJf^BjMoSyyd7+Be6qrY7 z1<@L5RE#Vd;Q`^12RXK#Th374JO^>~LX>jc1=lWMDS~10FY*LY38PKY4_1}%@Y)r zmAQ`!JWir5YH+7U^HCzoI)IKpK4U{1&MGP_jdN4RR}tu7ZnZ$Qeli5{wUs!VXN}Di zH4LYdTt-`l+2ib>TC^ZrAz4&$T&mnsT4|pfNpxYZe{0Yt)s0tb4k*;McBZb>#o7Q} zt%1w6Fe6yS+ZwxTLKW$?Z6!-o+osmUyGw3`YeSK*XbF|fQr9-<){6w(LATenv|S3e z{C3u%T+}O=Rem62l(sk;{IR%*oK{j6QWY5lX*Rlp@SDse^^8q~JBpk=T_xt6>~3rmD)pX&*@s4gQ8Z`EqY7X4z;Ya>`7S zfL(K~Lq(Pu{{XHDyp;oQNiuVpm0~L{5pq?}=m5 zw1)u$8e4OPP%@?F_^mLe$mWNpeaLDr=!LTX0FM3d>r!nz%yYuRaSrp&*&rgCs%X?z zIRRlcOd`sKk;wMivZS&})7_oK`V^8F-Z>xDzfE zfUX4G(RNkEvf{2X;;u5|Ap5M#gyOgbaEKR7k22w9I@CuSY*XTq)Vx&j?7M>rZkjhCpBS_ z=Y~+82_T5y!I83v5a60+6Ro0wGyea@M>;7 zEtmXv@1CWRNY=l*XbsA3O$7s7_^Kx2Oz8x5A>zcwP|Y2cRIs`>g=4K6xmdJnZaIHWwFCJj(FbHj_QWDX7}lHPslagDM@u zrirDke3DYg50?{$o+@VLpl@iCA)0}Ow`BzkEVmk^yg44$#Z3r>w~mdnNo9^Y9Fg#F z?i<;8DyiDruuwry6eP`86%n; z!xUDI3cBvf=QvO^@3cD|1(85S1k*mDnPcXC9CPyI-ZfBF%T4VZZmoCSTJOrWCM{Jc zA=~M##BO8a>$od#Av;7D!YFiwWD(v_ zLsVBW;d08SIb9Ok78_+c4P6~ zzY)WutS!$b&sA!uwqfqpd1K*7_Jx?DN&Ur+>N=hVW!fS-rsCo@y{)E~g7D=XTP7rf zT@yLt6(X)vAfk6@iLt7A1jWp4ZA&;j1&7PSnWaea86a`nOy)jGot{UK$>Vz%)am$Q z=Mbok@U@Mi{8ojX?9nlgk}gwdk;MIJ#rb&%0B znmg^i>gspod{^2zn)@}N*$0)Btfgf;qRL%o7@DplQ4Hji=AzvCC$zJkWERbSIp0}d z6(Jl?s~!~|(}^5J)4Mc}Blk{oT=ozm6%_(DeJ0iPn^D;z@${lQC;c6iUrH4o%(^p~ ztl5XQ_B$x#c2+=(EJ*MYPc$4yAongTx6`st?N?@+7Pz~EEQ0Oq(=N{`StsPUGPPxP z>u(79E_Kz{5UFkrw_!BymU!H;j9y9u7-c5WRL?6IqvrnrM;-jR77FnlOCIc6a`4^^ zkCM&E>WYz}?NuwbRE66rS8u3WIV+`P#r#9YsX*oP3@%4DSH_}a_^*v(4dFK-!l+oz z5f}UKLz)|cC=i2!x({uNX;%vB=r}CF0laHuU27tm+{x{-36D=jxsh-j`%03aJvH}V zDa0T_04EK_1lDS;%~@FyqAf)^TavET(FKlW;vBF5voTdWKbQvu+ATXJRjnegdiV4YAt3YkMDlLw=FY@9N=?OQeY?%$2!#=b~cD7JO9(g(?iZ1X23 zNb6`#6Y?M3;Qs)b0@^C6TPqn4c~x^q5vt~?E8QIr9!~JAa_<`6OJ}Plns>HLPZOzS zOoA@-u5PK=t#B7OEko*Qv%XJqP3(-l7U^-n3;ehiDT|ChCF?Qht70*_pBsXfFxFCS z6KZ5^ZLY^7qLU-Or-gk{OsH$4t!aBP5t62Hzn+2AbF^HFIo->#&bvITv&^_st`v%% zhI*4`eLq&ag0XiEU|q>rjtf>7bXBT626-MhwYaocW>}-d>uGCg^DUm9C?#>0j-j;~ zp3g%Vk3C$sXcqv=16*0&O*_AFy9 z!EG{lvzBera#XL$oS@73ljS96DmXI>oXOSF7KSHE-;JL)d%=HPi)Mcte$vkRcR?dC zyh*jeZ3SGgOampI@|@3xo%3|N50Rqj(K)>T0C9qg)2ytg&jqqa#S8!&BD>xzcwtu795^PFaB9LVjn--e{?lYCNSy5i-G#@+a5u2IR3+miP2{>i#*=at^%6T~RO5uAZ#`kL6HLi6` zR>W~ZKp0%@s$)|&k+NGP`$5@=Y{zP0QSCe~QsbN!hsi-)%GPI&yJ)1QnehX)Z(mhN zzNvMW)g^sYRYuc2?6XNO)z%8jXa4{hzK%DgL;O^(d&8I-+{ViK8{z#$P1fzq=8b70 z%2{(Z%8}r30ARH8FP-;Aw<|7FWtS?o%HicjiKRWZZP57zw+o)C>EGHND_bI?Eph`Z z)WYr-cSVs<8z}jyka5eo?z&_*7fz4?U?v1un6q@U?B|--L|mo@@;R(5vvN|K8z!>p zxk5Uppfzg6kDZGZ{wfn?E?FCx3D+GyOv#*_n*6NX5Ms&`#=EE;l0@#OEt&jX`%46~ z@I-J@)iKwMVKsGS&wd?1>Zx)noRqC1Hofx36;q^+`K##P3nP2KZ-iV{La~{Vz{SnV;2$8P*S~C;?Agj))9&_pNq!X_m10j1|ZsVTNxEPELOfMsA=OHJ@|z` zss4r=`A{rcQ5GQ+-BE~9zCz~EViaIXu%}wCJfgA+daB0GEArnheN0`Eygis7W@Sf9 z77)ixC2kuIB4eGk*sOSFMknHQ&vY|IwSLq}j}DGlSi?n3Jod-iYL$RewpwQgGY<@u z#U9Z|Gh$|Kls@=avAtaA?rcTNvOKA&oei#vhMrl)IEfyU_R+8^j*G$x{XoQ(ti zJ2@Q6ZmMQvDxPBzB|$5iZaxa2>L?4n>nKhDE(($&zzV}gNg)aoo>>SS(A{L-r0%87 zTE#!itz}}e=CC5jxrm|CiTFdMIoc+TY_d3V&6zvPsxB@ht0=jqXr%Z>{DR^lb0Sb} z)1heOGzgog3k#s#6Gp1YHD56#-8H+V`Aq6+#K+xg#p1|<8t5HP_8U8dQDF(4E=N!| z1mzy3Pt0zh_Y?WKY|rBFudj-dR&ignuMaJYzH*P$;2?0Oky8EnT*ueZwXx-nj6S0A zKNhDZCO{^E&^WRG0Jg!!>fu{ZKKyFw#Zu&smW|J;etBhbql`+~!P%jDr73K0t^<@#&EAF>FI5Gx*X6B@s>cV-D}@U)HlbmElM1YCOp>&?Dy9y>6PC@3K_c2u z#cIOO28FIKZ6+bZne}Xr(7C%-e2}UfQ?bHhR9NF_t`%hOsgS-*F1NC{7k7^eM^3Bi z-B$ePWk1fVjp`;lppP+9XaX#7Onb5$Ow6ZBC|glHalWe)g9*tJ`lEjXP^5mo%6=SnRLGu+~yj=2$~SkEou%&7J%tdY$NC#?_FlN**>M#;TkyoIf*Jhc&Rw z=3v@Y#vLUSDr%~3aHoNDU4%-?VCAzf&ras7XBdvDh8ECm1TaF_-ZqAbcl0y9DB{I1 zv0fSx*-01DlBaCE?&tKZi|JXH(vvJJ3^;Ck)_d~q5Yoi@cCv;s#hFx8KAv+MXQgA$ z+Qgb47?eRT0!B!shMyP9B5usWUM)vX+E#Gc=wdaz37>Z3f<9F<8nc;69#shm<5f=> zc{hc;%5l+Q{Y7U1&RkHqtxRDhRq6u6>GQp%P-yu9Z#~6xT#C(gmc?KD14K&X)OjEg z2HTs-F}FiR@o}I{K>3r#smIE+P>TYIx|87pphVdnRmu)(E@Rek6VF(}Yu{>_p)H0z z3C5c%V~L|?W{knZ6?hd%bg~RLXU$s+-xdoq=P)XLo9yy3MUCQ*q05l>j!@$x@ff|% z^{Wb#6{(tF*T^ea<7&$4+CndZ;xDdi_?VFWROqT%+8EUAQfh9RNnp+@9{S!nG7MUF z+6$kQC zbsf!Ia-QPgu-4_W78NhEdG0BtWGm$z{{SdT2-f3sWU#UiYRnHLIVjzmMDBZ=%{U@T zvUemor;GBwo0zhVQ!Z!rJWu)QSOw~WRBH6L}QNJ6*pI4F5$4gr7 zm~g79r{$7pT?5@4$Cr?+l|ENLpYAXlcF6Cwe~}u@iB65xI9o#^lY;xA;7KcGnVO;R zSnMu_u3X5Kf=`-=E8geO!oMz^Z8WAS;w-l83re;vqhpy z8p$bL17mgWnE4``B%4>t6^GTr=-BmE)=uJ?$Sf_*Z9{Vmv9Y1sO>Qs)WjZHS?#)p# z-5c_zN)ChwMyb_GSvZF3mOd?;syG;(~Izx&=E$V2Bo02!MsGv_p6Sr$MS9 z-308{R$2si1G?xGRnwfWyq(#sEEUl=Og7a5q)qB-m)~OAXY>RD4Jg7w;UgWDHzzFi zD+)bDN<-##q-IxH9n-$hwTl8{WRb+r?nt(C@f*IfzA8U(ZDOR_NM|n>$fB%8|MrMkQ zr$)aBr6J)53vQ)$b(gx+SJF+;&Lw8VfDV z7Ek1TFT1y(GaGLGa`6@H&bDgPsrd>wc;a| z*H}IY+kWHb#p!VGvm3UqZ;E}Zb^h%27H?fbtix>?FB5Z0M%mgMDiGRmKF5` zI$oX8NX+p~?n&aZ$Ydd{!LWYBGdb8*M{mrV=;ExkT%|`SjzIM!nV#n>?z(8SS(D@W z85IXIgqd9B#|TOl3wRQ?SJ%pA^O{JR)H39m%lUXpJI>>{?y@_I38?6TvOa-F&^y9^ zBsqm!DP(|(o98#CPtnJF`6fRoD=wEudEbCkHaC`v8KvSbM&1YbC}I;hwAAeM zP{naYf^ixP=7dFdj7`jZD+iRaswIdXC0#QWRN_KO4r6&&{<4YA8#-Uu-E2z}t&O%U z#4DbBxPH+&rLk1h;mmyTR5xoKtU*!6DpG=c^g$apq$SPay!t+{pEexQEuvQFmq7kQRJw3lF}8fm=JFXg-o?#iuX9J24Sb%yY)jknn~*Fh2-nnxr;HVLgqaNVM}&f879!lzve>*l7ceN^8WfLX+jYb%h*5Rd!g0GBzRQ{{-G=+@RX*g4XTK6X z^S6jhYM!OoO;dt`SgQ{f22ys3=5nZEV?43bPg3hK_-#yDr@Hz(!a22V7vaXLX(4QJ z6kv;*#9)Q=kCC)K^mfI)?ZH!Z6=lVcXoKYVAwH&BV#h^QSv6q}mpjvCb;aa2xmZ)3 z-O{Q&JB-$F1`#3E3?^5HWpJ@7ifJPRCe}5E?!{w1Nmc|{`aGcZJAJHFniSno8B>a( zn}X-LVmm_0h=XIL$0{kT8&QeLJB!@++$;(qB~t^jnDf=rv{YdXg}@_85jI%I6Aox> zbhEk|A|ri!YV~s~I3S3=iWBm!}yLDsQ z>F`TF&8VVvFxAqM071pdO$rXOiQLnkXUkgQ=%G}Wa+U`x%Af0c9wOA5|G~G(&bkqik{Kn^V3jEevD_8^y{?nq!R*nuU znhgZ4Y=^-mpZ!B@+ov|jH940|0{&$6^3>?>QpL1m?t~cQ$V7jWsqDBZ%*ux%k0HF9 zAewh!pOD2>?#Q-(@gv_|L)v9yk8shGbzOr;6lK)79K10j9ZOdQpPowbA!Jn3x@d0% zHMneCINK#;EQV6p{0-`A+GA>Hf|{|#u;w+*&^E51I+8}FsNiG8$=UZgfzkFi=g1ig z;U|aot`^hSe+A#TpD?&^FRfayQ3O851$4Te%B4LI)2k#165?Q zHd38DoYvFG^S}l(YDX$cklI+mhPoJ<_nGUPQ&h>7v}8P{~oz*&J?K1&COT76L)Dw(VNtxb*b1 znA!D3Qp2Y;5Jw}u3U@L&XLF2!@>yfeumELIjZ~cXH9wM~`BC*dc7za_<#MU`SSiq| zQ}-la$$V~SG#Z)_-F*UZKrioo?{v*Btbt#~>fr#go!3r0)z$8Y%4;(n&3IhaXIzJA zYbNN1s6P53{{U|mZ~z;HZO$s&pUDnwMb@Zl+G5i7S}v4jaq=fJz#^nWk|WADG-{;^ zi2cEL)3;2Iq;68M{{SsWRUYJv0Q^X|&mR*rP}$m|N}$8!!`=+0Q5{6q6)&-BY{NCw z7}itw>uU{5aNjV9JYY{l+_(hR4N9k z&bqGt9tSE7FsYUh*7in})kD_g$oP^Fu=h2QNE2je4l5r3PTOqo{XFGhzn2n`f<~5{ zLkf%SxjO`ZGTM#_1jhyW$?@dY{K&zVbunZE1s7+Vyjt-vef ztPf4$fyE6p5S{<)f-~B#n`#zLu{mq^F9GTiTJ) znA&3WFzyBeijfu*iix}m>=c1 zrYmT#xOtB3+9v-1-!iY2b6KsX2Asx~jTG6p=xg{HwXTD<<8B?T%;7b0X~bu@8PjX4G3nUCq7&$x@&E zM2ici;4(L+suO2DNqF8=6?{5o#^^E1aLyXWS&`5Mv+VsXLzO&^h3%2Gc;!t-h+>gxvDgH`u28^VO{Z(7ia1!X zlMIe77?8_THJR;3wwKz5^>tm0HqNYUk>^ZUO-E**GVds3V{fz%50#{BpZ@?MV&9iK zb5suE$7E_=z}%E|rYG@LU3tWuGh#A}WEc$_DyJd!*y|{sVP+YPirGU9T)iA<=AGMt zLCW_>a0WIfIZ=G8T$RHpG=-d^Zm^MP8i@T&tLJ#$3LCD9y1wkH>gwnn*{rW^#a(~e zy1E4tg65i?s`9I%?GP%!tJxQabIudaWCrK2kgfOD)W1ktnJtBslQr$K%vH*|jk%Zc zQBgXec?)f!R@`HkASVuGM5VDqtUI}c}y z(&9N;7$UwRRwY*%qmiluOuc1P8}Apc4YW{-yGwC**W&IWI7NfI1X`p8id&K3?g{Qz zXmJSc?gT4VC{Fu(^Iz{dYn^37SFN>GbEQlR6DE&ZXxv4^B)h$-CSvj?Bk=k{jYO-nr*pp zT?#m}Ewd*}q0-Q@04%5Sv+KyFnVxXlGpp;PP3j4U-Bz6R$Lsz%z-iW0blx~dJ&BOz zqBw|^!5PR)uBq~J7H$NeIs8P?*lGb^?d1Ls1?yz3$_|%u>rwMwAE|>Q*WdWlNByWx zLCF(^EjPI95=%ZEzFre8v$W}c-}Io2QtOAFvh9i6{&LawyR%uK*y^X)`&62>W>nE` zgZ;p8H|32EW`9YX>aTg@oS`5GxDg)qz}D)NGhM){Cb+R0r8yp+Tc;crN<)2D;W*Oo zD#-aM*7OJ_s7Go_*`Lf6_<|4PJ>b~$}+|N;A zu|^briN9$OgdE=H^)DrN32xxiJs}L|5frB;r?Zk!$jr zn=GseMAsg!3@Ox&T3C9_HRj^a(I=qpJ0OWL?8{Z!GmA9=zJu|)maoEte|X@CtC$uv zAs69lsy7lyCJAD=`n5wFFY}+A&QS%+S!RKus4+L(&59%!@PZ96eIVx8_4Hk@mJmwt zfx4_fTwB`L;Si(VYV6ac`f8J?#gS{#CXrhU&C{&ObLqnhV|Xyx#)>5ed^XA`5rZ^x z0USlSkAf?M1MGwyned~ze~_Ls+8~*A@W`#|HI&g&26b@Z#>eVYlllrui`a-qI5^uR zZkU#F9razssP2lJlq^E*XCU>H;XX}0DcB+{I@jUf2Q7pTkKBos=M&Qd0%ZECB|Kte zpWd{MvRU|eU73O!wcX*JJ!!+R^^Iu$@-*%~cU)aM6;yJTyhBu-o$~-SQZcxfp3Vbb z>hnb*M_}VmRNVB|`|kSi|Fv9uzT`w5eq|7WkyB%w0kx^Tv8wHFW0uUM=M9G1su8Qh(#JY_A zcM1J-YhkSTh(#W-@N9caJRJ2@g{BqTj#rSIpQyls8X( zVDCK^yw}yXX0jxn)_DJRs%t8^SiC-xunAADxP-3@W7H)wE#FU~A{~*%C1UAd+E0X% zvlFXosQgXGiU9~WXK!Qt$8^JK=W4m7TH@4lcs(G7t zc3vKlOgIdxy)F`)&($Ovyy~-}aCuU42XZzUC6loHM`3zToGepBSYGBgc{m>Q#7>8@*3TkiMiEvOps&seXi?aHV# zQ+0?-cA?BzLrrmaG&vY>W3#H5Kn&wZZa&&PHnBSrd0}26gOveBW`EMctcQi@4sN#p}1U&+!$f z#g%)d>h?BGk{%>YHwJSPv%aclL&wWDa|?!(DStd|L(b4nwT?h+!X%#8-^vUNIr$Ks zFLhaWorc06-J{0~*-d-Ts*t+z>InODBmZ0lV#xeApDy1{bMtq=TbBS~F_#i>Stfq^ zdY$XUR>r9tQqNrene(842lCm*kx3b$gkYfV&hobChifo#v>h=_uniS}lG?fY-B|j- zwtZP${A6-@vmMtP#DBEkxm4!YyGJh3xxX{;_38UeM0@L}ughe`;XyOLR{u5%nhm{* zeJ%9y2y8va2^t;FI@uRZpixngyFSt~+xPxu<&P|XeiwGjM>v8*go&h@CfXhi(_$}WP4XhAl60&(0VxCBR#@Z#O<^Nc>D<7ILx`gX#U zRY$8jy94_4@WlZ)F8!X)ZzCTO>Bz1igU$3~7d_K^)3ti_HI`J^6=c%Ni@nagUn-B_ zkg(ke+gVLeK!taacFVBRa%w{-jFeu+9xE&%RgWI1h{KDTs;@xduB6Fg5L`q5+j`qn zcg>X9>1+|WL@&SDFzVozZ9l<)1gb|Gte--w9V@vAYK3n8I2Z3r{v7fjK*i+EN83T~ z!<6@PmTNjY25e%50HD{UI%Y_P6uBeU7lj?tRrpz*N`4 z$BdMA`QNd{n%`K$Ig@!3fw{V(ZmcZ^>H+!#1X)w?HzRAO2mxt{;(?h=B7fq`rfU2wTtRwds6Uc0{*es@lvr$GqS*`RMe`u{u}};Y&rcvdLNY znaWY(J;KH+9^(aE_ep{&l@EGKY8j%#DE7t_99?NBv6YV<)J>J;;S%HUmL4x8f z)vTGp+5o`7=$T;F=sq%e&Jy`gSPJ25LSr@350SCpwb^-Z{Qapzhm_Wi?vXy?>Nada zuvH@3R~V>GY0F;C`u$oT&SY6yCDN{Yf=(alALJC-Yl*l@@weCL-6Kue^!JIs>@264 z7Lm9y1$D)zbhyL07!GTq`SUufZaFS-y$x%Ln~YE+fE>_+O0cOkkGG2u5qC)@pV)F6 z#^3hQN6Cf>;brkiTJ|rXq|(!2M99`Y~ONc3&oUddvo*!QlOF7MVs3xgvoE~^P&4r= z+;rHOL-pR2T5K#5bF+HTQXFg$_7uh}jo%Ka{D!@w>vO`6X0eu|i{eZ8}f7s!KL` zvthn*9A8P!$@^V1J|9adNmN=wGkb>Xx+Xy!qMT{JcQ>yPEg>XZkh-=H=IY$81V3S{ z`IpIP0}kau4-vD2!d2O#szQ}PBu&w+ofbcp=ff)w0vz;-{PadS2AVX?XsJ{3R_okp z&OqZ2DKc*vO!pMjjEPusm|0@IZ4}f}GTwEhygQDg5!P}&ubF*qNi|9J>Ryf*MA@08*aJ~Tk7WYSyj0~M;XgBV#7l^TdYYLldTtgRKYe*i3#dBdVXP-^vPVhZ%vN1w?vI0Jn*C-N+tfKy*>i?MXja9>rC#BF}vAzm6 z6&nrTRwa4KZbx9V9(GMOxq1@E`BWb0ZxZot(lK#sxjF6eakX}WM|c+yYa2+@EH|I? zNUR^{i&o1nT&=uI#;zQ#Vuvm$h_$$LWpE;K1yiAmWi7aMZP~>V3NGVRIG4vNGV(i( zTs{1~X1&$FL^jjrf%uj6W|lN>n~SS4L;d z4l-38AF0noCLuRGf9Npvb8MR-l!qJy8{N>vv-gUnjGD)qXZ=+5RhP#dkCdb(>=#CY zu0A#M2ozl?%ap9la-va3)Zm(cT#W~GQ^y_?M^p{1hngfL5!`0>55Af??3Q`)+gwY#7WDVOFu4%_XZ>Cp+TCkw=EUe*i zoY?8fsR+khV{FiS4FO5%dS7z9m(m!(&tVbtmfja9iMOOY%vrI!QD-;%2$M0+JwGO_ zf&6H1GLER}7-<=CxXo@26J8pAdgntA&O=0wxejwwehRl3dPWX->dYa|LXKYuJ5GZl zP$wQMztysQ<-6eWFvSE}fd% zoo+BYU0~ru$(1)nMzgQ0O-&oEr;83?36&hUFkil!D}5?zP-umy8|n>pW5kxK*LEqN1r90EE)kJ+BmYSv#Qcv_%gTg zZ%1uW(?zLzCV~SQGO;L@9%-=ulDK#pMmRCGjo-enzdNavuTw?T_}jAmR=7N5in`$x zAkkD?z5_qRCIx+~4rE`WlU~GkEt7b+u(GGiI1`gQVh89vk#|)4d8QX_sOg-=;`FIG zgV0f9&e8qQ8|Zzsrxm}^kV^9bp|P{d#M#-^Ye)xVYm~|$dua}bW!W}bC=e@GRdQja zF+g;1jL=g*`_pN#*066vZ~+m7@Y0aUL@%Bw6zA61bE4FKg(R&fZcm*lXkUnsSv~_s z|Es}w+#fsHj7{4QjW=Cu+LD7<{}S&b%X|*0X&u0zuV@aaMuLvV11g98_f&R1fJaU~ zTiR#Si@x0mLL{0g&x=&bV!1t1SLm3{8=6ZI!8Aa%Xg~M6s9!)9Zo!}G8V`|2_W>WvGBDZE<2Gb1J zB1B2oL|yZ{J+gP6nj-6h`61FLyL(zD%6TFZsb%?xCL$H?IW4^GjGQYp# z^T~Iuagt$584f$MU#80OGbWD7O^f|UBlMafRNs|!s!afy`XoFlidBKaF?q~- zgQD~bDw$_NwmhHoidk5frQR~HD(g;ipJXzRykW>Y$E=G?aDtWGCGR*c$xVzeD-IB3 zZ#%Jc?KgNyQoitA-jiKtK7>cV5^U|wBn32LmEuS=5X%W*K^}HH{+Hvs!gJC6N})FU zC9TM=z!+x>J^~z&D*fg1S${Lku-D=09=)aDnV9x&-!yKb_E++HMl{+S|C$n<%4VD* z?AxM9O{QP})!6roaOu-K3uTfQsnrqD?z3!)k0xwuex0Q!o~5RTRoUXE=(*l{4Pzzw zkJ?#BL~Q{TN}uvicG~{xiOq^K8m5>gnOK?X+BI7$V+MQlO3!TJHr$bq*z^UdW2N$V zoFVasX!+TD33zmX!-1@STr^yNmp>GUNhHYkmB2GqVFgVEG;RR@BQ4wm-CvyMqrTWE z===V~c`^Rk&3)44j7<1t@w5D>t9aEW zB{=`iaC_Xp7Z_z;+~HASV?SXc=T@Iz=cpM%Blq`_M^|~oK3U;(z1Ckko5|I@+ffpv zTJ@x?%!hSswAu*}Am=xct@%z+ls{w9{{2Xi90+UzuE%OyvG6IGjJ>*ePnq>+5tx(L z9zmRuUtA03%EE4@ge1KNYO#fSld(QzQQ)y7$hhw~p|7VWw(fk*sFGO5gh;)*z-16J zK1g}_L%Lo0N|CR{Eb)Vn$giGt*ri@~zXX+hMP~DNaVZLxfa-AA;I`q<5&gwG1C3C= zP^}?BA87SC9e=^uI{fE*Dj;9SZPbz~HM3i#LBNNXv+C1zVQ-NR&)v~pQnpsQzt#7} zSLkBDm0vQ6Ek-q`UUZQhL`(1R!|c-V0HJP_fI=^G4cQkbKh^(GNB~A0NU*(aW=>b_ zi!;HM;!C?g(WW%WJ*SsL4UceLOPYH8H`rVMGib9jf`pVG@~emrbAmxo6oz#%fpY#$RJytJAywv{8L!qv+$oOPonS= z7du8zhT}b1(}SkAXDG8^gMJOK{$H62em)1b<5pLz=^2!)#2TvX@^vYZ?`eTYYMY;WXr#+p!i*qfd zuLc)Z$YG@B^gkueJ#?W9*vsiaft%_0Y)WyTiEH$F5$pUCnjoNi6U_%;7kn-?s{)d9=-QVQB zG3$59>G=2iswF^nS=Vsn|NgP$F($04Jn38&q+~Z(IUhfBBlL{$MY4i8b`cw4M9=NN zAG!yv-jAG>5IN6t1pPD(pCTvVWilpUdS}}IzenV4g3P)ZJ&T8QleOPWdGvQjwTqF_>m`HqN>08V{mzGzjSuJId9-l4^@poMsRfZ4KCe8)My2p z@1}~sDnRmQzEhbM8+a;L<}}!)OzoxT(S-c-Vud@4+R-hG##2TyZUxd&jo-=;E-~Xy z2!%A}?0<@_i>S%@#hPo?r)kMUIZ22A;k4t!qpQG}Bau?)|L^ry{qLDOBu&t36T8N6 zdTt!Q*x!on?Adgx`K{eMUeNn%9m9VMNROMX_`Wf33oMaoPL1|akHE~Md{v30I}u&! zb%W5Lf$?-{iw0RQ8r|(m8VIs8Ac#+u&21zc`0R(u^Uyhax`nV49f_wX75s5>rV%wtn zwBr{RI!z)Dx3$^u0kjqj0bi|1Ddru+X5T+I^|^Kk$iz3N2ByquJk;Ih&jF(8Rm?I* zvC(UT4E;MX|3hg4>R-OF>+stzAWK^$eD zaZ;W{)BnmTzXCB#G_Vg)W<~!d*;1>q=p){uuE>mDclZmtFS#NO-<_dy7-#bwFeb*d zuwWtI<(1oIp*u0^H{ysk5KX-9Uod+0z=arf9#7<~8evQ4$MiOf`S3xuGVL&)GPOC@ zu7wm=EZ}p`WLL#-p>!V6-(s&t+!F6bf_)v|2j`Vv>wcRhJ$c(zinNaRH`@s7H9a{i zeT?o2a2$Vji?_HXZBc?m?85@j1i>0Rw>q^V!QqQX9xlTOv~Zbq4q&D8w~VUlEH z*IQZ|DG~ZsT4(L&E!9LLjJV^tth0&?($0-f!51yv$_{@siLNcq3&>&EPCvLW;-X5t z1D|1y0YEb_xluQg@5NXf05YSnH2*g6%MP*nD=NmoSPa7F;hI}GTUDR>cH7x|)iStv z`Ox18iL7}yP7K(9KGF83Aw;ux!Nzq{SIA&3+y8>KCn?`a4VJVC!)e&Zb&ZyqdM-kg zhQ=`i-3?6}!2(}6IQ9ZW^?sTG8oF|GZ31of2!Y1YZRD!~j@jB@6=?<1&&F%lGAs~7 z7?xh6`h!Qu%mbCN;R@_*k_l9Ybp&lyYvP8J9x76INWtU}qSvl0-8C+U>_$4>?h5CN z*xxyg-WqeyBSK|EpQ7Gq%OiNki^0FRWgFT@gW&8Cu;^)6%C~ma?fi5ZobA>Re?Gh# zvo1}HmWd*ZmMH4RNc!wb&^Ltn`hW^XMK?>LA(Mv_Qc+{?2HE2b3|!H7cHu&!FSgQM z;@zpS95U%nYQ&+dRU1*-8#((Utut3`o=S;U6eX`!mHi(|N>g>xM3rI6L8WUt&R>67 zQ|FiKZR{_-JOUU9e?gLLGdi!phdQ``K!*6d^X7nEVYF(2x_eBEs3=62(oZ7E;#NY1 zO~*FLVqr%5ry8Ld^sY7rv&^^ZB)(*#n)2QnZ6uoAM2qG#V_iH~sY`5mCyf(|UxrEf z_0T7i4RKIQ?O&xLTVY!YbwjV;x!Dq57QR*6+Sz<6j!a5iZ9D}lGBecLg}T-5Ahptv zmd{!GCT_zxQc~7ImEjVkN_br_j_yfFK6?|a%FTs zET78oeJL2*9xds6jmJ&JCF$2Cz!_D&+!7kzl?&m=z~s@$8*GiKt8gKD2QLX`n`Wwx zJAf{1NYiX|yu2cN3d@ZxX8b+MvwMwgU-90s0+elOzMw5`b)}&q zsh?-CIS-HK4VJc6?U1`#D(;y|7Z-n?ZJs z_aggnBg%I>1}*mqo}Y0cNqjj5UfX|NY&nx@3KH`~{{khSVE(s;Yi~8y# zmG-STRh|T@@+v`6N5GHGfHrm8&y=&OkwL(C;_1GaOoiju0+>~mIVCFX1lbo6fE;o` z(cSXvMZ>iwg<}gPfvlphStHK1c1rVvH0@1mH6h)mmcdS^fXM`8oZ;6nqmAme(^sPb)x=XLrhqqe54l4lBY>!id-LDIbTgh zDL3aVkS4WwhndK6mv|#1?Qx}piNd-L&($zkrWSSJkK1e3&m>zJmurP!zE>I3c`e44 zs|MX77Dg_@BCZV!mHG?m>@IJ32PBa$jn~UUnaTE@6O9p1o$170mf5;7T@D9^b1{J~ zE_FQW5F=nlUkYIPDiJ(~r|U*v*i(D3odLs~cb|Ng9; zw@^j{P?Et)=0X@wTibW`Pj)fYLG%w$%bG?b@l~Gi{bNe4dCe)njaS-z!P)MPsyJ>~ z(m#eklOSC^n_6#D14@>ZA%}KGb-g{$uxx6wIW;QOr{Z6y0i@v0at6Ej*%@mvY@}UrJ+U8v6_lZEl~&25|j?4 zRe9}ncSXK*|G>xYzCye<^tgHLJAw1=#_-(sY z_K!K-Yu1{m_0I%O^-#IHT;-R25%R@nRVLgt(WEnPHfL6}lU#W{MC888_a0bXam!WD zoY+W{#i2><>+1#h;XTNpvaBjvRAhx$1QQN(AYwTlIH?Ha(LVc9Lg8z>ixMax;KkU zw=84lScibTBsKc~SwdbG)NV|hAUZbZ_50J`)c#QoeDPPZKIe9`+lL)cL z=%T?)%N^ZHcz0`5(W6Wm$75#)XqjWHYEYDQbxsOicuUHo{A|akBtNjR330reGXO_} zRHTSV0xi;9HkxKSB((P9^&e?)K$GG?@d4eyJ1mi7U1>b_Lo;R3y<{e_>W z2nf)>0!^4F_OEObXKC~~BX!Y|Zn88S`V{ zX;N{SLuda(xurlFQIoe2nYQZF)x%bLs}n_lJ|ede+Oj2*1CJ zo`c=nZ~ITu5@sE^0=B_lZ^?C#gRLt4$b^+*T6)!ulI8k%STj=toY8LVF%bEi)bw() z8~Y`L2Wh;j!x5HLksO~Sm|f@Sxaw-3Ep?5x_MVwPC7ynUWFeGH#71K&c5@&yfpBiv z`5~$VBcaTT3R<n)W6;_Iqvz5A=Tj>J0kKXV}z737H#-Qzsr@7g5jKT)n9B&eT6n;{SkcrJ0sY zd)K^@h)=x8yg`LA+6%4HKeszSEFQ74)#A5|LV zgH@+VMvK;dw?y9f^XW#a4l83!e*TbjKS$>L-_dpV1L^$ph643O)6oFQq1LPr%dAG3 zJokk3pTS&QT#OBQIE#PBSW5<==Xp51il7*`ajSrNG6XXXoh@qP=EyBZjjkNoAmh?9{&`OcSdhk^elk^Kb!r+>k4#|15UntT zpfL+q%6^Yq!=$<*4q`alRzmkSNRj3+ym8an?o-W2)HtChR#;L7vOL&`z6Ks{)TSEQ zvWV-)?X;S8t@KDjoV39#e#7m21hCa>a31UtH>ti^5Rc<{14@Azsoc+7IkN|Xnz0-x zSrWvY55{$&2ujrr(>)9!An+IUJ4igA_g+3Q^OD*dV&C0l0vZcv>!nd3thGMGB zB(LSH4VtRoIf++rlbPC zr83H@7b?*VKU`!UUIgF|T~htf0EodQXZaS;wTE;0(_-Cy4M6L0%317dfDF(zO2Fnt zmULr8MUDOYn7+TF4xNkU*N=IM;G`@i8_p`3%?+jk={jkR*z!1{+vtf=XEt6~aWLiE zs4s<`%$|h658_tC)%nNbvRd)vl+4^FiXV>{M+r4+`O{1k2BkUePCg zPbig{T)ZbCRgR!af-xYr6)(_7Y=s&nO_Bxl1|1WH+6Dk&lJ36D&-Xh%g=)@iQ`Uegw$$v${ilKu!nN3$ zwQPSYL!Dk&3N6g1dI-iPUCH3|dx)O!k??n?AOkNg1v7&p*NVNzuXooZ5Z}%`F~Lb^ z{h*ZMA1?113R^xQ^Xf|i8onZNsr)A>dd;`EPJ`=DafvGs{z^Y^ovQ_$YgETJZ_m7z z*)x^AMN&}F7l;OT=Fz?o(0-Hgk-#P2riItrcRb6Z(abT6l5w@0{9D^sv&JnfzR_4a zhRrs{)#lE_hXvhW?vFp|ly!hD4!5Fz)6$VFZL-0wj6&L!1_MJ>oMZ}6n8ZFJ|2 z^Gj%J*|>RJVPt-r@miWwr2XoVr`B&`qN!2d&qL-bN*feqwy_^OFD(G^!!0EgNt-?X zut?)z48k7}*N-1ZO}0Ert$4v;?H$MKc0LH=^U+}QrS>7U%SYfrV-t#)6_@EFkbN1+ zLq)`j%Z5w0rep9@fY7NQCZhKH4YcP}n=W<`Fw@J>)a? zRlmlUIEYg_qdRq67&PJHh@{oU@uGX!PS>BRtY9e16G;*dKu-ozxc(xWc%DL914s8o z{{mpuwG$cS{f8o09|Kfm_dtDgGgp1IYVs+GXxZ%~m1Qs*e;YJWcVl_nJsZ$qd9@(m z(5>_o)hm5bGw4u2J~+V`9*C@Ro%zG*pQEg$>X60SYHBKw9aj@`V0TaY74Um6Gn7Jl zrxG^+SL{0j2%*2~c7Lc=jk-Uc8}eEwCvatLX-Mm=x+O?->r_u^rg!*XFH>6|gnLXb@am9RTRc)w<@baKiPFLW0dG)L~~4K(E6`ZKVc0W`8l?@y+nv>C8(Qyi#kp(@5hV;pid4Tynejp7Ut~ zkvVAZz1gg!OwuF?YmViDsDo|A3N+Ml3<(H%KKkk}dttVJ4)k`~ep`8Od*!bCgZ`Wj zrsKx*7B|hoS9_TJusY1nSE?0G@L8${@p2bEhRdLpr=h%UalNeK71yXP@NtY}dg{q} zHGj&G$XftI!gfQg8+t2qPr5C% zq+fkJ`TdDgt7%kj*4pmY`xCOrvjE#;5`h(gKrOfZx&EZ_b#t9><`!UZ%$okjh@5vx zAF5Rc&yKgZ+^wCdZ-Q0}-K?^?@&jwf^!cy!hYCzCHQ!Yx4KDFtM;6}_66^KR%(Zxw zrOd*ynRvcffZHTgvnPV6#ao(i9|&7zOiTjSotX_=V2-Ohwbjm(sDJoPZs2^{v2B>s z1h(FoWwE*xi8UrbrwA!}_1LI^pT1>@HD@Ro_6(7)x<+STP?>ipuM`aRlfot;{H4X{>s=>Y=28#%GPXMDdVA+H1PVpZyegwaC6 z^mg=Tf5fc4ut4sn|CF(x<+-?!P2;0qthyv8m!Cppr<3rpf&nN&f?XH}+6_t@3n#T6)rW3FjhTPf?Tro>M zDUtbsT4OirD;RNr+pk*ch4hBeUj=>Ihn7*fh!&FS?h|sbK4jC-waaO?|Adr9+HXxc z=AYIUR)BcBhG?b|)~H_Wh6DF%-VtrzDki6BM`XXxK-svcVjMPbvN!Ake>cv!^PIuU z0(!9=f3B_KI7DAetXYDdT#@o~I6FEes7v#>+0HuRAonB{57S5u2E&{W}Zs6-tw3| zWz@cRa@oSvs>u)kX-g`d(kUiO2+oajFM&qGEQ^8>?RDu|JC|GQ$jqPjlb#Jvu#ehJ zBrNNJjrTB_V>{|I^XJFvH!qxJn=2k1D-r!3Gs$Vm?fa*g7rn*t%C{s8A?jGvnrKf+ z1*7hyf=@}19>KBUpt38yQKsz3nFA&`)8yy^Qbv1(dz7W!vb7EJv3Ixs?x@Q~W=h|i z6Z(2~wAhg(Q?-vNug=X!V9wT`w!#N_Y)#clx-l5XnTIn@XK+}!hv<1!qmFYMpvRIc zYYXDaSHFF3No*6nUbCHtpn|lID&mwAzJsi{fObDrQFLkA0XIt}%k$V_&9n}LLhx#* zHQR}mE*j|HmG9KLk2wFK=>Po8`(C}(Es47bC^Fdy>pt+7AWTP$ckk-sz{-9J_6;4w z0KuZ0AP`a;s@O-C>_Vagm6*5vIAhCuHu%QV$IZfaL?~MCFKNK4EAPIK&hLeV*NvUu z#TKSnR@NHs8XRgI6gKzRIxM_cEHk`1JXOw*UiorIF?nYXfts2U52aSGCHhA=;n`d( zg3&$GWD?}KXjj|?N4?A7`%x`-mv25-Zojg#e=d#=k3(#4r7^jg=nSC9tnL5v{u=59 zG|yDaX5#`}l1Cj(fNv%;LmOA7f(DDM51uD2mOh4o-HefqquzlSHG`a!b`gn7eg_E6 z_+dsnX7PLulSDD@=ZBN(qCBpi!kD{4Xc z`Wza+e;Si9)0iBV#wp>337}KMt{BOe=mePNV_qb%e1A~6ldn>;=m3$ObZ|T&f$*fc zBIy)>Q#;?)?$mvCf56c7ZybF4kBeeG_z}*?dc?w0@iz#exd8KJhoGzz6sfSLL!cII z0h0YUFxjw{SG%p7{wljkZY!iBAKU@34_A)Wy9=~S5f6;>@9tt5*!1@Yxj$z3XPcUW zEHeD_9XQ`}g~{x{MkXu37-~GP2LY=9eMx(-RTLI+P}9h5Spp37{yfD z2l}n4{v3R{Ywu&))D8@H^T$I)F1M(cvx8#Ln=C8B&)M-r?#J@(Y(3=v=XIstKWhEa z@b$Q_V*V>U8&&;|7zGa)Ek#A3V-j?(Za}b^Uhz&2RaB+ArOM9rkNA*KH>NSOv)!&w zx;akNg(PlYQB7ARHf!@UkFEnSQ?sUUJ==ZK!~W1zBBN=y+7z8lMDeoKE_5Fd5*hUY z-m9>5ydT6JpdmhQCiNPm;|UR2LZ#22lq`9HtJHCJu**1SOaJP4=K*-Uc#zMuXhEuz zQ4`nHKPU+^W6^)&!&nGae7^t=GOKuE(FwkM+tgXq!gb+VBM$_;3W&yz(o_n>{S-i&AoGLyX{e5bl_Eb4;wXpa16?ZqfM_n&z!cB4TIH8ku=oG-@dem3}&r~@ztFr z%wqFFl~O1iBRf3Ub-0N6aNM+(e%Mj@nt0vEh>lOnNZga%_?e^JS2vl1d<}mnQEpaW z9>mx0(Z;Tp>oztuZjH3EFXgCb5O!D;xBUEfylX6P{_)~p+P$zv#d`UN!?FHR);|!% zS|wTBs+XwGRcFhHPrN|7{d=3&hhXF1x{^>(NhQE!C7f6uB&Fu#D8E6x2^IpopR*9! zuX2--3OGY3axns+oK|d7q_3~#&L+z9R|=dEu-F-cnC;&uH0zyAA*)Fb=l4t0$UanX zth!?2Cp?{y?Lvv$yFH2|Z0`?4D}tyF--Z&~QCSw@c_vSSgcRM%JiN>cKIe|Y@JFNjTIo}#Z)tO0>Xl#-_jGm8ExVKR`Fv7k!z4<3WmyGlJALhA zsfMbKIfRI;afc_#rDPrTI*biWTC3$sBQ25b5&ntXb z@Oao{>vx0`5!Agkx?z1O5<(v^@YU9G9~{R;cAWWph4vM_uF+BA+!-U8aw$?lNDsSf z`v07Keo0O0+y9HI_@-Jk<@|F0S$*G6XVjx?VH2P{B&suD=K?KuW#T2ondFSPes|@r zdeHn=)!_%*T<+$l{Ja`8a;ygyQxV-LOJ$tDoe$pDDD0PI>+(NR!%ZLZEiwon z^=nJ-Z2^Uh<$cUK44fC=NG;!^Dx4*|LPAWl!>X>SV;eRkJftD>y#%6W62YbA%YQ3a zUoLF@4!L)13Z9LmF4^7eP{9PHKffYLjqo)>q>4&mVc(YU6CV2q#p=~6U3zSmsD!V< zt)+;G#-`wp+9}ps!I889ghdAC+V>{R^3%*eqOAzhnA;pRvFs_W6T3X`-1_R>Cf6X<&MDE>2ZzPQWDaC(7r4_UC zn`|@YX1m@qSCO8D2wcNFhirL(3VlLZK(wE1xNnl4!+UpkJ%y1aYYoX`E^Ah!bnN{> z&E{ZxT8Jca!&J^|q3Fu0na(|9VbYmWSB9Ee>nibnDWPm zmWso(wqY5YX>*cNv0F6T*#+wSGBxyD?H9$85Z+77{}y7Nzlo&8)%K&aP19T_s`cf} zRvH?xyH74glmyG^CNhQ_QIm_1KbvNuQ;Q9jf(6E@OZOof7f+BC81c%XKUXnLq|Z^; z>AxsY?tp*D{omg4=gU7snI! zi3ix&`DlvJGTU7kV=6_5HSO2hTBR|{qQa^qRTd-h7nRzQ^IWvepmb6~=AAQw8pfHJ zKdW#kYUxoEeFVAhjki3SIzc{-Mm~!!t=s-t?{;#u+FiZiZ};-Z&&3Xsi8aDA%;K*K z<@Jd*3%fYgD_)QAFwe9LNBH@rX$T3LFh|$>IvoXe+b3S73|99V1@iQi4k%tC58I#N zo@ZdImvNH8Nety%2`eBU4B|16vf@GjGE z0w%x?MTQ69%G>GCvuMJ&;kK-~i3cOnh!L%sd-a$B;wH&<+^CL^hp{u#jVqzlEI~Dl zKcN&PE9lJeL<3fG&-8fo&C^iS>-n9Q25h!nzd5u;^e#Bj2x2R0CyxOoNZGSq{S|I)+j+c+O z|KKiz2+N&1kGD|m5c>it*qGmJW@~83=yYrU!B#4!_(IJedQeT)4}xXMWJN+x`4Clj17@yp5-+b4moz%1b0$%?LxqZ&uzi_@ z8Bh$v1T;=6=PA3ez-6aX9Rt(SGN74wDgjy=osNkoUF~XB25pZFY*`Z#oNh4_4WG+l z5;b%NNvkX_TEgF#2)A#?~=ezghaGuSQJd>TB-Pzfl`Hk+oVpF|H@X4zESxFXF zPpVJ|)9ip{L>L91+aknJu2}8}rHB9PTiq2edu^t-EPHE0#_V=ev1}Rwa=>>U&@PBw z$(<(Z^dw&klSz-AHPYq%Z(pXpUBi2$xBJHyQNKJY=HQuh&qYvcKW1a+8WyXXb>iX4 z0hiU;;mBAS4nC!M>nyZmyGL>c=QL%hxAyq1!leXRh?^WlTE?;{E#>bxf(4lmKWd|^ z*xqZ2wqIOFy1kjnCq|TjW|vgn*A$dRx3Kk)^t2MpOCZNVRWf>n+9t$qi8!^jY>eo9 za^cjqc765YQz9wfbreTI;+8g-U+G~|pUuqIg#c2R=Hpwgub;%Y4%i~{-*`khTdLW> zRMbCA!!-L<0u5}F@EDZ|6ZR4 z$nvUAQSCsWtwRKP{p+E$?z)mFFc0s6P9#x&9Fj#Z_HZ;B-BVAszmZyXLWfL^9Z``ezqUkab?~|?w3SO zl{3h029OP3N+LrABC8kkNI$@T58Gw;ezEq>q5_y61|LNPKd<0QiSw6;PVld zbPMYqeZAVIFF`3rdZAMKF9HgU<;?T0E4wZ7rWZI1xM5*y&)$Cax&@c+ek!phlOlR! za^Y8zJAf{uG{0r1n3kCsH85;g0tU5@V51_&hMxAQDD_tKA%fX(n5-&lX*L7+2tUzfU@8>3tt7ed|4oEB?fII#Klo^W>!SKMUQMqm^Fm2i9>zY{o!2$LPe`4qO$ zptqdxGd=eaZGA~j+=eCm-Q{LUl0)4t`JIIxSB{s?7jkPh$r>CdZ6=4F3=&ZX>isO+ zh6DoKK{mXxz!Uf4WNdguCI!0bIYFJX@s}Y6_VCLq{)NR>>ivD6wBcOykI+7{qEZ;b zo~J48_xodF($E}%KGro4i`UucA}Lk71*?O2KelXFFiDiivM#?uRX&qp1m*!1x-~n) zPB#@Nws#{&=2P*?OMMfa`xU!p^@vEcgL?x;n%AWXt5T|nWBx9vnE4LyoNJJHQiyM-d=rq?1&eNut^ z!E+0BSwk4vI+W>y#>9gKqRgyZ^45@4Fj&0&W~J8fE@`Uaq~DXDlf#V_*Q-QG8)pQj zKM7dSj5c*AC;y(b9lArmb1uz{g<3OxHwjz^)^68n0+#QD1 z6~UgML%HO{urZ3bNCRV;ja$tey!oQjX;nxwlIF#NwQ($@MRdG7JGtvHQ^ZqYS~Sj~5VH@~YC+n-!lsMa%B+no^F3~!g}4b< zj-<je#OG*XVXGZ#WOasV zeHIx&oK;hGvFvECe&xcZqQhp))xx&+$K0p{3fbvicAwwFJ!o|l?&RAlg)Z`rXzuH+ zWtkdD8q`yI1;L0qPO2(1RJ>}Ap64|F3cBMi`8oaKa4y?#$VzTk@fvt1=gz3>*-|PL zb?(Qpq;iO^E`Fy)JN1_A^52M}`9qk?n}E9uF}^tIdA8u*B9Tgf{8ct7yzf&E&!Pn$YoF80$&7W}k7tOR5<~-Q}Wb~TkxGXZ*R@L^J zWYg$~*P+B71AiEJCDsC1$T4UDKuw3Se1Udt-{R#|wY?W8fQSSU4X!sVQwFxwVzcgj=Uswohi-wYVdIWwB40(qiqVa*%+;7*9 zP4+7aXPb}UsMy)`fzd^!!t#>|g8Jz7IC_t|91Nw2PA(PHF&PWMp!gQ1?sbK;K5u^u z-EIZIroIAh{D-Cq7OxHL=j(WuoIauV9O%JL9rsySMd2gx;Q(XvI5P;L%zuv_i2CWC zs1OLODf!C6w8C5k3epsy^Sje&eXLf90?T8{AJ6f7K_+Z0m=@2}rGmN|JK6;;u76QApV=4B-S6o-J_}_w6cK2Xf&6^;cHjX`Z^Bx}51xL1AlmyN^2N z%~l)=`_bD^orhE7jJ>O&$BEdRU7X>VC9*aFVCj1>s`j#=C)+3^h^Dl#9JN`g0HOOw zcjUCs2CXfR*WIux!m1TW!beRzCy!YS$5c7@K*pfSvjp?sVpJ$sZI*KnLz(loq22Ib zllYZI7DmdW9VwYushNH}f<~$!&LYcyHK`@4bI8I)KtvNOk&_l@@!qe=dfVN-0uO(< zC%Wz-Y5gLfZIdmL({#mpslgzqH3{y?r*WuTxCm2fw!!UYNp_zKUaDtpWzqJS$`1vh z&srKl-Iy2+R@7TNa!E;kh+}sDAN+{dL{DWBV`dFpL9+nm`-z7O2Vke8mh*rHaLT=%L4N~BybpjLS0Bjee_eszpHYs z^T^4LcSfm%YF8$w0+Z-TL{MK`DU&RJmR}P6oQXj^V0KM8IPUybW3tBBGLrH|daeu^ zFh9zJDcQ3-nhN9aTD@ltvo%i+JKWQZwS`*l#aB;W%x3s6SIvs8VuLZ&*0fAdcHu)| z_eIc96Fk{zlJ=`6$9j=Lk81QY)z-jFimYPRU8I|bOG;%C{MRJt&u)wR`6f4+h;m0( zk&)^oXVLC|wJAiCZ#fHBjg%y#hq^nG!m(gce(ZQ;oTRsqh+QqtLY)qn$u0Q*6qBF$ zaS%;J>`HdPTyDXCcCEE1CMpYyjFgl+l2ZLRxo-`@=WV6`swX)Mx8y7=C^JEAOv@)L?s8yA7)Zlun%*6BYR2BbN8y74D*k~_|9%~& z(H^N`a`7haq-fn;^fiOnm4-~87Q2{e2K@_qFe9$#`6*^YJ_;?Vx@pqHoo9f!_0~ln z|3o+B`&^=6pK|b5kSR|ObGRH7B+g7HMOEU|g6Q2YcGb=4W3k|PWm=D|VKV);Mxf=5 zVV-4u`0QfWp~;bMG!Drdm)x0pSx!EYVGDAt+_g>5^O+VzUs3U3S~g*pry0c^e}g}# z2#r}^)8e74ZgwPU8(I#({Vz8qXVbf&vxtkn99CTRp!|m9P9q`JI)}) z$KH}y_=Z?YwY2!KjQnSql8~v*(|juPO%uE=H>TEa^fP3Y6j}7SeUt&<&n~Nwi&bpQ5t*_xEs)eX zL?^BoN_=Ql#*#KOLI}wn)(ImU87MJ0gx3iZ*65FSKf@ zBOgmzUNlWA*pz%T02D{{o2Fxp*nF7}F%M6MV>=ZLg~ZH2KyLDR*#}NZxP>AT)6N0N z^hc7m`OxC9JG>ILT}oU+YO$FTXB{<`U_<}Or_M8RdtYx#^*3}4-c)FdB{5*kUh_ri zzXWx_`_q&(m46z%e50B8XKI?yc$;=xqgRH`fuD2V4)_(_tMSz!KywWLJdn$X=*8zr zQ$C(i6}p0tf&eb_kc>PE(c^lJhw#Ez{XW#rJ{iWvCRnR8Xz3&g^=%E3%#<@TUEOZX zH^p%8oKt9_sF4XHOSlpi1u72mwW=3a%lV^a9h-psm%nJ>zD|~aYvW<+-F4c1#XSBm zL1!gki%B74)zfL1#&DJFnFh|ze90GcAn*}Oa;G4C&-SvZ%io#~ff1sgSj*Bj6V_6= zp*j=E*H@XTd?o%Oal3gq|7m7%!<_upMhep*Gdf~kM%u=1EX!QRAMg>7dhJZ4Qc(Tm zUv%yzBYLfs(N3>OR3x z>1_;0wkTFC3EKy4!h85%w6*kMhEvKc+UwJDyD$Na!VsH5;;Jr-QLy%+tzx1@d=L}u zh|U%w+Ke4$!>-A8#0xXmjGHS0E7uxp;N2F@M`R%Vn19T6<5$}gbkrWT@&jE1r3q*>lz4d%Kk+gZPwH#fSZ7fNIk<1-wt2=qgX5k4huYv?%rGKc z=cnuF|Gxq%Do+1H)7Ssi3;y3?*uQwWe{U@@!|=_BUio^vKlYZcX}R|ncmd*ZCI4_2 zFt?|SOL&AqE&ZD}vMr?5cHe0Tk~YMnj61ky_M{>rB(~V!U?DW_He%?yI`5-BJ6xWx zpqT$Bh{0BVya-KoNO56Ah__+{>jOY#U;rlNs)Q>Dtee!;(iO984ve4bOa*MB{9nH5 za`y=04q+fXY*H0_#unfj=X{_aTLtXhoy^F}0=U7gyd>(lpHkvNH_{}Ds5#~ciPw4< z?74||G*5qlj&~av<#~W{G!IyD!|d20@*B7L@4wg)9VJA5>a>yL9Je`AWVt`d4ZnJ} zKRxn(?kxPAOYv!|JKn*$Z6mN^PPWya^dQl;0a&ph+iLz_@n^-Pv^HK?*|~hjmov)D zfNGXeyBt%){)A_XH-lhhWVfE=Pde|G_1qlQp_hWjzr!-xOg^uxQ$A7@jMI*wX;&&=ldxgo((8Py)d1CQy`XR|$wTsPU z8NUZMY`JEb?;uYH2tWLX0prwd z`oiKC_Sg$=g)fajbMKjINFmqs7H7#Xre3A!?$EEb2`Qh>1%;&Un`WHI{&$VDYwmN@+LJPK!>M2*ylt>~1+^3_@MsE6I*$J=_M$UO(! zHxpSB7y8<##edO4EGjJF2K$QXLvFGYT=sMye&7caP!ws&mOAqrYlV=I5pt^atE>w7 zh-<67Fyeu5vQA5k)&^)b3McDu8MiHh<@72gsw)@dLUI}{mEnU(-DEWjgd=SbO$}Q- zL=%YJ%u>qZrIWeO_i<)gD&%n`fNosr|c}q za0ogew&X5l68{7O_Z|^*ZQ;&`quMzO6Y!+LK+NOzV11F_YLk!VQBeOm&sy!#oIz>} z(VPzTqKjwLBx@`l!~H}nYL@_ITOXwo&R(rLbX1GsSysZKWPPb2&mqkr8X*>+iwaKe zUDmUJ%BR2amEuz>a=~I_^F}1p4Q`xH1P%N}8|0{g(M4~JHC57tADKluSN2^WAjg*( ziaVG$V}dofpf*~#N_?JJ6{*Qyv2gX{1wRj8KMTCaf>1h&DXyw$E8A$V^Kiqz|il%a*?wgdJ!wN$s|4!EG*LRX)x za&ndujz0*4U!c|+xTn41;T~*h`qc#TZE>83g1y_P&*R62MCgA4FS@)}JJVL%iRtuT z6FvF!l?G)3WCf|A!W1#P_fI*-SO{tXbBqQ_=5v+?(eAU`2GCp&(qIZKSr2d6@^A^j z-QC^qm-dRBmX1qm8m$v`i?d`x5j}W-?fnHa%8SW(8sy6)RQeE~BjH>M5Uv+}O#Kj` zy83lZ)}DAxfZLSEE1RzGQLB%Px!-a`XBT4~*2fwN^qUT>Qi%;NoMx;WyV%`bU{bXX zM;gPvL(8QU6SV5!UQwez(L(jWvJL9iZYOY~-zr8(x_~rKCCyvie3PQW8~5@%IRXCA zD#>W7r-wU4o*!CkGCjei{zg&CMEC8n$NT+x%myM`mxosW!@5j&WDpWyI-VolQxkNDaZ0P*tkjZ&(_46 zt&5ZTzzB&MwinfXuPB5MSy1*zmnS{9AU*e?qWR*~Q1fmAF){6qubprD7lM7E<1JV8oQ<(-Q42$#k^OY}#P!vB$( z67Gh{LLbq&DDC7nMGBa`xIPvJQc-oXSW7wN?a5`Z{zY5T3<%ab#h@B|eV4f=}~i+>|zY&2pLeTtUC z_SBq5e*qz0lX?U|9ra)3p<1X`Gp#hUa*3$)LdpOq@Y@@hg@0~k*?q)q$g@gYm7bb3 zICTY`v}nJb3|5XN;c@^&R0pg_I!uk`Jr$Eb^u0s@bAR!qLEHwSgku^*VjI*m2d->J z=G-TqY`Szeqk>DuLHi@H(%LpFraGTQ{~PfABNyy74b5ye(o^|PGoH*Fzr3W5zqdW)Y~+u0bmUdKQ{BN(Tg`uzIDQ$Y z=3oIM0?Hu>yj0-<-H2Nxl##@aN{JrY?e&i~kZg_zdE%|+jO6@WCY2V@j)&Mnv=7EqJYo^H_{rNg6eeCxS@P>L zdx9XlOYY<^8k!g281#?Taht*@PGgkYXSyr?Me8if$f(Lv(-A^!bUX$U(Fxu~1zi9_wjJc3(fd(YVMTF{T}&P584#x15e!vK|tt`;J?lmVB}T z&KgO3sIA#Aer|;1dni5+W;{mWS5sX-8>kG--($Lvj$xjbxCT3R2e(-#?1X4kWhIxX zKU5K5aUh8-V_ow8ut<0zJK-WLQJ@QS%>zF5zi9O)6gL05_-PPRNvzGnK17IXh(L%R!jA@u*Y_-PsCr<8pd zy~XGcK+t)+N%`s1oCFSSe8>aP<}~jLTDhls^&4#P5qzft((jzF#;&J<@7e@N+o;xg z6_;`4cI)-c5VTDhv0`2=R*N?l(f%U6cJY+g_=^@LcOS4yF_1q{(vlGaQd)Occ?3X4 z5Ne-G9`dRxlFKv~Fft4dfNjE;1y|&`|D!aEkj(}CAp;ZLX;IGMJiC6n)MBz~hh^H7E|K5x%OOuaH3H8Fr4 z`OAXOVo4`v2L!d1W>^n`Rc88Lo`L)v)bIMV-Jc)%LH#HJN;TDphzCUHq6SM=Qt`QL z(G~7i793$fX-vUSBob{3#(5JQQl&uMuFCQ!sdrIPKE%_+ss7~T$7xi6^#hCJNy$y) z z;8FDsKZi~6p805wD=3XDDI1}S z6sk@Cj{!%C-uii48{X@3MJOplhfMW(oGf_>kvC~eB{BJyV?^J~7o~>)a5NJs|G*q| zC1R(6Q`0*B3jmxScVN#}vx|S+=lVBart8pzpD-Ej_zuSqTmPKa5Y}FrbyIC)Xo zUahq=k>eUp7>#MO$I-|V;D%VP>bzkGQ>cJV2l_sqJWlKSpL)YLrWvIbjhrcMlA046 zu{nno-bgqxdzI076@Z!|@|^LMJ_3wVO!%+{yR841pvE&m4rC+#KkCKlOvwB#?XxJ# z@M%s#fXsE;k9W~7(s9BT$>glLLj*fEMSpAg#+T~ycUz`fpIv{7>780vTvf_tI}sk7$ppSz@;@|x5_ln48l=*M$U>zU8vzu2JZIt!IinXiquX~j zyoU{(2NJm;_ujlc6xmySgu9QX5bXZ9>Q1WWSupsbTJups(@o6S4_l{jzfV#2Q#S1f zf!wW4mBVH&_sYi)niuGL<9%|6jSq-og#rv!W3Y=!LJ@$mNgL_O7t56L{Hwx<%vtl} zyosltfJiRLC$6Lg6&;H9n9TemRiGsQ7pbQ-GCPB%vK*0ps+gYp2Xn`zPgplMQtUg& z5HUtXI!vHxQ+uZK=84)Az)=Z+)ACOZ`|aLO8y#z$cNbh?+{k6^w0|$$%DvH_%EaLH zUT9vz2yo#e(hOLo8i0RopY(=4+NtfNejNSBQ_LO~tW5#aB%*OG#))Z_DO`~LBE0!NzyQOnCCxOdvW7Fx_n%x#qqIsNzr@&$`qr(1TAaiEeY*dS}l zQ1PHmGG^3HKWzqTDoEGL!XrKVD3r$=2DC?0^_cf;&us7DTsk!TVi#!OJ2?^(lNbWG z3M@?pYvPwAmo9kXvb%tfE`D~uklTJUH^zu~t~eDXIgw8{9ocJi-n2Q05rB6oqVqQI?9f_L4~%3L08p zgXnDq%~nl0cp7!hn512?ao8(6JoNd;Duxem6ehL|VQ?wDs?Em|*UVM#o*YHIxpV`A z^p%@cMD0y*>uyqJ$>=MrOi<1vjM`oEqjezxl=ACwrc#9za$s2(hZjb(wIX#vfg$-Lvf$gRFz(4%8wHwa#O#AnY5B?QEa##sa^>)@{J9V*ed(4wN)~xai;O1 z;U?I$cG5~oF|Q-xE7@|W!5(oCi}_AUy9^9>VXTy&+#+RY_zLoY72N=~u%f881nAQ2yh&S06Q3 zLU0b9)%s!X)li-L-)!td?gSrdx*5VYs*{ikRL=r~(%T1zXLif$7xP+|*)ycK4fz{v zOALDK6MvDUnGer25%al(&n>yl%>B}^a7)s3ueoTOhxdJ(zm71C+GA=8*mu~_VzSQ* zZy-s?^mmqX6mqS+BP8!I7f2tST{34nGtk^adl=;`6q!`1`NkNxTZz?#>xCU#3)KgG zNq!G4l^FI`s+sC#`_;g(g;&SdEznO!D;@0~11n=4fNWlzf67ZS9z%-h4y3NmiTb;?}IXvXz-0WUs^? z1f@OIozO?5Nqta{CEJ3nwq&zwy7Znd)0ds}H`~|-q1ZGlmkJu`O+X&I3ezE@sW|wq z%XOS3B=*&IU7TxNo1&XTKWx9_^tmO}S_&XmB?&QC8#cr_iCJ9ljG`%YR4hmi*-a~` z{#^g<{Jp}aD*;6J7xl2N06tlgBehM)Z{e_~?uj>nDNVg>yIy>i?lnY6C6k#^Hf-*6 zUJkNUim5lE%;jXRuC){z`Z?4gN-bk&7(lz8hiKJg=1hxbUjXoO-BijTuDGw;y|QH) z_jl+pIsVtEK8=`9kK6c{bih_7bm>>?g4`DMYg^ni?MLSL2N@C^dWal3ETK7Hgia_{ zuxLuADSn{1BagLIA&xQccPB+t@ju_QK1dN~9Md2ve9NDU9#0e3IbtLx8}vn?p9*#n zI+s2i*50)pH+$SS>22>o{FjY)lbnndG;bWRr@%v;vU#sGh zeiPMVnK@R6Ti2-7vKoJE?4|`(YS$nWy7c0V4dMPUf?qWD8spmr3UYB|d{g&`#`1l! zfb)s5Q>a{7rlUHdgEFA8B`q6D35u*Di*P#u`V50R{si`jK?4@orS{v^3fSx0z9N)b zLn2eVk-Ez?NwCUk$s>`#pqLh=6k_yi^HC<*@h4!)PnsQDC7r{hMFNyMXm$?jctgQt z*(pJ&Ol?YRI?|&WeC1)d%HOF9=_}T^v2+0SoR}UFgc!MV9pYkGqCQGR*0lH)i~D ze2<(R#v*trHL93LWLm; zxG+`g@$yc&L>uH6CwW}@`_tg;NsIYdA1mM3khVRieF7epRjz+_uvEbj zH^ka=Ms2}`47^zhxMVzsg;O!rn0^1KdS4d} z0%lJQ~@D`a;e;51sR^Frtw07HcT-J zg$y`M_A4xO*f1A&Ajx40l_8hB^fC0q|JF@OY>sO$kiWF4tx>q^p7PIKY29IsFZPOk z^c^Zwtwecm#e$6;JDl$_?3;HNpXC-KhlCl-ICMl%kc5^wBM%Dmo$TCi&gUC6z5FQq}T6m znv%CYawIuFZ3gVtjV5H9v2R$@vsMkHhfH-AH{1Mj0p|v40o1;LU)J}(l9n8K=rey0 zkLzc2Fy`dKRPWa2@2biwSCcFn(@6>zf=|7!U1YZ3`v#-MboHWeqI@q4GbzWJ0`+@#al zo|o*iCOeadH(d|Pso@v3Px(S>EtRjbU6E%sP-IPj`eQEjkno{=2lC*OdS9Zh_ksVD zBio68gVYX0Kptf&5Mgo@&+cXmU2wV%FH?6IIP}&`MSd`%GN? zHB9cQcY?KfOvpT_sv37~J zLU-17)X`cZ-#$TQpVqFgedF?;YRlXU;&%?+??*M*zvy!(C=w_4zwZzRC|`NeoZ01u zmCBL)G}v#8XDSPZG1=(KpI1lJdx=M2t1f=ZgB$t@FZ%~? z+&%O+@upe%B`?lFjNB9rgKrbHz?qxoNf0lHSHo{A4l>{7i2_xuy3ZPyH@*-DN$!}b zrs_%H_rE>YxAW3M#E)-01T#Z9Q$s#Jv zrr9eO7G*W_-(53jYPPjTkXKNm04`H#s#gOnW%B@#dyZiy4Fh5YqB`XnyoEM*hW_VH zr)4F%R2$+*p9Y~*4B`mL{B-q zgTvDBJ7$0oaU?6l73oo@A!V>PHu%M`c(o!ZVX;UN2!$K(PBQ|2*n4QZd5zRKgVfMf zfE?d&--ghDj-8eZ63Nc+0+S?CW%J@=Y{!g`7(r}*IGx)nf92IIjXZk}>PVF%yVu_WUxqT?1c-GNJ zbJ~{tYyNt4DevycB_)%=no+P&BSL!<>fTwFY@X2V;?bdDc$BLg^5UBYIYISqy@E9K zz%QmHv@UjX&}05^LfhS(Xq&VC5U91Iz@F?r!6!nfGwu#I9@3QY@!pe4Etwj!NceD! zFUqoxF)T;n!MvYRIDbc1+F_(YDPQy0*B(|WF)IYpqn(B;2D8sICH^K;!zvE9eh3Dv zP^3(p+>eU9_GsJL&YGuUGWVE0?F3ffQcc;8U!Ai|N_GdXu^+KnwF}P>RLJ`W`vq?F zTB|OaZz`+RUphhjlEi&>Y=v2WTHeq|=pCwPZ6xQEjrZ zlm}(rlpz4hXq!mGgb(u_9ga+5Od|DJqsj;u8V?_5Y6BOu=m<(0tFmpkMX1E1NP!R_ zWConDq1S?};0IF|L6>M%_oH}ErN&fhYC8E7LJdPQ%CdyR-x%?=svW+&qA0Vas*$%- z6Bu=q&>BKDrN1@3S`3wH*ZzllBKu}zryot81}T@*p>Psj(|Ep-Qzj1nh~g4aW>P<* zR_Panu_|R~HCZeo{z|TO5G=y!kF?8+VuXoh7c=Z7(u{Ykk-=dwi~WRV51Q7aW}o>(7bRm#&1o$P1-8uh z(y9N!=50vKj$cFwRBSeBLqlO6(4b2usl`}3$eSS6pIzhEg5no1P=KMXAJ^8RW1ZF2 zof|r&NQseePLS9PITVZFtZ5fxTP)&hmLXu!$pV32-vselMaVYa5e))_OC zSNzKifA@KpsB!MtI+usr#qqUpG6(_HY8SouX46gD+FO~-&CN8;@+Jf>XAaZalKB}z z8`iCJ{WxnvcQxn=E+d`D%_{dxTSQ^>{K!E|8sD-VB_I2l!%QR7z6feQdg~#U(bH_r zSHekDK$7Bo6s}g%jc9C{FAg5pZEh6FNbU$zsfG%FJ}Y#v;S#@q;k>P!=)nbf0E_c+ zoa3Fhm%^Q|AG!-e!5FBju9xiEq+jDU zcmcQNou+9N>t}mNjq-*}7FTFTOp1c?s+Tm-iMFrwy)E$dHC}Xvr6d?-34oi8lJ~&OU~(8jsxM2EWq% z7L}`M&2n|10IM$0;}Q!>)Rc^((_~H@p{JFHdDjM)zQ3~WRBW~V8NNQ)A{L~++m>C; z;aW;k+$w*zxKgWRPP^dn2U%9QFXRo_X)A*b#k}6HM7}>2N29$#T7-}cwqgE+4oJ?Y4cq(-qKOz$d%5`o=OFirt zqnIYFnPw-fdDB!F5)^s!piC3Mf_#O732pNv`gT0M*~XRnK45XpV7Y!DXu`;uKo(EK zt^kOrIWBLIWnr=%iRY(sC1jQKyqvB&2^-TqXb+dtvw{{H9M$4u3C;yya5je(GR%** z_aAIZm>6jsPS2jocmfSG33^4V@`n!W4FJlMst-b4gS&(PN|J(!&lU+N>i_#as6rGy zK@>xR0~XT+Qv|l67QMMgXDNLqKd@B5k#lD%23H8fYAT*j`WIoJ;Hl6LQ;lj9IcF6h z+=(HhOan9mMDWRJ5F|7h_LRq!+u&D+ztyueGk%z8YroSkH2Ga`QY?Ts#Fk#bKT)fv zz%^26R&7naJ_uyff-|dHQ;N(c(M{vv=_YFSX6UM{4;dy_TNJAGCY7`Hr@-&9z9H zYwbof#1#wZQEnwdWrM5?(iCKXRqGApKqmI`k65g=s=pOSL=ww@T7s;2s`3h~cnwNV zxjpU)*yy6@qo#2zrQ|{>RrKg2O6#|3vUUWB3b(O80WL zc_l#k&y`j&dxMtyEF@O?=J2$XEO51qBaDF41rBfn?gz+TnLB`440p-K-@Q zbWle%9hDCE7v)|cy6!BtWw z0J6%(;s-SuzWEU<;SHlth}Ubknz7~Nx3&jvAqa@qXp}z3c$T!44u|_W3VTuW+YUO< zRh#oDF{eQaQFnUc2sd*g(jv*qBAnFBI!=SYuxQEbMow=^lp{%1;`S=`ck^*s0RGcL zpIblMvs^&a{Cw6zN`SOn57F~?B_*v6u-s(%&+&?5S&fQ1wF(*z2@V46kH*y(yle^z z;YX04&CPbTD6Ezgdj4Vn?>f_6sUSbTq1!>9J$8VH{gjTNwljW2iv-|qvli1#aXmZ+D9QtsC zh3mHofN)9;U8|6B2&7DnQJ7%g1uD}!O-9IWk8f06;#$5E!*9Uq+&A5{6<@=C*R0V{ z3^!Epilg7kS20^C2x%PjT(LaYq)1O3T$RHGRUIdh+6Y?~162^T6H?gL{j46RrdmeH z6ISC^qGBU#lTrtHAzVigcM3|j%?hgE3&u5rkJpasoWbrK(poTxIF_}S{3he!WMjfQ ztl|}+md5H_LAaCMF7r`MVKu!ELDC%E1xxu6f1|Ra=U(3I0^5`gAAQxPRlV#Y6uW%W zL>s80S*d2+YOY7&ykwoEGqz*m?loI9P^!kjOeeD`prKWmK+D%kb5z|P92P&Jx2mbF zlB+7pz>HeOm5NYN*4R6jr)}88!PBCQW{PYriiC{JJL{bulS%%fK^SFgHTYbLR3wBB4;8?l@G<;@G>mjnQT?dKj*a{3m!2}V^;eE36iAFfPQYNNxB~z^XrB==>tV`6VcaGPj=Oxv!Y6x!>Z4YmY zWW+gZy~ChPy#fnJoQ6k(-z2(6HdpZurt7%0(HRrpFrW)MY#2<(f`H@g^O8*s1Fy&m zVr;1iNZ;wrKfrwWs6_cwdp5?XvnnD53dyIsXlVZL2CN;Q3 zQ!s)(-Fi9sP%_wJo1BJ%@7aXV&E*`Aa639;%O*v=akgcDSd7Q#6w~h4-LE-QJ+0}Z z`@!4X%EyWFN|WIrOl0~2lrVP9s7Y4b+VAOh{*v#^i>>1PIe54^IgHhJhnGvTye8CY zDJ{0MW?;{!VMif?WxryGsjRFm0U41ikPzqDA53K5Y6`7)ut?TUcnsO4$J4h;tiMKRb)}Yew`*D1#P9P=c+V=d6s2qLA)k7=<`jD>n_RKN>0lcvl<;03O(qt z^*nU0SidI9IGU$vDFAbFyBe$R>^c?}ot4$Y8uTp9qqsM;sC7cOVj~lpJ%1JZB`=vL zXXTyMoRyW;^os8LC13IaC6Pm%C}iQ4njyCTd*79y)-3soC~JTzAEmHl<7@J2^PsG) zE~!R;(QwU^FUKgE>pq{98j7ls3P}El5d93!=6d!c_~-BMCu>@wpBdG*sJqVIidz6I zhd-RGem)lcOvUxYM5e{4Uwc;lQmtLqkZv|<(NHm0IfkURB9JzjPK zL1w`;jdT-)DRd%^jc=2HuvC;!Ln_c&DrSqd3(Vh{P=P#3exvWH$T3GOP@>~9k!WpD zUpnH>_?(X&v79TQJhL|icaz*HSQOhE3yXJK)=-!i>C&9LfA|rTtjHOpro*FRUACm%xmH{3ikcN z`^~7UPI&rK$@-8~dbavKy^tKyGN%f>RP}u993oNtI*T8WVn~*Fp{PW7e4MPwT=v?bRkZ^be?UFZLQsCo;qHkvP9 zmY)8K*PP)JkU1H~zY;ts`&Tfg-E-}~I>o86t= z&F-E#J3HB(Ilm(ny}PAR+ug>o&Kg{QK+DfmVr*9D!CpNXH3fM>I)Y3TykZLpAr&0&Lt5EwLg0>=j=J&FHeI@;=pKzxU>)nm{Iy@fGo}?A>Z`1uLcX!`6y5!) zdGb`D^05G+W2<%I-N~NJbz_eZ^y`WuO1F~q&Wy15Q6PwDL_WIjI%n^j`T!OoJsD6= z9a@&NdiE!9b!Akz~K@AU+J?7>6^ zr5du7h&Q=^R-up!LftK?P}#MY*Sm7%q?%L&Tn{(wvXZPDEy-BdKn}EXyyNoVm!};h z_l-lh`TR2O^~GF$eoIV0J_z>p&p@K^eXaS+B<{65%B#ulwOIM7e!l#R!BN5dZLs&g zSEq{9qp!!K@MvP%^+%;!UUYDp`d^IcK(>SUyV~B6H^YmGab=l9)&CuXY-RfBViVI~Gt4BL%kcj52Z(?ZhwMk?MwY76PUoUm)` z*QsClv2%f6=AfHc!*JH&uBlC{5J|?(~ zxb>FkF9u5m*&n6CLy|e)f*3vQIg{Vp^o&c#DlCh)Lgzed!F40 ze^o_S-n1b5$xZ7wllNK1tyN^@zt#LhMs2uvA z$(FVYXgf)){TI8bbKhCN4d&dPeGq&{OMtE>iM@JzI<-=PQ>Gga)|MVQ+Ha{3Mr-d* z^+7!u>s?u2%~iX1%U>9hw|(O(z`vHW3`_+@vXkd{r=Bn4TUl~h+G!hd+d1;8lXUxL z9ntN%fVCyeK2XAyQF2ZUcsU+@Rw!qV(AvbbaM|WjSmfZIR+fZfwKOe9;no3SBFqJS4xu}A&~+^fSxNY>u2>;-If&$QSaUzLy^1f z^ryFP?P^Tmb((`F?wkuBZLWsWwfcwgt!`d!V|wTVN~=|Y)Nm156~(Wh}4*6&Yxg69da zBIBRMrl&-H$-S*b<2#}x7>}Ny(H%@oG|uz*KX?ZNjqi{WGok?=GC>7$W?_+6m@EK2 zAcZKU$1_FnYwQ2eohSd$ogrLd00egicZQ)X-rCov77ys_0!=zovK{u^M0hSB+>}e+ zeSCWG-(DWV&Af%*ERzoE7Dt3=@}3w&`FR_SOAX6VV;HX>@Mevu|K!(p~tO`|$LO zdznnA{IsXhoqt2A4t>(^?zldJb~brqgZ^;J0H>pmi_-Hvh@L3gbgP*}LJIJ<1o#CS zT-Nj`-Nkt*PIPaL|1}erpVVm&!4(-bR;9Vpzwc~KRO<%IHW}M1D3k$wz5tgA89b{J zMOQKPh%0YK$)oc4Ssd0iC&}(rabE3F1pS&wr?CdaliSBR!f2v_CtNpO=Bgz&qimsd zi6q)yZ~+o+IV2u7HL&8j$Vi#N+068K#dsx+M|a|9evXs=f_O9|(F@$S332c-iGiLx zX&X5Ld1Lce<8}~Sp#q42>6*Bk=slBkAQM4%%?JADp8Zc4sa1^k(y#JZSkKWxiR!Ad zF*t!4FV)fW_nMK0hvEt+&$8w{W)|tUVZ!P{NXH0#bf?qo z46WIwy-f_C!{qktPKdMj-qXKhELC|@Os%3KDluG$(*SVorg*&FEHOF-d8@XI0n^A! zF2W_pPn}7Zpg$@2_KCOz=aK#@lmePz05Se-NMUVA;nHlZZ*QyErlc_CnbWDnqqn+8 z4}I~{ON$vHaA(2#n;v_JMxI<)ha|;Q_tnvOLWDIo7i$;~mGMx#K75$lJ?}LiSp=iC zs0Ez7q^t#{Vf)lP8@S;NLfloh7!#G5t`LcSiD)SigmCMxUcoZ-*KyI9-(QT!tX1x~ zF&ICq>Y^>Cw;;IW_TCs>+Es+aKa$x*rXGEIRJFv)o%lz+)>y8zJ73krMKqc-8YPUM z8X?e!DOp@9sdF`;@3KO6W%FYw0{fL@znQ+=gimmuokTpDixc3j>j%ZyGVr4ANk9qz zaSdPbW;W+0&8mugEVXJ6g5jw1u*L)X69tFpa{~ur(^{D_Mp+XFP@hswmFCL2oXGUNiSAehh z6Xzzb5VeZBRVqhmbK(oc`# zK*?bU+Iv|7W$Q}ypICqX={Up{&+ia{GagsW*e!g~EyrOO#3J7Ku^_JIv{W3L$10)B zOn*vOBU9m|S|p-ETE3Pa1$h1br63f;VqCuxx96+@P`X z218B5%@j`spGuufcn^+oV&HOc{#wyU=k`eF)`xJrr%UMeU}_*h)z79(p9FIag1Kax zT6@z}fM2BE+oMm@wbU=NEvCmYqGJ<}@zpKg3}v7sf4!2>^zjh|uF!K^S(9OI|A4K6 zI5@XPN(o%QQn6YLU!(9p$|Ov<>}-^PxMH`sb0(E1eC5~^3VG=F1sN_;a9SBgV1Mzv zQeaAvaSa%4FO$#G+F3KWnZ8`|#F|(bVA~vaQYnwmk_6Ko<{mG8q1mK|v?~mki2Jk~ zv6HYTIO96qx}f_-{hXIw%|=b1j`5Hz7l@)uN4T6Ui<;XgFao{< z!HF`~DdY8&=uY@%?{1#-v_chU3L_FF^hA)RNMryoBNS>_q1VA*2gy_gK`pdt_D&y+xIpDAV#n47zz$JtG8E!e#9iDe~@Ni-AzhjDDsCwpnWQWdZh?^k*GUKDsk`4LF$*#OHj zc)nastwLF4P&q@5Fdlz2NLuz!N4o2 z`T2Xij^&s|o{zHM*vPcJw8$QSk6UwkmlBPE$+`OL(PFSHQ|L9}Fs^@mP>}*$dZEUM zCmprvP4zGLL};YcfSN%yroC0M?Ib#0YL6H`igs4(IYXQc)Bn$`TxzOMZMsuz;H+n@ z0Ib|EeiS;Ws{pI7lqBGMt*6haMH)dG{)qLF78y2ZQ7m4Bw*)_pdWsWl$JkH&8uYg1 zIkiHlHmv1^86GR{TY}bDEie0;vhhbMseG+cxu%c!Ut^G9F)h=W!<@LjW9&{u2!>*d zzArB^<6fYT!`T@EM;6okYwa6pF9pNncKx(HX3T4N-iCTgGp^&RIV+4Z(GZv>vE~zh z-ioH%=6B}aq$Wt>KCMpCxbuv%ad&vKPTx;>b?iSCc{>F18ciz3nRv1};=ySnC)qDl zP+B=z&5fQ3!Kc#iALl(u`{a%=FEuaKNSDySq5)@pCF!*h5sg+2ti!F3{ZU1W;EU0N znR-OLeiuOAthS5!=#?HtF-|9<=!yCt{<%-ph6u)WYS##B8oO$JKK(4y?i7kXmzaKX zPQ!{l_d6cC?(HiLp3Vu&XJIm!9DU6+uF?1l9Jonuo+fV-lCq6WVvqs}_Gu@d<~j}s zuNM*9AtXQY(8AhscAUY??x{b8AMu>*&Xe16dupIx0!r%~kHRI~wo`uUtVU?6u>=tse#DX|7FeQGw#x_AGQl9{_Kwl&0R3Kh4rzUFaWT!N{QsPU2=Po5czU3n8W@@sp~VD;L0d zC6`eg=|oC|JD27woDPIb9S&u--8pKfS>kI6BvRJY)oo(C3G|EFA4E)oNeO7 zrA@eDggSbKtEN-F7UP`antM8$*BDx6jiZtpS;E}?QuR$+l;LB@BU1_(0OHcbqhgF3 z%m^CFx_}6nl-TMzi95@fA>W0LTn9r*0O%v3|;XUqqji($?2XcN9@wSNCH$7mI3G-A3thBr$>{UqRKd1!=JurPejAWxHhpY0g{tO;j zSq8f1zd6hj;G5R9@54lEvjq6Xw}N=zaByt0hn~A_#C9-!c)A zv{ZgMcE3TFMxIU&Hnwxqr%lgqTp$=W=rIEOh^5}^)l}Us3SnM?>1)K(osO#j%zS$s zje6fr&Z!4eeZ{P^wat9V9<0G?jgz|~k%U%D+muT8C-#YT;i_#a$`OyRF~WS`IrIb_ zX_0a$!q)ETx}+mqqF)-bo#`W2kKJ>rECn-mEtW4>3X*I(!ifM9FQiIL+xQ$HVu~6d zXJJmy4Ym=SQ8$t#d8`EoM=vU*iSfM6v8(-yp=fK8PuXS+jB%;sc?@w84renZ$A8Sf zkMXhDwC3lKg13Zef*LU5{ISc7f~xkGLFI(7`^RrpsBe{~c}f_91h4YTwCerd1zh0wf-6bO$}p{>Zh;;ea4rIp5| zF{4=T;&J~~10aq`RYkC%gmZ5J{YK{?KSFmMe-M8 zVii5m`tLe)uRhLJJ~HreAkP-@YJt74kC~^8NUs3?Z8ac#q(*UVb9I^V-=1QmUzUt? zXZmU(BU6t|%D9A2GEYzYBTJe;*zQMCM1-eoQmMJ{d-F|n2MP`<)e(sv1665DX+OQ* zO~H6;6h?osFuhIM&od@gqwV(Ao1s&t=bs;s~;HI46C#ZZA z0Ibj!Z<4BSD~TKKN<_8tIx@qRlqY-7Gx zxObwJA;$1c6BOt~LdLIXqf!Umq7q!d5qs(VAgUw5J{Q;}Z|q)0T_sTN$P4o}2IM`p=ZKIbzjJr+>7YMkK4h=6@pe zbEeA_LRB|a2uVzSFtr1V#v7##o6k-@1(nfaRH;GwmHW}oG}9%^MOU%$*C$E50^_}| zyuEVOK&z^f`?eJi{1n_>Wu|mL#hiz#*vc0$j%QHSV~>hbX`TJ5UQnbh?4e3s(kuNC z>=)nuVpwj^_&pVg3^J1ImrAE<`|-n_7qg=h7k9QAmz+_gWs)r0J78 zIo(gWhH0Em!Rvk1Q$$l9^&9+}PKits%e0WgiqrYS*ND}WQ@z)&_P#vlR_h&~S9T|f zXM?EQM!DMr`y+!tQ}bjYLcJbu5#GJTQjAWD8t0<6I+95#{dU&anLUk7$i?)LX z>HNhQaK`+Lfp!e)GtSxE;1WylUU;Pz_ZX2qK-|fA73Xc>ODVBP$zI-EWV zsw`Q;m21e|agmD@fZu+4=8ARvfQK7P&EiSDW;MKP|Cu zi+Y=@q$PH(j{S5aI{(6RNY-{ySzaes^p8rc>t4aSyzALtjElz(2ioXxGQ%=)t7gEX zwvQrFw`1$Rly!4m?(d4aEv5Nc?`y+f-B)z(>T%EH;k^oJCtDg~EHqIZpAHh8ogQ0y zci>C(9~Igffyf{iwzTbo(^-O^_H>N-bOu1_JKUQ1vbr22d$!?%kC3W;tx#5BmHM4(6XueoQK<{7|AXJXPS=xSl`4W8Zoe%o7CsNu41rhj~*mVlM{ zB#I(XTG{sVVFLcYF$Qh1b9ujx<{OXO zO_3kdlc94&Bd}eo-XlXg;O)Q5IJB8$Q`_DeM3piGa*r_nRg zaWy+#o+s~uT7~vE`+nhnEd?`-Uha1vJki%J?^Ai;f)Pp(N8nXn3$?N45z6{Y5!6B&op(u!ufQ`{wHo#SigAO!yZ_$|6)+RwYaxHeZ!#anxWt7Le67BS<>zU5!_$I&m{6qmc3ink<71l&#q#1SjtjTJpNAG6p+n$jB)v>6 z2F3d*h#Leq^06oGPTB#5O52YC(kT81vpJkjQ6KrV z;PG|tO-YqSpg8mNd+aV!WCRnv;F8fXU)VI@PWMQK9?dhVX}%dUPa=p!9YT}#BP)=4 z_ZYU}8Rdiuo85^U2h+5`G34G|tM(77oHmMbyVd|Ci$a{|U_x`aqL< zMn$vbW+flc6~~nbG|w+allr`hJVv3SO9@J?@I>0HvMvSxd+7@6u_b7)B< zK$;vp`^T37JnNCzHPz#FwBy>nwK4_0%Jg3xLSAd6FTsY|(B44yst_%H77NH`AJ1by zBeFmLE~0;y5;8RZ%Va=*Yg3ux|`C zyOtxf!n7oisH$)Asb0u!j(}Snf>T41j|>mrE*bgoAGmFP#O8TtyQwWZfVMI=|tBjx23po&b8#ei7HUMIx?~F6lT^=5&oOkHuIRO25p*Ou$Jb&ZdwYm0ZahPHO zx?prkkxOQ?F`X9-leXhwpYyt%!CcACvF;_eSB; zXse@jyi7*tbz9`7M=!p;uoRG8sJ9qw$3*FGnxUVnyE74KvSnHQ2!!F-a5JZO2-^Jo zg6^`(A#(>^xdc8h|)uI0^pXBkCQd&pygv|%-~-P z=y_c8z>ewq8F|hsEMy434YPX5iwZxpimoV1VIXt&Enc_d97xs)LZAbP1uW(1sNT7Wr=ZmGnzAdulDPl&u0Yv%E}UJ- z`}NK;2s=NwGL9=nw~+@H?xTQfU*7VLFxUbh?Ob>wvGJ)yBdaPO>;Pb=6rD8lV8fz4 zro+MZP$KUUfY)ksXf9_vY8R;*zF;=JP#%A$_U&oINZMbF(^a5{l>_yuWMOArk+4dp z9E!Pn=SzTO#^=PJi9ey}1)VqCV?hc}B?qeyEt0?M#thvp=k=yN6HwwE86gR+`6dtQ zz?==eO7_Lm&NBKySz@NHIJa`z(>t0^&iadLc& zNj>{&yX8ChI8BJS1CVnW&o;&o7@%P1*bBSXB(%>WvAsPL5GfjV^36_mq8ib9w zv|ttsEv{ajhkzSs%usNEk_m7mzN7t_Ydm;1RD1EI=SkjJVy;kDDb*pDSl6amrIRu7 zH3KO5MNy7=v@xshV|$8)u@#GTDVltZL)k^#MLY+*3qBIsKskdD_{av=+bttBrIq(c zJa~uEK;%1Jfb4s=`LXdoiiyv1Ynu*a`&uf>3{rzYyC6;@qMh*#fHn>spUfoO#-G45L-zJ(8nf?D2#{cJkEgvz9%Im5fc;V zmyMT-WamJiU?-CpzynQL^O+mQ8u9vSf&8tHrGaz9r8c18vPGu>*JPjoySzBM@ zx+$}9hUEC6C(O3%VrV4QKyTS{BuAa6`KgTV)8R`MvZ-l z3^tqW0QU`vFjqT0#Y36;hT^5LQ>@})R<=oJtAT7Fe;&QSEJU*bEZJ(Yo7EkWR2L<} z8BaqFDzP*EoN%g%e(*9k1%Z>i*><<8ez!D=>0|r$ls^7=1i6U9gsG3G~Wm_^>xxN`kcs3fACMd-~p!6`J`+>qvmJ;g(S+_2WC zGG>MiAG^2`gR5m8Vfw)cB~}T_AWhL%h0_fYp_ERIQ80TV0O|!KCv!YZYZ`nem^)~@ z`k=dY@1T5?-% z6l{6%WW6X`^r}uq73UXhR|n*)k4U+imm&&5UN^^RMWsK%-1J45V*9VkwRH~j&~$OH z?gR$^lpgq`Q>?H2C@q+7&bEChwsIq&+*Ho9;|3tpHXX=J#y!18W?n#WDMAQGO0W8W zPKTFtC#%7_TQ^EJ)m{-j$~Z+|Nng+h>f}isb77Y`uXDEWAT?8V-JB2q|1i0GiF_T!X)omZW4>~mPQgtzczxfSL`$vg(p?t^XsyXu7rYNnqd^h^mAo(wbvnD*1vcfply@9?^ zwF_=VQ%i`<>5g|R$9uHm6FoEYLYK~t|HlHGgs54%X!>2;rYa9ooX?%xDH%|^I>QOt zW>=KTuoLb&-m4wrjM1G=yT`YNautB+y_i#&_cBr5-W5YN_qFmkMeI2gN zNZBI3vgj|~48UzJ56`$*pne2o9e7bwItFwq@u!_j<2k{#$k*`jtKGEoRnDfH9S^F& zif&EkSvgxV3ecgmw!NpgIgm!pyvSFTA%v&ohwGcsN*?=qrbm5UypOHjZ^M z-IJV^8@H~ei)jA4OJJ57yplWKS{e7sU|=c=1=_si@nJQWb6r64Hkl^#If4ysdnYUw z{c(8OBy>KzHVx-HObo?F_PqX51`}@USz= zX~AALLSxHTQ3N@1YIVujh(dRd(?4bIY;8JG{Fp}30$HFm#;5i)nC3HEFjhHbrldKf zXS?lXGroyGC0{LQRPTpMfDtQyB*a(Fye}IL*PhP?j0v|b^$Q)=$NBUMEnNyJ9>Lst zecWoFJX+p7O+Fh+*>jX5D%<*e+7hyjR7-*dRQR3eH@ZHFM6E zgv8)RNzuGas63Wm=Iwfi%?y3FgN zWONQN8%pYArl>Bcu2YS(l57;3)`YbpJ(S^3ZuCO=GMAEZgJ7C;g1pmvKOBr#OxBPi zkuEms>cri@P);M84socdpNBc91AYhH5IT{;;T_rRt--R4H&N6uM6(IW%LMSMzndzv zyv@I93JVb@N}e)#sJsVW!}X=H$cB;-1-bD(_yio5GX-R^sIGrt_z9j@iG13}BHpa`1DD22P23eRLfYS_84ONAM{aO?vHVOG)7Kh~3z)2|P`q!-Ha zpkmWz+-22Q?T6*r8F5YaFc(wG(%SmB?55j0rni)li5?4s+0S{`c66R{kfK*6edCe* z5hMcjc)J6jwnZX4&8B81i@BL~nmQ4pXwzu~Jx% z8Mzc**kUS}9_EB{mbBr$jKOgjG$ACDEZEGmz~lT%34H%QmcsZWh+tU9Y|~K-;R_!d zdHWi1@Hb0|Zc@5jqId;`3$exr2S@K}9f}beo|5s5TG_S@*_WRK&E@*H+aYNea3!Txl?UrA^K)S29Trp)r@u5>>cS z=ay*Zp(`095QS9$QGZF!<7ilej%6EtBIYOrOBj0G%?2~%J?CJToVcMO5|!an9N!9v zDQ3`YcoU_K&3r+gT8m}WU9+j%y;l498+#^m-ZK%i>$Nsr2TBupYqiq`y7$r1y`#q5 zG`)9npHDR`GkMK~%{*EB;t?ITG@KCj1G=?Y;U9``ziUKoabHm|8XM=;Lt3VG_J<-iHW*$5b~;C$SNrIX<8h)F9O5pNx#J8bLS9#tG{k49a*Y z%0O}ZnNUg%#y8ouiV?SPAuLciCbc0S+hyJ0mJDFCO0T})x(1FjnyMEpon87~kwhV+ zn-b*rI;cRN>HmZoQyy3CTM!#BZuGVf2d}JrPvtf zFKWs4$Dnvy%@+b4pYoP>X5uV^GQatxT?&zxe$a8Mjn%;}_d{RG4i_Lk=4;_~xk*wZD)D}R_uSyiGZNK>9` zkn}3$oR4HIsEh7FwVN7DIMvD zDoM)9^x%-v6-CF$cV0XV5Dt53eoWFOF4;O!F4l;(SaQVj|`Q zN?hDc%1gStke>>NgC0?TF&deasQsyxPCb*^h=j-re<)cw-uJP$`ZF9bpzU1Wwq`rB zX{U_+YzQ%r>7uKzS4f-oo16`(vd^E@%Gnspvp>{&E^t)l^b=)ONMagPP|Qir7WBG zv$yC>5P0y~vwGt&iY@C0jek-|#t)l(8nAXfXOV}@u!K}QG#0Is+R; zh$4dEW>G}}e^Zg`wHHOeb@u>YKFMQ|7+;?Wtw}5LBM%q<=d!}~A&yWZXpmQ&@X`%^+{rfYJerkE)odUe?7 zwK;^5qnk2Mr!5hr>G;ddC!z}{LR2a;d}IYrj+ErTL^vy#VYzT-@9231d6E~+rG7vp zp(V}(8Om~Y+OXxWco*) ztD>jpA({BPd*bqZM0>OK_of#zzeQBNJ(=FkD$-Dk9lCI>^p;e?8^=f4y1uu|QY8cu zNEj$f)Fn~yAU^W~a-cs^ZoBtQWN|q_d;HU-N@T{~@(V6IgQeP{#k>9hVDPMGPb=y&}n#~r&UrlaXQaiGOeFuR#Y@Fwwb%=%t5rHNWBjrxT*KCM5l$94j1 z!3=rndnAq;sDJy@iI?5h8RbX@D_Cv3!6W3i@Gy4|3$h%?1HN{?JQf;xu0h+*l1wqP z0N(Et{{De6<%jX{Ufh=?Bq^MQYqxb{FwZKW0Vxdi`4hbU7XywTl#71%S(I%v)2wRY z|HbGE3*Lr*x(E)>;XM&UER`a_!}fz94DP6Wi@TCImZCOHF3KnA+#p}>g(sMZFWWw zeJ_@08G>zYF8<7AEt7YLp}iZ?tVN97MM#Sx*}~)f(&_j!7C#pV|0sj4XzUBS!sB2! zGN!*6KYNXNko9arLlfHP+siv$3AZ$m%O5tux%fAg7dL!|Bt;udfQI8=IPRD`qGv?{fW9#QDODOn~_<_ zNIc8OBfSP+?BO@(?U^n-75XmBEnZ5me?du^ry2%CVIa~y9m;64bDIODhL!L3$#b!d z%=0O?^x0*O%|5FGceuv&;%wrX(??vl8(jB7&%xte0ga*)=zx7%4feUbb7&OBuiz$^ zF)nof=D1R?YGEqGD5gZKtLu*ZN@E$e`L*nM4R~n;ja}j+P&u_sr?u#Tn*B(ptrc5XBtp>TW{QAdvcNBRSM39U)VX1_iQCpcggHYvh!8ad-4uOF2Y|NfPTAQ{~5pw~RtXq#GmQb@5|Tmhm?FaqzBeZ?W{+JuQE! zRKqHvJ4Dg0tD1oR`}a#oxDz;|kcsPy(0+*-8|kH>O`4%+e^DM(vRT$ifxZ1VB=-$? z-GKZmNW()|NJ*lg1$3{M3Ea{j-4?A{p^Fq|N;W^VrM@FwFk3%s|+o#Dw3H4ovbC-zp# z5)cna9yugi%u_h>9X%_clJr2-IMmgKP6Zvl%KOopp_fl&`P|h}Ibzr^$fl1HY2|l} zfXG((7Bot>vw*qC*MSA)-0g{-j?&jgWV)fAi-5$aN~Zk|peAHQc7|_D=A2=A2$q}y zfjgN}LeQg(3<&JMt^b#yM;>V066Shn8u0I6blczrEguQZe<-FXy1PI_N~0sEErZ4S zOVntR@5-^sq(hk!AoY$AUwCXjK?RHvKF=$`k-Rl|iT$Z2! zLWPx^m?l4%aZ0fRpj<=4PiTPA{KxI0k97W6=V{fw&$X{;N2-@$JOQbg+MP(+j0nf0 zV(8D&H$NmGQCD%3%$1u9YT%TJA2iTG+c^g^rhe8D!(SKV^+{z5TEll*5Dq*gY2$3| z*IaMVr>W>zZpcpi0h5qGj+lhteq346#Z4o2fSK;%_`i&a6L3 zLqFqNl&u)Ibd&DS>50Wn5r<5B!hmeNuk{^Z%^wa1%f4e@?Uw#xi0`vL=JH{EIm!3a zvA|(W;X+(lyiY{Z!kMpVdIDKdyU{iTFy)Vc6_mR=3)pC=MbXDluW+&Qf|!l9dK~OT zyc|UbKTMmnVTPZh`G+he6OsZB-k<;}$wcohDjXE&axWR(fI{lulVtPj--?fyTtvSZ zogCQVYGHaas>D|U6ZuJA{s_<2-pK(r45G|*(&3VbHobN8tg1jAc7^lakJm%8$M6vE zYH=>te5|7$x9oO$3P$*n=umsgR36YuX5H2)dSwiu#Sk8=KCH^Xtr*mSHS)Xkw_j}N zPG9tQYb!+lQdzatmD3hdB;A85S9U+vYKY*j)*JVty~UbB`OBIWXiO|nJ7}n{$zV}A zgs~omgJ0H8G#sR1p?!FY9D~P(Zpx?$s(9oGBm4>BZ$Ef*ABY7LD>U z@DhzA%h@Z&%iFSjnN-4m)EWo(PGZVhIp($wL(*rtbGumY*-Uk1n?DQ$kZeRc$(Btb z8JdsRnVOVjN8j$0IG`+0wHpERZq98RMyF|3I71mujer!-?i-InN4uhx*&s9^jTU_p z`7m8155_J=a#k-ASv6nhl>2bg45DH)<+(sD_RW`^N9MCV&5>ESAkEN%EtR;);3gsP z!gT4h(W&e_?cp|ZID`w;PILVZW`S}S;sl3}$n7om{Aig-U)_ra;=n8JqlH9`6r1*5 z15!<^mFmtI4{(V0Z<9V_lRUPQmdj2m595U~8drT92@3&@ngNC~|EP%qY^Q zywToeCM70CG#=arIfvI8|L!ReQ@WW_Y_ar=^Ix&$SR&F3TlHs>8t#7CI+!$83D?<_ z0cT%hA5;;kw$2}zgD{~(ITke`HfU$_r$*Q5dm#izie1Jk?o7T*jmciN8h#5DZrV%-5uQBJlb7`)Qy6yVA93}La zh)@N%6s?B+e-b8pE4C(yx9hRXF~Z<3(X+))M#s_TFNV&I^x257P@)G~tKfkscf}KV z_QuPB=u&bP$6@(NQt^T>(=9_i{vaOnNCWSny~vBH6&JbRYz+?tOY@7K%%WUA+#Tsh zZT&U^i2OOkeN@uMuBRw5q#}ZkgfNUM4Zt+9P&@3Lqj98=$|;qoALjt9(6f`{S{8_lEtDlwu&W1`4xvaXo%r2C-lg#gSqI2FSElCYC%go^EATn~m%riky zr8<+0x^ucUpunduvVCG89H~Z16kX+S@fl4@<6h%-NSp;8rE%YQy?vSmHp`9z1AzLA zc-mI02|Jf=bXHbYAs`6nj9tsigQYDKRxpKR0R>?*`@Y_IHP5);WG{n5vm@}(Yl>WG zU*GQlU(#z`L_xp%$^l+xKj))y8dfnCmV}dwLVcLB$^W%V=LpjrL_CdmY zEP&O1z%%k48B#{zNbyrCBuzZkut8kUhn_DPcV&1W1uYW8#A>wT=#3TTLx=d^VJzV)^M zuP|TGCdbRm>}CnOO|BJ5^)2ldO3#rTU@1gPt^Vz6d-Aw16b}w)VPZxtjBZS`IeSwx zyiyp5R%^{!9QH@%EL;j5$PRGqX!r4I&@#O+P9}#z71k0J#G=>EC>_&cByy)jdF_I2 zr@eZ*9%x^P&}v&AZaP%JK{N+$-(p|n=GA)FQ)-1?v~S=y+M{KP5>Sb+)Ed~WFCcE+ zv?PxE`lyMAUP%`j)#a|m4G7Ek{7JL}>(@TAs(`zB+|x|EgELf(v%4~ zA!QrS1Xw(4FYybCiaU4skR9v4n9GL;_}5Qz;;Pko>Wc00|55doVQnqZ+AZ$xP$ak) zcPJ3trMQ#e?nR3e+}(mxid%7q;6d5~g%)VgQc7_@U(UJr{`hwCWZPsXd(S*;X5RI# zcL7_kSXcA(_$qH0dPsDRL9y$e@Art`_RRL}&BX%u^k>M~i)UG$41uo(nCd}8dbX#$ z1yowHm{5m}0?;geeWRWuF=$pi35m1nKpiO+7WiU|EwKQH@{|f#y@FV^myBUp*7Aas zaGi3U<$}JDRGKD-@s-ZmIoiHty!#ClMw7y{!YX3*l?&Zzh%-$dq9bcDw}{ zV9MZHIh0vvxz;DK`?RBh#iOCsw4+_Cy=0w}2rWv5Q64NBtvTqj`(4I>{Nwx4M5zhk_(MMax6QT%8dFE)vj=9jlaV{*i2J*C%0 zp9k7W1x|f|oB<_|-GQLA{-%Rx%@v8a_a}69@zjY5#MpZdn(*mKFs-sMqvb9-W(7}I z>mMR@hX$tEjx-*PAH%+0F3536-vZ}&8Q94+xD-ts2<}J?$IN{Rco61tkwzR(*zC~Rerc7 z-q)06_@m0`yY4CDpFQ-G0`?Mw&TM}h*NGo;3)t%^h*^|QRixvkRjZ4Cz>`p^rB5Gg z0h^T^Gwp>ZT?;1yoEci}a({7{WuQOXTzr}5Nv^rTlTDX^$y0F8bl;pgUu^fi1{mIH ziTf7$LG7ADJU@(J%*q86R??^`w@H~a=I2r>@-VErE0W8`m=FsG?gR-^wOt4!InnHIgmaQ!32x$l!|i z5-Gu>kZsbWmq_|bYT;x#i)rHuNUW8sMIoS*-wwk2^CkLZEbo2OFP4eDz5)-Q2&q=_ z@Ys%s`rIXd-U_7uX|*#gr>yeP_V5fc9l6GwtQc0?^49>CE*W9?*TL;{0^(C!!4T@CER#a$~s)s220jfO)j7 zF&91=I%tcHngU^gW#8VN*?Ao#(jmT!AoZv3O=YW)=H|cYzIXya_N#;-`V)-UA%Pgw zn`^ai6?LXN&pQdcb`BKWL_Ax^LF*Ti4}42ku~TLpDdHxW>w?peU4Au%tf(tH=SqnHEIL%%0Q*m6DboORrGdZD0B~g zNy?`A<-XLvr>@Ve-9V#Ns87^kWg{fN3*4g;AO+huFD{!s>i~YsbA_LL$dAZRu1F)) z6Uw0(5p|k~VN-YKpUYP&eVE&ZcY?iu^ap51jl1 zTZbfIYNhSZpa>n|-1y7C4-vliK~Fp}%hSmCJy>~97()Vu&`T;Udcu21Y&TrN(&f~mhf&i_ES zZ~XqNWB$=QG9I5&ry$)Caj4wyUxt&}16U!>yAvIi?RoHaRde3GV^={=n2T27>@{6Q zG=Q0Yl7BT(OX>ZT?5wbim_$YuZ(-6ah@Y@##hKT<6Oz=L>(-8R-{o z&A{5>C$miZa{>&TNmvP0*PHOJcpiF8DAFHlQyEzyP4ySP$I;I<4GGUsyw^V2Y)Wz< zU7z#m$nm(^@s-8g$qp(0$_?e&VPZ56rd#v{H2t!HMoxid8))hZ~`ouun3efX^aQ z3+LngkT$@rR4-8juE@pr894Y0pU=PU78vlGMTh&Wb z(S287r2bo+x~_WlJV{aGv3u9Kr)f_tyZPT{x)=+oSh`{kQ~jYYwwm(q##2I`0xKNi z$E3%vN$s#Oqq%`~``piKVL<@Y`+>KQ z^wfd=9SH#OV&^oqp3;OiXM!rx146`kH>;Y$#$C3ajd)Ij_;62pG?Sd&aH(t^x}9Ke ze^Hq;&VRWoghg3!DCKO<`eyAhSW!5*M!+l2yxl(Hf|NQoZ|v4jcr@NdQV0ck7*3~$ z%@j85fbb&I2aE1gukTZD%k&m~wGNej^p$w{gjW6<4R;)=JPQcX=MlJ1pE2+9U0-cY zswbF<_ol8tRAK1J=1ewrYGVZPw1QS+OzO@V>l}~ z7pJiJzSVQ&aN>j)hl`gc(qc#ge9bUM2Uo>@@{zCQ?IK$Y(BEl_Wye+dgIZCJ^^~yuOagj(~X*c=1iGY z`(Kf-_6^9ZA2aO`D_WB-bW6zU?S*pnwEzGm_cB}j3Y(ujn2n4_4x6AF-`y&D<4UQ2 z{BI#X$MMF$Z2)S?tz*4SL;=;lVRQ5acfB7FTz$D^KV&61^smk5-=nvp6hd+Y{x}8y zK&DXoD<}%wTBj!TDVAO)j?pk*i;diO6g}8!KKQTT(92U~QKTotc-MI+FT~6uK_+20 zj&hGSeV5K!pCj3%O`=Rt+OKPK1X z>QKVkv7R7du0Q7b3bAt4YxivihEfPl=DuP0)sRX+1~ca$C=yhP9VlYVlnC4@PGHG7 z(*}|l4{tEJJczEW#ycLnjw9Q6(%l*Nsk}^muGL-!NU>eUf#;#h7*b01FZN_+^y>I_&+|2FLQ9zbIIXiOSus^VQ%h{32&Fb%_guf*y(mqqq(--sW zN0ECdn;_XW@HmEL*5y43z;J6AF6F%jCA>YNDtayQlIMrQj6M?UteB=C%H^&H)tEvx z-py#BibHmrgT}Q(3hJ7Z6zN3Zv(B@SMZ!Nlx2`wgm~bRhjeN6yHe9zKJ+9K=UD(_r z_wb$yR}o-6RyWaW!jh0rs-dmzzTgO7wFOG65>i;}z#qu{_jqpTlEw~M+SJp&#KS+t@K4O^Wut0djP=4 zL1y6OrzKWGnZB4@-4A`y=a~Dl?|U(7I}-*{4SMbh$$xvc`KAQ;P6Y=STS-ky)`@uQ zZ<5i){lEgd;VcY;2Y}~Ld}7&YLD1wmLkLsENy56*oNc|ngc?P4-I>9-Zya5dPeJA! z%(HQ8&9v!NpuccP#ypadWW4-mETR=TIr!&A)g(orkyY(FUA3n&r5$(a@vF^#8ks5X z-3+o*-<+#~Q&7AFbDwy^8Iu&60c3xImEhNs?WZYU>h!-Gp(@OCJb>9#i4%E9U8|;n z!NM)Ly?{U~U@(uTK(W6n`MQksFXPkAzpd6Oo^!5)S0>>p;i)N!P!E88UrA0Q-I}h0 zGM%de4tDk9Wfr!jnWuLI^SZLPO<`k0slXus%tK)P4YlO-B0~e7lAD}HnvaO+ zTpNB$=&DQ2me6{2mS}9pyQL(NPP2t7Ly+a^*ChbZ8&N?s#>tcs0 zknIYqmq<1);nB$F6x`6;m2-uvKEp%RRfD;=O+|_m|GrX;M1uZ!9`|j4u~g|# zz~sJ41lNvZrI3YcYo?XlS#zqVP<{P3-*a-= zLFmgN(kxyB7Q@9>JM2rAgeCem3s;kzI()WPUKdt?Ck$brM_LS9yWG7 zdz+fR@@t?pdF11>?t*ksi~ZY3T4dDCp;=RG#i0se51lO1b(YEyaiT;xbPb6A@jyJP zgtbG*9FvGVCN4R2qEU}|pW*miCI4LYW(eu%;H$7ym07~!Mg103>!N?Ii|MD!ykOoiX6HjZ}WgBuAW-Tupg`7bu zP{Ly`NsqRnYj2YX-4<3Y7H?}&+iAWG=~GLk4{Dq$mR;WolEY*Dm@LtKfJB#!@lZ#? zBc)=wYNF~({hj&*tI=yFODt7YRUi`3Oz96*}(NoX4z@Ja*YJeP$2|>;PdVU@<%wyN!(X8;Jpl4@veplLaBAc&Rm+$eSQMw6(@;JJBYgM6_3li8(%uDRxznnU-|k`Y4FcwhO_+j! zQpNM)$|+Lb80QW{>xloZ$x7fK$baUug@~zAk+_X6^IO6dGPLO;Fo{iFVaw43E!Y=V zv2)w~QT^h`dF)##G)oMfOVJlx7m1mldlU}0>kfWwm`DCMR-B4DpOb%HU^4yiZHWR+H-YBG2Nd>d z;RoUe8LaC9Cz%quXTQmNoA<0dqu+XS`s+PzyE1C39 z$tu}W6eIy{IIoFk<^+i6Y!h0(sg(EE@-9Aa$fy@c7c|fG|G@aLtADKE6M@OQ!F)k? zunXj~NYk%_rhyO+A#N)|obCDh44f3nUqR((Ybrd7Ury~lBAw#;dEs>I`@J7)XBx=< z4qHtjv552sBIFDAKLlWCncp^|3W-xZF#P^M#A4aHD({H(Ubz?F4-gL}W6{lcqwPuE2GDd>*VjvZXLqjOtfxj3aBe}Crp?gB6(!3!cM%}PafwOTV6j==( z;PJh{&$y(gZxX;{EK3`a$6z1w)!u3`qAJ(MJIi?=CLzWX9m(8xjyzbRMy1uoviU@f zwN*{E|30IA3vJ^tJq&o&Ize!vZcn~YC#saL5dYdA>Vj3|j;xL%MezVI!h*6)6$;NYen(xO52beRY&>kEAq+{ltaO8b;hYn%93i;QsXqk+U}G zCnz|et$9)jpq_~#@TjSleR~jrtQv#ZjEo!O6<_mT{`h4w`Jr`cr_o?yxu>EZmM?vGv`F}@KIRcS!s>XX8mDs=(joj z6OvWmhcxh+>lrVOwdKqi_eGex#b0_-x-M@A-)-Y>^_VnO>1b;h0!%6 z3|Ld0Hgc$c`~z8;eFiK0r*?TjsMXI|1LAoCpw+kue13NOaG=RCtp?u)mc^%}V>A+j;%&if9hkSn^+s=1P(^hHbL zgWOLztl)-uYY<{eNCD^@!JUF1=&C`6z zVRZcOK?9%ek=1Alo3A5>2Eo;VVL0T0zM=mW?(7H%!^#q-Fw3u$i-uQ!?{*CPpVR!v zAMy-Z1fV~>iv9iNPY+p%9{o}t^80}#)tWHR|GTpOAv=KmGAP&Jty!Y_VXsYc#Hu3e zpR-`H%@Hy#!@0!!idf6fcOSaHJW+qA@Fa8Cg3n$9vit^s(Lb6Ddax*2YEIMh^g};F@{wh79VPY^p>yYz4QMtzHAzvf|AKq~B z>d>^12^@fz?0c74c{b-+Uz`W2UI1}_h9SFG%~;O#lg{E!MlYE#r9{eA^MqB!>^1>2 zTok->kVHBw=_zdeA>D2Ir%nO)uK!pwr220ByibTsdMZ7Is_h*WcWwm*x5fvsq5%sJ zmX}%$4?|J5Z&X;`#c7c&7ac+&Rwk2v?iZ4x-L*%(=p#L3UmV1&r6nSwSOotn*po6t z=u373Xuxdkg5c5rr~G7ukUiZ$GS;JDHRz| zOV+pcQyEA#O7o;WChdrx%~zjwn343I2mtSOR8 zp`48Z!x^w%^oHG}N`2gP^*U^2-z=!Omicc)8o45MU#Vgy-HZi-zXush6(v~8W0qzh z(T>~38IbPBFOE;yeviEZCc zx+0fNNvP*1(|*&WN&(R>&yP(L-Nud1D`9#UWyjjNoWFFiy`=HaR7jSLFZuHD7myi} zLO6|A(?-75ubIAIb=I@zvm$RRpN8Fe9N=@885^o1DE)z-)X*n`*SKEMVAgkvlv5gK zPa!VOK*(+ErE38}9QL7Mc`XOtTtV@7nnGBFAd*%JC}pdInlIH zcIz^(M1In_cxdtIg5&1C0K2rNmcCG*LR|e;4&SJO2t9Al7Fm|6{axlDpeAknGxaQW z8ugyoI#A-Y|twcz&*8 zzcC+bL8v{=msa(rYepl<@X?#=R?mFY_aA}xq+?52$oHWRDyOdXJEFm4oy#`Ld$miZ zckojB7^D-TR4yl7g7Xl`M_)=u4$YB*13B2g$1>MJgizu7i%@PT&f%M0qNXV1Xj>2G zkoCe5DTKg-6hc5js?z?OaqvGG1Z2)ZVkQA1c|BWi#@CS<(6iPzBTGAfZFK)n_28u( z)7jRIF}gkWbHsQQ1}BN!j>2=CY}K<)-@{R;*1UAc&tdx3PNAC;XFhL#@amE1{o+X& z`!&VR^|7-i)k^hT z<&s~6Iba8mRtM%5etK~C=T2@cV){AcIh5;V9E0&4nf<3eQHH_zrW@Hd;8_0kj~{R3 z4%r{r9~|#{)xU-s#{~{chHv5iHe>xNH%uz_%DSbebn1Hydq?5lv#z9SNrP&N4L`>> z>?e};m0zT)t{uF#<8_D*kc>W|TL#m^tEaQ6zl-Mzs#*3Mi7Z+^%~M8nygzF4y4s3z z_tIb`s^BDUVrK3p{J-+#>K(HAY<0f@jj7ourhL-H*&JP>a^ABrZ`DFf5I)553CkbtQMs)Y^91DH8ksR`|d_J%|^$*{DzBREr zv)-ae`u3J6s@PJlri zgj51P3*9QKuWY}(ve_KdUD0NE4>Z^N{NNnCQcsz7^UsSgwJ+%uJfFV$KVTD_(+vCo zxQlpt!>?st(r0}HU=ev_cOeywtbM&6c^2J|@ifc6wUnmKXlll^50lct$Jv;OBq(uZ zD-?h~L3Ukx{!H^1CJaOsZcwy`T08l5>eoJP3F!dlSFafrHT{3?Amg>+CsGWp8&C1P z-^X&YIZje-)YY1O)L~m(ulDrNoW>ofy<|>`6?CU{BDnGE)l<{YLvoD| z<#;oWhfRn@hw5ZjS-yU^H$O@*Pd`l<(6K3#AEk^0-FeepP7x5pRWuK=i|D(sL_PkD zU0EcZJ!1x25S;{+RK|^7UkWOG`r`%nOU<8vAm+yI@So1Nn)9+An7W!0PGwJ?Bb{!C zx$3bGp_X)nTGxD}U31ElK)1|94i+V>Xw=LMZOD6lMFINprE9DS+6845TZ~ueQ!EN9 z-!JnKvYM1As&X=1^L2Q_^HyJFq0Lq(v9cz*{8N9zGrZUx5E2iwG*g>;D zw;zT6N_VKK4Au`!9TH<2+Me)9ro=#aFKG+PD}C$;G+&PEM$y&LgIjU2$q8Ml#op)Q zHldScDi_IXq za&Z#fXf3^@z;9M6DBso%ij{9_jCyXq5ngI%!hjiFG!#i+z+ek~M~2WKzM^kO~XK+6uY-ovkh(}wwsf9h#p$DH6ECe|AkDE_;NCMc~+dY=syl$|K2gZihbj_qb4D< z^RyTVk+cu7CY&wk;2)p8Vr;lzh`zge_%2;bFK7BHP#w*1`o^DmK9 z(~J5v@ACL7R7q41&&buybl(Iqr7D(mg!g3POD1*%YaDlfF6$V!heR8QWX)KcCH-T@ z#}n2zbEXm1A~w~&HTI*W9@vsf&s|)*kBA+$1m#IO^Q`z4U&0g0L-SPDxvUE=d+9|b zQZ(W-A%3W^wx>g=+)j|s>$F+?xq!1lRCp3S2FtZ$&uwZ&?VT)-S>?WFoR!GkB-z7* z>s9}|*6nZSoT;eI^|#!;Cw90_K{R%`5eb2dV7L;yopC>UDPCewUAfdEL$_< zyR6NME=c`SCZM!py|ULEN+Mi`GdVAT_F`-VYtBdy6{8Ual$w-ha^K2;w?we$WpX~H zye3D0*976B%4|d$>mwYJMoQH3kYs=S!bpm>7iUI-G!ywu&z>oTEHSMy@qwe3t zlPCAd-ZWd9bLEA^Cubpy)`r?-&V*l`PbVqv|k5lVy@Fkt)MjAMEr~XRN z?2OyR->#I#xUY-jgXv_KiuP;_;k9?E6xJezI!WYN!6@q`OO1o&D5q8rD_o`mzoy7N%Ea zAj?rhKGv7QslU?j=xRN#Y2|rdKcV?Wd4Hg#V7HOi&K7EC0nMIRyKt zKB=}uNz77z$TTOD`g69T?NNUQ?K*_duz|PQql?!TY z1KHWWC;;+4n0^_p_9P0;WDQA%^rw9K#wL|B_OK6oxO!{^M$!%m~~?j34PK@d_lo%j|i^#S-Zoi$78B_%vB zy+iA*(;egV@2as+-p-ey#Jq}c^A5G--do~sJz(=p(Gq-0iRbJGx?ckark~N{9o;Cu z*)uSO@3B89as@oaEG4Kx9x<_9W%48Y!uOUrbWlQDOi>obG_&|$nnAg!ZmH_E@DAHv zqr2(9g)P;3f5_a-++>3yeT12>OOhxKYtY)hqv?%?Bqt~rW0Q7hfW1-(yq8H@0irQvzPDER{AcJztQ`Dule3YO;(pDWr|HYdvZK%#Y)(EzH7lTN%=X5($6FSD64!Xu)f$B^da z5q+@8#?3`npm7K|1i&0#;yLtn08+Zwu4r6ptIt`dr!^NNmVM#NrT9)_c-S<4#P`pW zJ{Lay!O4V)f6qeouIZfmbG2^VK69Ct4RiBpx8mwsCa_%h2D7b#5VH?x_{5wJa!b2a zNml5!#%bP~Oi1>hF{P{tXm=-5R*UvZ(a(pX-kN18Qw4MtQLiGMhI!0^Mle&1Lqo$U zl?c3id3wn&S!7pa=SIw3#!9q#WyhP=hs(07>SD~iO#aehe&i&fcyzh~$XNwu_a%7; zPz1*sg|@>5>Cg7C41FIe11^auVLhC2(%54jbroBJ%)A18u)EmGcv(ut^cPQ$Qfh)6 zf4oXoariS1e;mD-!-Bl;DxHD=M(?#d5B$|)uboznO!Z4R z)PJZajWp0SZqef>8d^$+f9-{GqLHt|Hr^_c_Hdp=ikx%MNAtN!7h{2S1IT~m3eUS^ zd~j;t$A$N7 zBdJd*dZ!68NK$w8lCuvXSk%JPsS-3^lP!hJ3>Yt0rV~{ajOORvcw!U;Q0(ourH#Nd z;)xkO%KUl|z_r)M3d^R^y(*)=UsKp@Z`r8EVrp~Unbb+Qy@VpK1v{YA6QGD0aq%J6 z&Z%jP*ndiD{38f3~jrdF(`<_b*QCFZw&tB#k` z1a`I6Bcp2G#8Ml2Z<|I5^SfJ@O z3@ROyjZw@v^^7)4R;kLlku61QO;v;a`eJHVABtv-Q=tZRll5fNYW2FL?SL1kok^1O zswHwKl8p(gsZO`*=w%ZrS75#dIljz{wlW)zn@?U;>q#A5A7vO^StvCtm4)LE4OYeFOT-fVnD?a1U2nn{7ehwFin-Dzj z*A}Skn5&Q^_l~KAf}Rc!n%mwEYEB$U*Yu=$Tv6mC5Fuc@UmNT zLE}T-r^XI8!|mpeEbU!<8;ofJ$tFUALO7P*(}kPJRDFi$ny*S-L>Bis8o4`*A4$6X zfoGa*QfA{R=n8&;p@C=WdKRe;N*}b!gGK8q?A2at*nviwm3hS}KRD0|9N4@&gygbZPOl1$MlNOfKPe>SYQcDwf)MRQ?ZX}k=Z@` zc+?@;rs9?}q}#t(_}J)@3*wro^of~K;oQ{~8EhFCM>Ca43$Z>!&7E8hJ1aqiFm+khC%hZTJWEv1vdb0LsWh#S2b;28HNYL%$AV4TE zoS7<8GIxN42a*argqX!vfPg(|#BWcV2#E6bM$&&17@hIPe4O56*Hq1fM%gJ zrB9oyMc+^vUG5G{p$g$1a`Gcs)V`!;qN@f7I@Xn?A8E{TVSgzDL`vQe6$0+@)~L3K zESPp=HKiRm-jciB0)iP0Zz*5283K!E+f=o2_h>0a)8BQ&+KPQMD?PojN)|9G`%`MC z(UwKE;>MNa@g+u75WhNXTHD{03KHX$yL7vvF3?o`&=Sa5vi8dBH?q zbk_=hbm0kcY)O%+?asWqRb$B*U~+wJuYKtT;3zqW(IEG1IAL*-(SE}>+~h;_4PVK} zZP4!LL-N32Ws|J@$U_Cn`8tYLNt&9H9k3)$D`kdTgvt;<)j1rvS9J!v14}` zY|lgN_?t{(kbwsjVzGNtw{^3PW94tntGkYsG%m@pKpO9BkNC7YuyQSdd0Zf9@z7k` zq_7#FK6hCLxI&|rC4wF{!9LPQ&0W|IXF1jUDL(m{^^1cz;4Q^56)b-b#R^5(Zudru zP&SW475&9~HH!ql76j3Heqv&spbxh^(2z-r3;tQD+?XSq);Ld0|LSHTKlJ9)HS6mxY|;dcHs&ejhmW2K3+^nJ zpB%oSuoMxHXXGobOdHZxMzWkIg`rT*D@X(qWqx9VPoa^;_weX0+oocSMp}NN4`B{q zi>tRqx$x7S7>IsTOf`Q7dcEo~&irXgq>atQ*S7aWjmqTXxv=ohRr6dDieKD+#4`2* z1F!S_KKhfQ($d*R3?E;pD*nPcO2Q(7oiMSZhU)1@LWuIXjy?^rQQ4u#T=SaM@Ur9IIO-VJ z#l;^&{eZf#uWnEUqf$8cW%X;1?o1;wp)R*qO+fw8fSv46$Eu%hnwX`RbFg5x@;tp% zkr@t_P(toMSF6dxS)qx3EQ6nt4GtpNU8Zz*zz~~r^VEQExi{zZ5J4YN!aV{@eQl6s zdX2tmVw-#%BBjb*(<{lM&7_am)~j6CTR}-L4ye+So+ZX)JKaL-mH#n@WpZ{k6BWvv zh}p)ri!gwwrTUQl#r#N~kY(}aTr0sOR$Kkem$o;$uM;$%eiNzCYxKi(yoIL_@@gxH zY;yG(j9^r+uQJLFe+Z}3Kq$)ATkkqluZh>o5!pzZ75F>@WmMx&16L2D3Se8_1056k z7c*zrFh3rjppb$UMFXe{Fq05abWk3j;!xsNwE_;GsXDjWVXp(yLSu*Ad2@j_pq5=^ zccU}!W!5s>*-4vgeXg*!`98LAoeS7e74UY$FJ?@iFj~%cf0{`CJ_KJ{Km8DoREL$} z)!kRG#h^9sjHV`Iy`J|PL=T%*e)0iW5{Q1hHT=_Y-K%9-vHvN_3MERBJT4eiOtP=n zg;OzIJA9_kE7Gdott6XCzXbh&CMuZF7GFSOQLH;nm-UIt5HH}&8W8z6W3%zF=VTYs z;iVWtSHP4$Q9B$3esi2Kqje0n-)HjWvsa`sNr1TX0cVA`W-PHbZ(9dicpr;PUzDDC z2yi1N*gs5w8t8rxyH%w+4O>|PU0JT7eAjC7pve)>m-7g#O7;!<_XTVc83PizipuIZ zJ3RtKF>A**;7(vl+RL3>l8Px*yiJA|ApxIvLxnXAE6bQy*D?!UUMPMCZl*dZUo!TX zaRfL~vW(`biUlKz4R=D4jW~M3Pn@QkFgLiVre(f<2vefE!x_WY(jd`89Bk9u8DNRx z6ccvYQBR$@%V6j}7O{^en$=6*5q|)gvA~Am5HS)1-gr!qJdb_dW~K-#wC#&>lFhIO zci{GDC{~qH2bd!#zkEh)xa-Zwxh@A_M&Nkxy6zQKInb0$H&BVCRElOEC6d@y^eL14#W>Fs$GNezcy3< zctykFa>t_8PXg*QiQk&RwNkYHN)TB@`>VCrAdakTVgs21d;wrqAgz33~ zB$b$y(|x_J$@aZecbGI%{0_M$RxGgm>s)-b(gPD%DcBBTC*$3)DSx*qXn4OVHLBgY z#|@O@fv*?QhIZTcw5YQ#W|m^8d;s@w{WU}Ltm5+Z0c6z$1QBf;+B{MwTb;(_biXp> z5)Dfr<@Oyy$n@b9;{mWD)s{XjC967VVF_hLYuno^C{!qAeAJ$bFY&l~&Iwr2>aP{mH@4t`Tmh|Lp(6N(qxl}&b`gi4?J?TqXKNc*dP+0Rhi5G?44 z5DwY4|1=xX$xIq}OK_Pq+aF!&gP}@#0wKB)BE}J>7|w;p9GTF(H^2Z^E3)RHp$=z~ zohOS_o+q-gg}r1f2~BMa(oDgsQpgOQE~~5elGbGEdOeNX15 z6Q*Khk8?5g=j|0G0w1xOrayp=)hbe}qacU8{1d=;O?rQO>ECs1613eH5s>)45ma{~ z-Ncrt9YMmg65QMPv!)KSk>Be9%C^)jYNDhStW<21bFnIJ@>OdN=ots{wVLh6>K(B$ z+7LAf&u`hJWP>&D7OI@aLLlFsrlxPWSfxb;syT7#)#LLnvq1R-dpUsN&JCT{vL?@e zqo)y-za)Q^d6TQ*Ww@y}ytCV2Y6iLhs~<3Pe}8=~w(RV|*Tt=P$$5vGE{LD&#>Qdq zN8PMQa%@S&pkTG+bZC~~tU7|1DT+{kt*xZY{fT}=lQ@<`7p$?Z!epS>>m&EZ%ttum zD{7KzCZ>*8MpL@_BgQm}BPaS|MloNEy&dB?7!u_b&uxSFz;quarc9NG=xufeoQI7M zVsMwNv(hV(6T6%t6kE=%B*eCr2#J`eUP(mTL*pV}7%l1uY5?_OVUeAvQwo6Zx33-6 zeHMO3<=w%4oubDfb%IZK@JB3?qp@Jg11r&X36k_Qu`KjCZmMoT*xEQ#xvg{luAf+? zUd_fG^(^O?Go~*o7tV}sl)e;p>)*#fhNDQ=VKy{JXot*~c1?XF?%|wm+eT}lAcLn% zjV;EkXjcg|`SSMzT47^;v~ZcoR?WDKTCB)$tN0jNQzCHhXsiU5T49CQtHxnm`%Ai) zkDY_)7mHoRS6Z7NAu)Lc6F20u(R1~QOkvqTBx~9)ouZKCniHk3l~l2TzKU9m4S+Z? zUQJDv=TIIJYb8aQSAnTkA{)45|4^l3F_LGj{q|T~m^@Kb zxBWV9`2u_Kr@%YXaLV5-pO{`=HmQDMJ&4z*5hXH2tJe-mC-3FTHIy>|xTvL+d#FW% zU|06KA{8sc3M3gsLfl$~3@9<3wZ|y#2d`MlP`EK+6DL6Sj7!>$I9j(%xeWfi-b@-j zrrDmO`q0l8g7W5ueMM>ptahYW++!;rkh7~Ydc=riNyIuPi*cg`XU!C=dsu=wOa1Zye`DIh3^cEm<`ahW)A)Z+&5 zCaRQc*reChspaFm>d;i*;Inm+tF#0Ai7v)z1>5GXmGGCjJv(fkzTuIU%l|X^T z5ovP{)0wS|?bm@Re%(BJee#wnx2>q++=5_Ab@hi-2KXmBGXZ>KV_tI_zqWUg9rc}a%1f>qae!xXt5yLEgj{9S*mwhj6Zu9EL z%&lP3u~cLFS5n1BH;xbeDG1;OgU|?TkxfZ_1}SYt$C|y`^a(a`3PdQGgVfnAy;rx_ zM3#wS`6sc!tMo<%8mZ|bh58+;BGuhg;QTP~M>Ip?54JZ^&XQyuhs{)^bxv%S1)RGK zrVhrkMiE?hdD@&_TqT2RET?yjr&_Ow+lY*KXEVrH3-&G#ky1FeTD$R-IzlJrUS!ML zEQ88gwuhv>8msoLU!53JW&6yQ$8QQg(7kOSUK-8LypaOjbmmOi40ovU&;5RyZ0Y5#oPN$Qf|-$ z<*$(nT6lKP)9>Ng@S=AFsQT|Q6tv>fuM#t;{(ACcl=8a9q!qK;K1~ONyL4$Y>(;=m zWw8`yg7!Sg;xJjIF66k$N?HRC5gp1;uqChJj*#nMsEOvzy$X+I< z4;JxB(z}3Y3=JDGBaQX>ibBP`dX(OxG0sF#wANHLmNvt_m}bXCUJYc0g{^s}(crPxmuT(&IMFs+ zel;0PVZNRZiV832Z)%O9UoA>fR<vHljd;$){n_@JGB|7yYf+k#P zvEu~!n0W^`2@thlM4&OYZ@K}DZ_ymk7IHqDm#WRa{!D_M&*?lsq z9GECfjw$@=(;Ks3KI3{EZrW&{`?rIDd=Jy^Efg+(C|>4hnh1!9zvBDl0kiBs{m&)c zD1LmYI-6yO;Cu2m-hODK^H)8*!uD3)=~WI=_X3lNg=fuF-Ah?9cd~=+I6o9%kEmMr z4D|@V{iuI*=#U3f#TBy>own$>@yE@oM{UP z#~0#&X&`GdJf=xK&In-iQ)wbnf{Di~X?Cq(tyoZ_5(9;d;yW3FwI1Xw(woPGtFc0BoHx`KVs7{WvB2Y4`+T&#e{ta zC$&`a9ay#Mm+mT1NyINh?0l+U1g4B7Q0_&&lHqwI9eo-3Ef>dlgkw6Ezx$Fy5CK2*LG8l0f5H zRg{5}fOUbk^S3~C?;ZjF6dkDyHaJ8zH4mUiOt{HRR*6<-L86x}v*6oj%Bv3|A7kb~ z%*e_WW3yfX7`LbL5UtA|?v(mXvm((iHC+`2l@+Lq5%I&$wQe%~xvTlPROT}pD?Uzi z(#1#XweQqCv6EF3_KGxo@IE^IZ1ZxjS`n^;h^{^oYG!PI1L8?VMGv=Duq%%%;oE3B zQLRO$Nb=YyCm$)LS^_-@lubR5OF7->KO^xP7~akf}2b_h??c4g+V6RsTWdiFDnGj!wfe~kxj_iDMX}( ziYDdMvjQc)DB#D%$zckL&PF*K@jigWPQPqJJ?vK5F}d=1IfN*sA{!3LCRokFYzd>> zYgR;|?uFSTUnUV#Cpfr1ESY1q{K+ z^&cbB>~I<%1i;e7Nh-u|=1X)^6&u{zjJN#@h^CSjab1%;4>zymNC!gIcoFg1NZ)^S zH!inI*I_;&g#zkW<~A&zjV|6k2a8igc`C+|jLGiDr%jAHTo5)8rvzFJ4&)?#1f@cT zu*zs>Fw0qE%q4LE_fdotiQrHKn!bSmGdQv&x0`=3@r;rMveGPiGiE3fkFA;kr&e%M$xR4K2ajEP+~cj3E7b|rw)i7vR^v9Ja**qZ#P+Vxh5&Ois|0dLsMV3k+b& zLK32M4&KD8O+mUbCvHYyaY00Q7?8mzYf{+ONr}2<_0V&fZ3T&I0@G4u7N$pLu1bPY zk(+r4`!?FMQNQ#=7L_)npNx?~)#j@M8YbSt1dEPGt(l5n0c+q6dPmG{$+%`S(2)$1 zWIPRQJnJj5FI1a28j?dYITB7dAuvYKb3Rts!ZOXkvptHUb{>_XK`}6wvMFpoSseO> zQWs2h5SG~xt25?fk0^E2s<{+G=*A;?J;EB5xq04Vp_PH9OK2C#dkGAUTkty(TqB5f zqj}_&mh$PXB$4nV3I6~iPm&Ej1&stYbNUO0E(uJc92t9@Mqcpjql7r+$1-osR9Yi+ z_lTzCEzyRtr*bItM$C~d8IMLS7?zBI2bIt_phHOb8;L@?Lx|AZiBFj>d~hWPQ#lZp z!R~`60Fs*&1WOE(9q7d}WkoXRNr4nhfeSqfiX4%Z+jO}QxA#Xh0qj^i&^K(`$Vc9B ztHIjWCYd%-%ie~$q1TD{(TA2qF|^sie&=j{;vLt7u1V*3+_=*6w^A`PTOlxMjmaj8 z8e%oB2_r*PO41Sa3w^}qw-8zyS1b*PYmqjSY&cN9Td}waD6lodA3FrmBP`bh3BwrC zD2%?u^PGtRGM3DWPLc93DJFq5on@A3CAiaTg3zZz2F}S6fdR$XeZpoZU?r5(c@q#* zQK1jXLdqYaeEvlcH0l_LaV&W)J_TQKwy3dlO_cQ*!4QwmF(mg?C{)^gMoq$vp*V+m zpH(PQyOTQV<4d_=ShQJQ0-iPCg8=IwT1rVYhvIFFlS9rgI}JqE!d8sn-$XqI?ntMo(fR$%q8lu(VjVG{k64=!FqNCCWI@zqO)(hc7SO0>!x|98RHvx2ad0VDAlG3Lx=y&$5UpeN zAlP0*>U7o$EG5QZvE2jPI*dQLI_OfP1j)L|=tQ={@@hmGn~HrXk3ti2)r*}=_JiKS zNy8*zG9Xw8y@+2}sDv7U(5;424v_?HTn#DW`6l4c4aOmq(}2-@}c5a#-UtJ*1>+;WQp3TSGJEJ!}+G!KrD*65{)HrT0(NKAz( z7`c?0hIrXbf5@7va74ZaI3&HIV%Z|o*sZCNeaA4^+#rJ7tY5(xw)v5f9Pmx27VXe-q~hLY#JmTW-4#)W zz}?nx5VjYY(shcT$jC6x7~uls4?9CuzgdgVV*&)uMI%?iR1^)$!{#N(-x5=O2S^uE zWLu27xDew?y*9IOcU%U@_)8lxOsnLN-FFYEKO4meqDOab3nn#tj<&?``6&+3vT()i z%Mr+lwst4a#xD;64Rm%B_}ZdRxR)=z=}B57*-)p8bDR$h+Tc@tdK{cp3X$Bsgq#;o z$CL5A4guw>fi?}dp#K2BET!03xfY8tCl7%vT3sRf4w914_S{@a_mDkgrPpwaY9 z7!o1KmNFagKMH!JM3Kz*cFdyztnx27JZkE` z{{U!H{{Rv7pyu0(kf@l$`4j3(1@0rsw8tVAq+6D<)KI01L@E6Qyz<7RLsrLx(8f@j zv3M4VC^=b7+}AyI*g2z2!t;=rP9xD{vA z!7XlVaP;&R>Sekq;7HRrM6wY&It`J6G+1RmrZtsih~>c3bg!z&Wi@zNHh|a_33z5f z$sSaaNj?pe)^$!(8ZG3dEs0ywe1~X9r!SF4oP_$g8Z?aOIYb2wD(pb8sv5mVi-yd&IJ~C$XoE@)38vb)O*lwKrZE2iB1T1IhFG{Q_Z0G$#4a{?0n6Nh z_!7~G?F?Br;W|X`QA0wpN zApS}H@;tuGjNfrT-w8<(w)3Y~fXE}jr%^)KPPCqKRkjHwC8Nwx&gov_rY&%ok=|ZS zdtrz|i@)`;a=T80dmy&G!Q^|w{^W4S&N+*VEN}}g!(mBBZsm<7`ZF;yPIlmS>d7u~ zwoes;eX`f?^fqKYK?c~6m6-sDBp^eP4ao#GOqixQN{adgWEr-}2@Rte#z^51c8G|v zvqN4(DNaP#Cle%Ffk` z8!2yuUUZ|+f((9>Hqb>ORz>;=evFg4PEoy2FioFqi2_2u?MO53$qtp7rj6*s8T7OJpx?jX>$foyN6Bbi>Ih>00Pmgz4olJV9!$BR zBpGF$hz95uKyvbtEI}A3OgDlpQmnl*Hz8_x8uc?gQHjht!igUL0A>*BpSa2^7?8x; zGZV7xVw)3kP*5c{BrJrZ2uYHVTE{fUI(ult1DDG~a3e%VHakki$aK6O`Z`--3?+IB zbfC5@ibR4)iCPzN1ko2ZG?fTa?U6ydu$}jzy_ysRaQP=!Qc(kAk4zGtW(27uP{cM& zl}~6ooejBYcc#jOme-2W`G_gfEHHeoi_#n!$-k&WEbp-E^yq&?-+~Km4}gOyE55=M ztP-IWQ5%t!^Fp>VC`m@o)RoM$;Y&my4)owmtUC@;4ZXy^Rd|a=GT&!?X?BT`#gly3 zg1xxv_jPA1 z5JidP{^Cxp48#;S9fvIh&xFT=`PwuiYGYzK=n&;Y27EQQ@F5;hvlD@f0d5%1ruQ(A zsOnlW(B-vG7_KBIaq%%Gw!o<`l4(Ou7DGsuH-XSHb-;s0$`&Oe;xKm^wuppYO`w?$ z$SrB)gabS!0!+zGdlth@STv18odzRtg>8zNO2!XN_8{ldfutd3cO;z~9FS}^VJR9n zIcP(8ZXnSL`Z4Y5#MHlU3AhzhFAytL=F6VOxR8ptSvCpHm$G=Gkqp&S{(n&gn3A-s zq3(`$tDx&CyYi7H8(o_W%C!1qDu}2{Z-PT-<+AtLN)CqZ;}n}%s+CG|m50^yzH-Xlw~SVLw6 z+!`jsBM2xtgCksyLk=dgO|=X|sW(Hl1zI^OS~8l>PN-v&5GFWu<6c$<>SHIyEu>tU z*i?2*YN#@ID$wTO@GNAhrnf`lo$y7Rik^}5CfgySVtj~$A@Wh$Eevf~Sg4IS=B_Xx zE)19~khba$9cDet2%Ff(JLosKHuc5#S@k<>YDU=41H2tjsq*F}K1K|p>*SRFbs@PM z*mx}-+@CZW8%J^NOAY#q^wO5tiN>)cT$X)D6eV*!kTqXY&*Ub}s{9!z zm{KBFMEzt+K^m=3)slnuocSTo(1+^`e9d{F_8(>3us7UPzqxvkoakbQ+&7^~#z^dQ zxVpX2_kZdsx#nY#_x8LdpU9Tiq;z`bU^(`jR!`^$`Rs+qR!^|Wa}TMX_eW44V`wFB zBe|AvDgTv5@$X*}j zpE;$U;9R~ox*c2!rEEW-ii%ZD$hstwDYv~KplRFP8C1`Aq9^e~tmjv0-R} zwjNE0;4tip6-n$d3HK#(PBo*K2H;F0@)aav!NiY4aSvq84@JLC2_1wZP|)n&EP^W$ z5}8eqF$famxNZsrHi6Rm#dcWs(BC975;QJQyH<0jD-PJzLu?T_f?i*f^q4q8B z@+sL$YpzNc*kpbjZDudaPfS9N5^Y}W_DF6vXkjxdDSe6GC?|Zq6+t#C6F9UXSsxuU z)v`p9M!_FrE9i&HV`e8zdyf^ciEYH6EtKqeF_RZ$n30YdytI&zhi#!D?)?~~T)c=} z3ilK7GcuWE8+88wA{)bU=XNY;VvTgrluK|zgn|)5IP@VT86h%YXkj-K3I~S`4^mVo zaUw|`NhckML^zmW;)og`h9;Q!P>K+&MOqj!eX;R{M_gmV8_J0uSe$TLOX=WJmmf0_ zQ>iti*-uwp$-_m#^5s6&>l)=8odQ?(Aw&%8BUt; z%Va??tVF$yhbmm$?m?0e+fku8wkA-$juM(5H)CYMKK}qo=s%`~O9TDl_ZFYvL=Idy zkvPJH-HK2rU*tlU0OvkUi9YN=QXB6&e5hL7D^^ypT7!f)CoN;xW0%QAtv4u0OiPh+!^*Rw+J3F-nHxq!M~3y^K za%;Fzz~^pko03UG|EIN zXus<46VKegcvaBYYqqolvqX`jr?8F6MYNbJwx<%HyKiU;@T4cZA+sadv}EwOg_RR> z=@Mz919fCJmq`-X#WXqR(1kzP8;)n9L$sxmZ#MeM!xvQ-WAGz&r!>9=MWRMC>V4lnBob9DrO2Gd=N$=*>GX~L{F#e$ zorw@`;>3vz{{UhMbOzi*WKO1)xsH}cgqB3FVkw}eUD>kIR+KtKHNLAY zEQEzYrD=7jfu#;E#JUj{WsHFldRT)xHZ3DWkRaARS{n>8GdB>a&1MCUS@S<9uG2TU12(k}Frt;a)8?QRy=Q;t7-)`-Wc!`y-FP9xlX9udvD9I5dPuq&- zq?{6ERHnW~n;xM%4eTj6N@^pH2QuI`12+mLXX^;Ockf4{B)=rg7@$fUKAN>xs+r3;p<$>b{F;+}vXZO?*4nZ(EVYtZ8A z(7kyU{?aOLRy>$0Jjl*TA&X)OOj1%@9=a8nd1pXY@;*V@B%Iud+7RnAX4`0u=F3Wh zP|KvoD0@JdO<|CoAzBJDX9G|ykX4|rg%blQTC$JS!ZEfZqjSELCEVDsAq#QU%NjtG z#DWblhHNi75GItSC7+Z+7bz45R)B2S$597RZbaD&LyZy;O%_~jucvZ+t!Q(MggC_r z)sFy1%{aYdWh~xhk({E&=MTH!Q#WzP<}$Nf8t}8>3N>x`#0iX&+P7Q1#vvi&`4!JY zM6bh*lAOFVkut)kCk&L=CP{uo2By0q*CUlNt-Y{JO@%MQ2ZI+@NS(h@KvVst(ArNg zF)lV(wh=}&j1c~>;7aCYNw4gC$_nhqcz4C|C2Wx_cbY`R4mx6c10;!tEv6zHY}=C# zNiW5MgbAdVdX)bFa<8~Bod!g)!L?+y=zD7{I<3ysyB6e6v3-hsTvjBJ^de(8T<9&V z(}R?gAZTZo{0yBZl!=%jGwwyV$v1U7_Yygh)!bac5XB8lOi2?7C0Ea()sa#=9+DCD zDbj_Jhu9TrBi2iC%WcaceUtW?@miO6)|kxop+F6JRd&U6*{)jLpLge1BLHwLB6CTyfu)gBA+TD9;4Z|uPi}z^RF(1~PTC7sqnq@I zCq^wOSs$nqO6(*FYu;9cS#L3t=l;R1l@7xjE4GOc8B>oDp){N#7Xuym^<*ibD^7{lHgbN&B4$Z`MAIx(JdWkb z`r!Wn2v`ApEx-2!`1D8m{{YN$(4}9J>DEWSOJO4nttHHKCulQ@e_~0iEpCV0eI&!N zu|V#*k$e@aHm&|hQ%rsPkWXR8JeGkFS7bLmC%BhcLSY$?FB)G%Gj8)BhQ-*S8?$U| zQA+Yzxn|>GB1{F-o=vo3gsgB!Q*%Oa>Lm?v10d~+Lnmg2CFnexc8`d_*5ga&M=6R4 z5J4^SDLNay<&4~MlZVkTptCs^LY^k>pm2U$jFp+Y4ZEp5SFnr5kQDiQyqm+ri%{DkwxsrPg(ieqJGIf;zMro zWB2-Xu}t#NF^8Nk*%82uN*i(}EMFHG;|0hoCBTSUv+lV*a{LX=y9glt%26w+-h)*t z_UaI-lX@JLa>P(2VXNvxWZy*wYsmNDSQejn7DXw}_ZT6DlAh!2{2^FT9)RZue@A%! z1@^&q=EgCQ&MDNKOtO^Nl`6Ar$O6+LK&s9Owm$&9as1;77HukF>Ki8R%uvZ6sxT^u zP^b_?Ux2x4?-4xNl1W~rHSUxjncb+aQN!APC{qxUR91qyM@u4$CWR8RQ&>|lKax+l zJ)xfR_Y>JR9zD!95{%{S=0vXUW*b+H$U7KO9!}6Yiq~NJF(HwB5Rio;_-!nVx2row z%p@-k3#aBsbRcD7ScKMy$$?KL2MW;X8xG|SlrlPeEXbER8_J}U3K5oKWTjaX!Kp}X z*h`KGUqJHcWL+if#Ly$1r3Az)XGEHjanwYFS7ALi#G|me)fVlH*4V}T)YQVnYEx5%YY`HNw0ZH-cNQ8Fc1V(LjV4uV62(7?3U1r@(v4n0EUj^{(1+G+2o zD%8iJASCq_S6P8R6TTbVbiobRRl-GsUXD?2h-Oi~gzY}2Ge1s3{wF#cmuLQbWk4Fbb5 zFuqYLjTZ5_XH2yu+-xS`oDXObnp#zjnMW|zODHsoBXP<^nBE|nfeM0Tz&3v7MyL@@ zcMRXu*ddrXm5~jI$vw&}Su{+Dgl-8I5onS}4hO2?v2-FVz+V_sSx48WA{H9ibTg=R zhVLb`ZBv1z!V4$(819CM(JeY~FwEbmzJT=@_`j3ZMFOLl!6Z|jr2<&-ra>M@+qWj0 zKLDvp*fHo5+nd^Gx*@0qR4Go>GD?r)C!+(A9t?FH+ir9bj#A>P7RO=CkgG0l;B#dR zj#MN+4=6rK6DC%72@;SZMCIq|hstEM+$BE^C6gxUB{{@790da-K?zXpBwHiRw+|tO?HR@*`_EIUjaA zF0(zv!Ijuga*SS<_Lo!;{Fav61ok9OlnM`!+lOwZZPvHV8xj(48;L4Qw+5p4JFE7I zLEB6SrJ5t9Sz2y%?{Ex6ok1Vg`#U3FocIq4@4W109%{iMmI$S%dzkU z3uQ?UsdgN9M%-56Cpt8q!8Q%25wADU*^(}pC~cmQU!l9v65#}{!3%%88;=fNPoFF8 zIV6=cqp_SUw%HCBm5akvRF*#sPZB561W1y}p)VQW#_AZ(Sjo4M?X8y0;cGi}9@im> z*~WMg7BYQaq$?WR&f?G8BjBdoR*l0`TNO>k_}P0D`Fqg4*qwP@O0-eq5cf*_ z5H=SF?-4$$EvM=>UN%QB92=_-Bcj-k`Z~{Z{e%4t65e~-WE?+KEJ$)k9tNbT0z9K* z9!rc60Zi?E2$CeOm3b^!wW~vvUr8uIl0&C%&4`VEkn~b?IGfrfEK++)domkn`VJRC z9f%-mLeT}Ga6shIE`Wr9)Sc`>B^(N7z~>!B>Wf90p}_n@SCGQ^%u9;M+6aO{sKcEh zHpW$tX300qYBq&pFVrE>iY5+qRwEXC^d)z=N=^c{h?`)rOF58Eds%5W@sy~9NJI#pib96r>OVl~^ zA`wY{Vi4PIXLB@cN=iHIX$f0$Ms?U~#65@& zLVZT-l!B*n4e1*~9++ew#=qS3Fi;Hh4`tYb2SZgt1cungZK_J(MPqhKa+FUh49{j3 z)-5-hjNOQs8EDCHzvqJh?W}WJ^(36FgD;}um-vQ7`pu%ve(%XH1GK**jh0*PK?sGc zA_aVgcEa?yT(M9hri6rfH67zqZIO&}!o3!AJ-0F`Vj}?8*E((D7CJFe#_3K*KIF$Q zt710p&X6bg(A!0!Xp=Hvdz+gtBY$MjrAZJk5U^P(;9%f1*f&)YDqY39AZS>TvL`Gr zA~{bIGT?Nw#A2X}JQQ8nU7L;Qz@dwJS!5lEMSk4tjmUR#FzJ&;R=_5T2ZqnrVkUei9TgIwxAlt!AXc<-8j z-3ZMI%JDg_`F+7gZ*f)opW>VHZr_3t5rC46`%XI++AFn-!67EZKQ>CaJGm&0AI5dh zBtue^Db~h-?$o^$^FfsrMx)QiMRGfjuy>$g)k!_9NR^Sn4JOO7t4+ zBeEzS3ANl@wcKW`lyS10oy4bM^UX3g=uS>bRcuhwhq*Te)M{vJFl5W_M3u>U2=F3O z!S|BMk`Vh~#L6F?JHk>;+Y8`edpW6l2&9=^q7=AvE=(a5Zhq=18YQ;e6-F$Xbt056 z8U#Eo2~?DI)B4CaLmns~KuCy!bY2M*ZY4fq=oNNXsvg(57Y?K^ap^t;hC&e{dNxj} z5#gN)eh1-#Ye>k9h}sz3P|8%^1UXkB=gEkRmd8z62a@WyWJxI}Fp%h`w_# z1UQ!OaR`+OFNAG^2-Zq)_$KrnmcxYA(H^8W5xowYNQpu+l4Qs!@Y87hNgl*xh-5t> zn~W19Eix4w8j%Nzoy>J1BA$UN?}Argh+Hh%B$;%}xY)~LBy7Qo(A{&5g;YOyA*uF0 zdKo7QM4}{|CWNLI>}`XlB!+~c0@&3|f%s`cAu8xTK&GWp!jt4$-AYOuv$|-C<7c6= zH?XWmmUa+Y_2=869700H4~vG|o;?IfJ}D%UNhCo%6Qq;il5~=MbENnq!8*)%Bzi}X z&*LD7f?bc2btRKvyaXbotmPI|`xap!uHsnBSt3E=6Yg#*jYm%>h=NYxNTh_awhEOH z$&5Crgw)A9hToEYho~~RtCG?t*-9iocYdful#8*JVwV!+cVRuzLCYJf z$(D$Ah{*3{C3!K#Qi2}o;DZnnrif0!)}&!ZB|F2Gbw;vgGE7uXM0f7t>_ep)2yaJG zrHoHRracKJL`I2=RN%0vT1f^pVqOAZ$l6mz`jta_=u{NSdJ#L3YdzBum4WUKu$#ls zRtyYz9bk!t)k#51Br)p1es)*2(Gtd78Ow90?JchI$?g)^e(35&Rux+v>d4kLW9BT# z+?iSw6d;(nE0{>Fp$)_oSj-IIG#Vx>$bmwN;A0r;Lb_&CC~#wK;E|9{p`J`+e50;l&PF;B$$yUl8&XSFf}38Z%XhoA+7{!eqMr} z_bec%hC4SF7odi_ZP|>CW=|nGew2=)4uz7T*=<*of@VX2g%VM-G73$>`RjPwlOjZ6 zr`VjfFTno*R%h$rvjk+3qXEhr+esEil;}-W28M;BuL$&kX@R0NO2{Hf88$sW$Hoo> znvr&bWQbCAN#}i2fom--N@|ss{l@0+qk<$Q0SA4u9C9hc{FvU`R^FCl0#4R7{@fuW z>>P>5k)H&PNN;;8hKbG1NR+u>4=ALElZ=T-w=;4~ZtPyiDQS|5Pk@L|fKgvz!MGbB zV2eVzDHEcYlxztiR-}VvEDRh(gotQt#HAVpnz2%u*d&?yLST(t6p=z(MGAHJBi~qQ zu&Uf{FO~-CpvGcH;V+UUz5X9>6zW)e369XQ(A!tA$gu`jcZTSfk(4U7?$%#&Nf)5} znOZ{j@_lA1iS`5|I;;mSMoFw>+G#Pd#S>u*@+G^XJ+YSy2vw3nz)Wryw($nR-H!qj z1G8;pWwnVQXnJlv7lk9pjhP{gN)me!D+_vikvXAU$O{58TaA#8kc867no;U?81r%) zOln1BD2Dz?y1`(4Mu>Ywp3M(UqsmSv&0`t?xMjGILvisJxj_?0GRT3X*j*x|(FQDV zB`-S=VsL^GHe!^asux~?VoM{_mt+@T(Xt>ihXPEY+b|x&ZY@BGB+P?m!^+8%jkg@+ zZbUX#WkT!*`wUz`Z5iY;gKfA4JgGZC_V%N_7L1hqZh8)fR}z{T-Qggde{bdz5zH+4 zKDHa3MLUdoV4Mnv)&{W9#|DdnR!)okI2s-c_YsWtP-0Q9k|~iPqY{MEp+m{JF$)7! zHZ3H3ilsn{W^6cm5KgKG6!{vMs8ZdHIV?D6iWrJ6IJ7&Q0%k`A#;X$;R80wtR2O|B z7gq$5<+2`{iKKzXm#jqr044y@i%$mctccg)s$EEBiVzmtj z!?lZ07ZR--Gm6qLqn9gniF7#c#8Jgeqlt0!ty17H1qow zs%ruL8LnhnUQ5907&ilA(X%%$AZcJ)9btk5JPgBRxf;p{L|(VNzX*rWIc9`4u!`;E zPBzh7qXGh$JDZD#>{3t?4k*}zZqkwmPH;qVin>A;BV0+F$~3w|U=Ffh$eD45%wD5t zQ~QW+6nl{D4hiYL5qVp4ltab;oTa}AhxCd0*&YhtT|lx6H;FmNO< zxl^(qS3}s)pL1AOLuoi=l*V76S|x3}qTHEE;6immJ2E0#Qv_VNqPrlZ;H4&_L`5rn zsD|5vSoIq`p{AtcWHdKy?K&_Oe}WisD#@jS8+303I^jtO$?_cSGZhM zaV{WijfJHG@xnT9`bcpD`4N+_FBc4x9pF)-zCe9Vqk4--(R9F$oy@#|PAcbP@CFl~Mh8Zd)B5|$C=!r8D1yV^u zGj?WAQ||cI9*Jwfjso0$y@ZY4d`=~`femiZ;gVpTFdeb#d|>jhmKsQtzR$R_H8v3< zSyP8krMD2 z$?L?PWti5Jg;2g4kh zfVmC=fwn{5B+k&i=w@`p{R&G>Hk|_zu<5x_^}zcfq4Nz&*bQ3V5Wp@X{T0BUr6jQm zEs6IS4qpOWkfOyd;u4mVe%cJuU0uYJ3R83;J3#{Vix~@BiE*ccP?gm(nR0Q$PJp)1 z<_KgEK_$n3A-ig%O_YRSCACj?5z|GK8M+lDkiO{bK$|=vE`3HU`%XL-Zvtal*d&E= zpWH=qx#Ww|zJ&bZ$u7PGGU999lyyGE-HANF*)7Dr405dughoQ#Vi?j=+cW7`u*QLw zybam4wosytNN?*ItVEQ}aiKdEbl)akdUJvVMxyE^bdQtFneZuHMMS8ZB<{#VMCG_A z;^sj()LGzR8ZE-=amyRv#gBUu>ovsO%qvC9rE>9+t6-T|_fGCs3AG{fq7h-iV04)_i5; zzj8P!L`bEG=$~vMj$(3SR7!=xGwRNowvcuk6z?JM6W~wSY_=irSj0sEXR+9bvjU`8 zZ@~7ew(84Mi6nO0VKyRE_G3>6^N8hp#?YB)dAw(y#7@BdsMmHV7kUeV2Q3{QSh?2EBBu!K&a$?aEvsQhOf-Q+@p*T`Lp+^TbY7xP+Am3wc7J+)D zCTv!brsR-v)S%D$?nr&seIe;FD%@QR;W9@?;mpC+qPm-sLzYdBC(zuF?7)LToP+@~ zG$FQ45+pWx6J&{do~4#e5;tOEE=KIz48+lqAd_}H5e2!HOK^Ap4g=6u2#=pxD+WIk^B#sDR1OXu}u~v=zsa~tr({|41%z^Wbm+{hSi(oRl-OXxG6qBxp2CH4{WvU4$O8Skkq?1R4XlZ1b%pYtyiXYnL6>1&U z<$4WAcB3D~84<}m%42)bTnE&`MaEd5OtUd>21%Za9)(WgY>VHS5{WCM3Agsr!b`<1 zg!LnrF&t>eb+;BA-#HM>k|OO3O-O{LRRb5OU%*T5dGIS~1H~$=a(%;-M~n4rYc$}l zXl7xnNr~Gv}RXLnt~N(NJEY1maQ+ z;tvDdYmu@^3G-nKRk|yQu{OWhDibKOE>RTr2qJgxixX^D7)PK?$v0&LCZCaF9hBrV zc_h?akRw4IbuAqewW8jrSWHEU)bNQ^*w&rhr#45C$ zMTem*raPp2iAY~LUa3IUNd>1v9l~%)pLl1(9AAy0jn{^h!%)O2t;SC++a?JHM1;>V z?`2~A9QqD?8J1n6UtqSvloE`4U9cz=P_s@$vwV*wmhKE>j9U9E5g6=UW}ACoJR4r<|jjrkSW&GhS8XxSnJ|dSr8X>`3v=^bgcI{^C|Kb)`RX~yqSA}$s=(VC^VWO zcF302?}9F{VLv+<>p7#4`jL!0i_`Wq?q}BYe_KDA1jFgx=GiQV*kEF!YUQu;6H(GXiI$KUO-Rq*Ti_+}YiX zUL9+;1X4ML`60eWQ_|#BD6%GHl8P^=Z<9p%#BCeslW;m?qB<=XTMgCS2sgh*+JfK2|LN$@gcZ|W&SJxGxvQi)W& z$&r1772Nt>JRW+fX+xNi(sD0ga5-g!h-%rtjXho792R<6_$>l_LUfn!TYF>$;j} zD4@KSg(Rrxk3}hQu$62Sh&MKe@q=J(a6FpRFoK%kjT=hFhLTJnmt0Cv`drGYoMet9 z2x{JPa>YqYuBF}TG8@9M#X&KiIEiXpZYXxv%CKH&XBi>P8U$L>Gqg!KmQ`~k+}T^9>D52DK;iH)%3BSSM&w<_6tPcX z1k)0i$dhhkohe{)#lu2Oq7IwdkHnEo4YEhLooB$gK)+H=w*7{khuCJ@A%@c78ckV0 z(YL`Uk9~+9*jdwo%2U}-l_V%)L`x7!?-IL08uT%U)pZY}i&chqU`pk2Ct@lRU#5a3 zVKHOuEBPQ!`_N>>)jkImqpLO4w^JyOW|rw>$Sct5)g+9bn3JX`LT!T6YcNn`c%Dcz zh-OJg8HEdTWg&H&U!#c(eV%}vPSqgvC#F3p=)||;V=wNF5x@vdkv19kiz3y~r_k(a z)(bFx(ct6J6hzui(>M~;DwE>(My-!K_zJcAL|ua5HKZsr%oq%zpNsd8<`yRK`XB8W z_rK7-woQ@O1a-JMO-N6AY+>AFO3KP$SJEqRU{b(ed3s3);xSa>J#30n#kv|4NNAxn zBbI2^Rp@Tf?qi`~#+TobE<0xBY?1a!Ly*E2_>OP2JO{W&o(!LAtJo6g(@;98p^KPE z$pSa|1jy=eDZf>`Ct11b4`&aqiv)tvM9RvgDJ!7)4hn}5_k>b5+U*n5fie>YB|bvr zV^$J{Art3XV`;+TDgsDv8l+xDCek5h zLX^JjhiUqTXSo8e%1P!$sE93&dE6?%dM-$Wa-@;bUr<9Oj^ZY_vj_M`^#&tRKju3A z;q)eD0Ion$zlqie=znQlMF6bXFofdb<6=$9n_lA$s%?zJ(IQiMv@pGem9`!vnN>r- zp#i9CHh!X7OgosA<+JET+fn@o7ijhgP_x{5GCB7KClcCduaU$DbayxWm2zA<7K@}KRW?U8>uJkETTF9n^t-a}CW#;0eK_z+4tkh73}<3$(t zjee7zAdLy)_Lz-nI~6@}=hc>ALl7kQ4Vt(Ov*nDoeYq?QQEw)vzJ?|PoEBXM*55uP zKh%9q4S(4Tmhgm&KlMo?TN}$zl5SO@i54=Hgi4R(Vz(4|BvRVm%)OOVn-di?fy^e} z@N!o2P(4_L9g?4?1=kL@z+-Z7^%iIhpQH=aq3b5-Q(5j!>+LL?LNec=dP^}s^v*R4 zX)%d5`Z*MpMZb{I9_h8Kg2G85J4fsk(qe6618wGjkQWM$BVkNg)icoIDPE!}Rdk&t zZ-No1sG(w!IBqV>wUUR&b8>Ty%Nh}6By379_ZANk(bjX!^!HY5t*OX=K>moA_I|=! z;il953gy0tb?Kh#80uq7gMXB?0%)!^D|2J!E2^3$*CVD{J$+DhE{xM2VFW@<;ywTOsK`N5Frzv6STsCj9ng z4W@L3RW40V1X8iWftbn{Dt(2w@bF=?7C^bAo0b^-x1=!^6uQwtN-Iftxo43!FXJr9 zi=ez3Lr{nJ(C9^Tflc8EK@_LHfjHy|5zj9M1fE>D5>SGgmlAzeFZR!_&!)&ZrMQE( z{2?mbDZ^-p;=YjDCcchzO_Dz#t5nu<)5;-^q-k($dBKM@fwR&^n;+zn#k*jXOq6>! zkz$#T1OA6mk5w;%mZuFiiV8_%5Ii-iQFJ#WyqoG%B#1~QgpizcqhodMOQ`J4E2V`c4#!~-G=yY1bV1#f3WP>T z(J~{LBQRx(a6nxa=Zudpui(j*n?xo=m}#syFGctsYLxIaA{;lkU8^k)Z&dzCj_2A9 zVPuYo{)Yi1t=H@n=YaVKkmE0s=n@qgtf9jYLMnqxyFpfEh<^M6d3q=zL8ri^kuHb% zN5oo3he<{F(%WLzcL4;ld!HD_U$`#NeG?mH{K4@OlDEU~3rHH#y^Z)o5s|-^c?hS; z37eZg3=x*)-TDaFoTY9>u@o%BlhB#zC+lWeB;S@cbed&@5Y8vokLT4ayJ=HV++j2+ z%X5)vRyLmQN6s_mN@j|oiimH?geAs%ZOc-L8%s7MIb6P?G!%~Ie@w?3Hl>wA-Ydih z@3OSYLa9g@o40_?IBAXyTyZGR>WQ;HntEw5Id=Bke~GnO#c+sBlFY<0XDc3JZzfsZ zYMn|IJIEHOHb>=$2dZ`d04MtM{Sez}ZeK3hh={56WwdS5By4^e(y#XXE781;DoH`u4*vQfIR{`TdNEJ2aaDk1MXGxp$=eCfiD;#&OrmDQ%_f;Nw7}#w z?FLFvTyd<48z{)@P1Pt*c6m@r%mk69hG2hSEOIQP=_kb3=XJwD{goMKBT0ayCPgfM z2X}+WPqh^CkD=-(*rXTEG-=FD(>Gt7~e>_Y1AfK1mXxrE& z@<+-n+?|QqNMuiCEspX_ah6PPnG&}y=ER=*Ca@CbND&g~&Fc7YK5%6;xX9>l!kry5 zWXW}r^IW!Hl{a^!NYK#RvLEhnNbNa9hFsL6bEt{k16^ViCG$E;*rHP&g#^0-(Qlsu zqG?9-XtZTc3tT%u(1qiln6X(%LP{W!@+Nb?5I3Pe?g@meFlv$;m5lAC-v&WZt>BW@ z!YvMr;}E}IF&9$g?`HxJ$Rc<(f@54`m!X^nY5EE}l>06Q2M#xpbNdJUK!B0&?H^SS zK^jr3h~*u3?c#|Vksw4#(Ko(`5gY}Rwzy72fe1kKw`lqfPmI^<_0~qC3Ms8UoUqWV7!T3!T|8ZfHs{rpe0O|Ql!lhgSJ z(g2lCgVDRxG>%y~CW2q)5B$-8mVK00qyGS9A4MDDf7fUpT=ZG*Xzj%X(Ozs%B4`i# zdKkvekIE~MTX!krI3Q3BJki=Pp+uOPk_pffVY>-S|ZR! z%`v8M!jjV0(Ula0fjJnWN;j5TD+W&JWZxqZEl%deAoDi{w!Lc-WGb`IB^JZtJM^1E zvmqS-!5McU(n%~B%n%-z;1(2Y9Vd|AY;K*OLm4thl}Nk63HCisyG6LwlrF0zV!9?@ zQe1-865~m*d+T_ zKeX5My7(Rn4zIw*onT$b0Ua9~_F4-eTP4y-m1Mk60BQ(NBJ8dTfp z#a@ZNwZSiM(nu71sFP?Gc(QJ*9|@588Z0b>_Yi{Hy9g4EVhrfLhePZj&#F~6Gsk$C z*^)d9ZH3tT8CgR^P6nbxK@i;u7HIdYW@UW>Ca3Fe%xWAm)5;$a5+q2FB0eG{2oMsF z4mx|DG`(ZMmm6{841zQlk$(DVX)|RFk+$U6^qJG2MnAkMjiRzB=wCx+70`ngAjpF{ zt{V-=Dc!Ki?l(*c^P$bhA`BCXXjtEDco5M^Tsk*DLlFwgdnbl#WK<$gpnD4Og0;|l z&|+MmXleCAu{1?L#%(*99mXshFF_S>U+k!|*qiv>_#4YRprZx?o=Ta@#Nz(|j7bO1 zx(I54ExcHqrTzweOjuaFC`rBowx#D>cvn`tNO<=f#!@wcVUvLq`pNLtucy`cB=_&& zZ#Mp(gEpd4V-{Ne z2vf=)gIY6Jv=5@$ zL_s9yMo$MRiE>0O`6XM4M~W_7(loyrWDB4`3F8s(d%0u}rsYJy}D>@;xp};8yGDSv;n1f7So{QH23$K&pyDcza3?mztagFv&F@W()c9}Vl6)x`$`Z=qq9EMXJ-pVuiw4S*3E}nX)B8|p7SqXzo0KbC6+i;xNes*s?iZFVnRb` zT2F%muhB|L%H-dC+So{ej2j5T9)UiWlLh2iB>1PtIvzVBDBv;pkoW!|#mg-sz|-A{ z2IkvL(E-G5$}-yASdy6Uk#)+Bv(TAFLbo_2of%5lB6IK&lY%|4BuHvU{@2$|5rp>! z5!;EcsG;th_))Bxxb@Ehyo+t*jA~aOWpP~#%9=Lj0V^70k43^u$iN&7y(Rmr* z+<|(EK7{Z?%h4KenAsHMl^BP8J`2(To~wO;JmUNBP~-@`GpTT9X5H&A4n zIEX@sR9bz-mio3YFG=x6ldKem$mNu!)v+?z)MhgiEV`sMx|Vw6s@v^YyOLRAGtgNw$Kxw^ zWSbdoD@=-PeMAW7fi}N^%)eAD`1LsODH-mS;r0^ za$~{TKC&p2vF;K@F!&(|xFYjL z9yhsT^M{LavuX^ZeTCy`ZU?o0@kn-=?a2N6%ZOMSO^Cl^!GMR92$Oct?`(#-vqUV>RH@-8GTu(r}5!59gOYKzroXmCyM`wpY)!1K=benO-s zQHWazTutOD4!SYF>)z%~4qokgU56K8lu@H&7Kp!a_7^6e$qHlgDLqLe>?84+gz%8e z=S_WwnMkjJl+N-v;%CeYG}X{9&)(Z)mKGYOLw^%~r`5%?)Fh&;k2JY3IF%?3i`xN!Vk^pxiGMQ5~Vv!qo z56vcxEX7E+is>iUq1uas?Rgg70Uw5Z$&DpmSMemKx9{}mP*d5$U#4h4NSy|8f>!u9zv(=fLGXO1 z-1DN`HcZ;)^b#Cl=#(@+jXP8|)yS&59r7F7B)krKFeH}2G=td8MH7QD>V8Fz`$^K- z&(wO-g)t9eT(Pk?32bfmCr78*qjgrw!~oT^H^Gw0kqa+$S1g7x8HUY+E=)87zd@^I z=p5NZt~?34ePIsbWQm07sk5vPMr29dlf79fF)Br65|ESXL$}EEtT)tqV@{9FLqaOY znBsCKnnNo~9E@ka7f%jI8Y9#W_C&sl{bZ~-3CO2>(o$~%Q)KMIxhpJ|PBPJEFeBn< zy9tO4th;b9(=7Wo@-?O+UjY#=!H}y#6l{kyTj%P^wqd87x7o34_xiE^p}z#_I!2O7 zI>(m(09iVG4=Is$^bxy()_`pb!c*d#M`L}Tlg#xK!vE(ghiAZN2{ivC{c$?y zjUzdiHiy80>Y9)lyosJNve81 zH6&5vSvPj@aZ_T^?I@RHWokdXa4{hsPKGGDfM|}aG5N63|XU@c^Wsk zo@NUYHJuVkk?T6T8M3(&l#HK!&m=54ZWH<~h#@Bfp(JCN@Np;g$f5m-mc;f1b~Y$* zS@KEbn~Ycz7Xx(|s2QgtL*(AX$WWB$gOk(kxt!N?_PwfW~($#GM);BC|c64z9sUJE+0pdLya19Br7Pb7 zM2|;?SqnvGP=cYqCg-C^tc6puM$KU*{0{#BZzKIo{{ZP{!k$fH`x3{G-yL9Cb`gyd zI4u}4!KQa(!xmJXcv@0#3Qnm00^=7!zvCMCy$10Yp#b4z6tB?#02oiffkt$b5=(Oo zIKZC*VqOTHIVltbxo99tdOSvy_8%q(hWS=L;t)7666K5q zFvqN2NYX`nizHA`B`;zX>Nb-kXeUIDzSW|ZjU5U22CjaOgLsk?z&}^7{`7vbELrz9 zM=@CnhXPK9G1AbXP?U9fssB9u5H~$ktWogX9SsdWtyYPa8HAUqdU* z&0v&RQ>Ub#Ky(R9CUy*a3Zp|;=^)((*))v8FxZDarAQu)4O&=Ya2eol>h=Esky?2# z#CmFA;vj$*s7#KD+386&l=QqB9jp!}Vi3hl1GGuCVn~&_8Y4LuQ3n|t)WfzyQagHV zMIpl&4ix*!RDS{&cdSBBdM7psM&JL)F z5wv1Ehor$&)b$kf3X@58+4q{eRxY;Q&&JQSsNSkU-!oz4u*1@775;t@~ zg4#yt$**f-jimyT#Pi*=CeW8q8LZi9Lo#^CjtQWdnj#3?k<3YwAhhU4T{Kd{y}c6D zO%VbalRlC8LMhO}*mIP06_qZz9Qw$UE;3n{V`M0i1NW7XMY#wNoQtA`L+}}#&!Siq zWY9QJBFK{>W14su?e%~2RFqCLl@g7m$lOx13S17^fYQ8`;KZnsHw~mniqn3Ln;nY} zDqRSPiFBH>aySyCp2F^EhGUHa)C8Nw*_TI-ltTvG{vc>tBHBy7(yw&H$*CGbNVeY0 z7xjrMf}{yuCp6gLSVIl^n@$9g-NJV_MB`YT4A4YdVbl@9jb}z#%=$o%28?L6%v^(d z4$jfpVt6Owe=q(=Ka(+GKS>m)ykt=e21Z*Lw49Y}O$CG8=t~WD%>Mv+{U%4_*Jwl% zxR_(KYgDp+Nfwn$cxud`(`pm~Ebv5RTE?nyo1+ZEk8ro>8rtNVw!^aUGRH)V^B6)g za9dCps5lVF*-(mSPuitOlBPObM?MxN5@E3xDS&2Kq1tc+HB3!(Gv>16LmneQ?iR$V$$|8A4}tJ~=Rc@G{{Y}}iLvkc%O|=S zrblYdSk{{xHAiSBdyTn!oX<(zUO^F>64=69j}f9l=xq(PK`ySkYRF@PbdqFYv9wNx zC!#{qM42uR%swB$w5m@;LvlBCJ*5v*Y#@d>!Hiu>M&sI8>F7L34{-=wPw}M#R5|po zVtGzTa<>pidE`}FsMip^aW|3EmuiOUzURPrKKqdW06{e3uYvq$q#yTV{1!!Pwo)^K zvwIg#K-iRY$<|D9V+V;PgZzRG0U-2{;$a)>8*K>>hgvbJATJYRK_l?BL_}bTp95si z>bc?lM4w{JmkcvF6T#c;Xd+wfO4`b4Gl~6@3`lW@xkKj;ZpIhNgn&@T&K{82vm!L7 z=9?xWdrV>E2I);s*6?kLM2wk~+7W@EW2lpOQYO|Q-gPHdb-u@Q*p5$O!*HeSDiRO~ zT#>GEA?s1e@I(+sv8ImiA)|6wq27!Lxj?+%*5~2$Rh$BXZ_%EX5KRyLbZ&zWk+?|Y2gLm#9(VXJYS{aB~c3WBo{W735=)kU} zQ_zI@mq{ku?Ee6Pe@w<`v6G&Jh@}si7HJIeKN=Zyrc`L3BWE#(ljA4|J)E1Q%M_(Kf-XEMu#3#o-I9kAZ*f%xDn5Dc!bBd16=Fu)(@S%T8x&AQ2|0Ht6(}ox z(7KwIReDh!PpFuV8oMGcK+1-fu(PRz#W1=lG9PH>F*sx`YG1q|+k41!^G6nMQDbIc zB&WJc{{WO$W!|s(HXqgel9A-wXZmb~hKwQzf;56~_B=LDT4StK(IOgr^cAvbYSBI> z=atGaT{2~b9B0X$z^x(J-7S{vce9jbI_jmJXt^i7$VsCc z`jcDvyE~Gj(0N+3;AYwq!dti-BU8FskKB4`_g>f^SA1ZNyt!t;9ej+K{!9EATr%N) z_C-p4nSS{qW(&4Dr=Q|7dxXzIlq*i%nVn7Qe`7={CKqkPAx{{=!aiS3@CmCb>QY5e z(Xf(^SN9rijaj#wW;u&zf)9xLS=dL09{Hr$ogW?K{eKGI#Or(#R_Hjg7?-VZ`$O{>tFBSuJfG5KwssyJigiLw^+?PFrVMgi<1f>mTArIIEX z@-+n+(HTh*W*dBn@o1u~S31a9XEtaSew|{nm30nWLwrE*W?VfDyMM^UyeL#BxX0%D zV1dIRnuuj9WH2nfgY+36xfIl1BW59TgXO5u&p&{1vu}m2B4?NPf2W}UP_-Mt74`t-&Bo*z~ExqJta{IbP7JMe{?|ao_MR@8@tL9*um>I zBF*0$h}8+M1E&M5(RD%P^4T+dkLQ{vp!%N0M4PR|d{>kAd=D?X)!e4#P}G~Vd%GO9 z661*^rJoE+r|%$MjZ72R*hxH2P4HW^6PRWj^2ZgFqRV^?G23QV5I*E@NOm%6UaJJF z;ndu;jr$1hrM|4dNQ^fE6-q@+jXL2u1dYzHhulJM{^A?aS4ha7L^suKm&C3a1!?E2 z8AIh3;|CSc#Wx~ah2e=f0+?AN4f;V8S$|pAi?4%ubxttQ!D@A}T^dq`!2W>kc>;8g zC+hh4KD(dLti`Vgo|>6i<7hD9U=Up(S)iB zeb_@-)hF0CEvQI?WJT|iQR*aF2%nW2oX-Q98K2u=MG<|t+8-uRFfJS_R(m3j6WnE1c|f} zUOSP5??1qlcovK?ly}M$=RxjpCktIlV=Mkd<1moEj8YaCmYAt|y~&0g1@{~aPbB)z z6#O!$jNQusMwQBDEsq0R)lMck9qv14^_Afw_$0@BUerpml4~8z;4R0!W0SK9vKl4v zMb5^*68IbPYMuW8+3l(u?e6~oLf*-VvO7{o{L%jaZ9m?L(?<7VHYwz1`#<3S0D4PE znd&CTJ0On?J`9Db9F&m`8vK^R)QXxV8)AY9D~WG@7a~;Z?cb>8wiof-l+Qp@xFaZb zhY8OM3Xq0VZ&G6%FZYRw#j~bBd{2BB9WdXi@2|okMJBb*ukiNlAApa$^|!6Sj^>+gnYh^% zP0X>!$k-}tp5xZhO4!`nCpIerZiw;M)Q@TXg(%0k&BKDj`WFHcALPRfNZ?59Mj8^k zc3?j&#bi-t7%`Yg?YB486O*t?KyA?Xc^I15l-E)@<*ZcO?{p=Z+J)lc-uGw&l9 z)eKmg%;y`ik3z$Gq>$0w+(yBl22VznBf+x{kebEV{3oE0u*{%+%u0^nS!)EVoiPxx zbPes#Q2J1}C4g{-PKh_xq%YRnUrKQ*6nvk5*u;8RQesk{EI2S9V+sXm+yQ7Yb1!I z&q}RDKaa@aHIY^gLu?&Zi8Z5ym=tOQUx_XnO)QsiOz-tH(G{Gjl@^60fZRAbV z4cs8OMkD&bzJ;jPnhVj*K76;}5#6Pp*7 z)R{t_M-)ihivwD4ueid6?;_n@&p|+;Xj8C(jM%?Ngb&3!$h;{_NoCiPP$@e<{0CTVQ3+rEzZu`H;`WL2O>Cg1%`|~C4 z#*gLbBR^B%``7G!%l0wko^t(T{@8!4{s}Se&-CL*^T(a;2z-1p9}n1v!}cNY{fK-& zVjmCNN!Ij|bbz)i`9C^HzkQq0<0IJoE?$8C=j80k6FfJuCA-+|A4vKR!?5f-J`UXw z(t!d5ND$D09}rZxnD!ooNRS{vhJ;xX1PBl%5{V>%D3nSllu0O*NZKfs>u@kvv0CEZ)8KW*&7qt50C7KaUWzq@(sCPV~6%3{sI30R79YVB6E8K{*eCwIOzS3 zi0Mv%;5~_lNN{Akbn4NYqI5)PHLZFz(HqJ6I>V{a4^lNHxP0#$a&rPX=~t=E@D7OR zG>(Aj4v1W1qB;TbO|mf!g(_>HSYVD*6fPQj{XbL(majh z=}&Rhsjx$}NX$|MnUzyU8g}K#p3$fxBZHC@>k7$PJ_fBxl-nK9mm->@hy1Jk91Zv$ ztHnA=B4p^v(XvS-l1U_zN$_Xg`5QdHk`hhbL=+X=geyFQh~UH*zTt01Pg4O=^7x5Y zY1M=h^dbc_1uN_`?v8&BJwsza89m_d!6ipSC-23-0AwxDRkS&@NhT*Azfvjq$<@Td zduAg02_r9X8fSM*OWq=~IfP4L{?NHs(G-mP*8U;R%-}Kwa_rE;LKP^=QST96xK=@obfFSGdM{ii^}-G zwMeHx{?Ut<^|CQoJu&@4thl)PF2+NcQ|DpC#^IL`3}175s*$O-Z-}u_i65ym;#eef zcc}IM02e`OT^P%ee*vGm$6X{4REEshGKs9w`khFd>i+)cYQWbVis@*Vtr*q9yI zGp|1-8~jksrJvm|ndNs~1S?6ypGSv9dixWjKg<4C?soF$S@UOE@;<|p1?BtH>!;!w zZa;9DtZNiba6Z8p*rIQNPTa!3pqZXT#pNH^S;W7H_z>IIIG$!&{^HF$v5I3xsV+ibQ0=ej~QD(~ti*(iqA$)?q@vE(_@41Cp zr>&A*u&B@EI^Q{oy?|F#31+r^Mzc_9?oSJDK@*AD9|BuR=k*abrv4zaJCCHo#m9D?#P1whJ4L|R;6$I~swkK2Cf@Z|xXJn@2-55G zCleoCO7@tB$B?|6Ax_~h45|MBD!ab2ZwICy4vm1-riPXQX@h7PxE~Xx@DFc)zDV%0I{-^u&L}C;tEu{xKi%68``I{{V?2{PEQGq0POh=<@zR zTY<4Djo8acDVNY)clS3E8T}yy?E~sJi=pi_AmqNHeZUa8dk})VNNS@g$ykr_O~REC z1$4z1z(GqKr_SOLTWoK$amhI#>}6}19lzjal(MSmDXt*x2+u<*$=Kthhi^rlLTp(4 z1;s;gB;zAzlyleMoRrBf!dBREkvtK2(BJZ*7uB*FkRcJI5FjA}1PBlyK#2l6QQ+uk zbdHhII!8?CofD#TPKnYw2TbS)QU2&&TF96U5-B0#$TWtn?8edPaDDiK>As!URS>D|AGeo1=poS0l+^7!kV(lB>j|MyFdCM1iv4nK`F#BLe*)i@CCb zzTO5Z!fh&7mV?D7D0`j^U@YAq1%l;~b7@f(3{Lm=dWTUpWEG7&`K47>0qlH^%!aBy@P^MyNv6Lh;jQe6`HdzK$>qWWQVIx- zP-fTo0&P0&a4U1eaGz2te3E$~-!Q3qBzZgFcz0!M z5cm^3D;{Sk6t#?U#|GzZh+l9QKt-m^gsoU9%PV(%ZwF2J_Ze*Iit=C7$p(PEtru$3$Rzzbc+~F+b=JYp17JQlnVSrcKAZPkC3g?w*$!| z=KC0v*$|4H5oF1xvi|@egMMU<{-gkvIGjva?0@BFXk^FI&D-vEI;1@l8g~-Y2pLU; z#NT|{^d01mlMQ8{wFMr@FiRmb1{{|J%SP+Hjc+iAn0n$Ouub&PpYBBO=ITQxV?oQp zQksS3zq}V75S>XIkw)e)GnycZz}}JZWDiM4xrl2D95z3D3+6J+Siv36b*)15FVqP0*4* zkYAX-(N5`7o&>^Qppy^MAsQt;w0HFJ{{TpTj!0cN&>RW2iD=W1k#-^xv5Yet8{x4= z=gWa>EJ(SfG$S3%s*z93nefL1x)h@@L@v>UEFt=(6&=TGL1u4Ys*!z4<5hNh>~ik{a2Y8Df01^68dW%MqsoMnA7$ z`v_5TGIxvZY9E?>LGT%fenaD*2>Ie%{e$5x5(~Im{{X6QZ5uR+??m>7At<_SQz>-5 zhsiJ*GdHD)^UuqqptJQcU$3uWpADZjFSI|yOup&y4}{Enj2+L8hLoVlmB?t{v?s=X zc!ucX{{X9ek@Ivfh|+6Qx(%Y<7-BSa$;N%m*}P?gK6uUz3olaz`uY>0MamA(kv<^* z00Z)mnkTe;CSn-7ie<38?#x2yEjR5LT?emu_{VTZ{YwyXN6XUd*cb)V?YI;wU-86_+43jG9y7wi5YnY~YuFW3{< zKPb5|y7>_4Ugyiu9Xg>bJx2NTczq9qVeiqeeQW-!d#Kuc!M*u|vq9yVvdIq((oojnVeIL^fL?QzTy-7#M6IdU$6KF@1Hh&6X73~kgK^Wx(u@{x6zjF zqTFt7PN86NtDhhCK1BI}DR%z=)qXLw`KQU4I}AedO2qwVg205JQLGR0C~J{z$aHS9 zfiF7X!3Jvc*mh4H;(K~|dIkFb0DwQveA)0%gno83Geqh|EmC?yyQ0~K_Z`2S-0P>@ z>7}Y9pKtwE8Q^R_Y4XM%%?`dpktw%%mkA_s<81`71%ndZer9Thrj~KKDc9L=`obG z*l8vWMfga^K>kDH=w;l$@G00oEcsm-pXFnp;U5+2RHR=6I-0A;-$Q%QNUNbm>Hh$# zvi1#w<{vtK5roMfN1^gVN)ZP2t!{!-Hxr^z8-(-^0J3*6MG{V zvh=MX^E3s_;h6sbtcS4q;`@UBm7?tG(VQaQUjh_9ny^I(;C{&9RNitQsvr_!iGpt* zE+TsfPvkG@X@3|000ZJ5l6|(T}2r8q4*{p zpZd3w(x<#X7+~f!zf@y1Z$sFtYpT5r#!-h*#Ro6&em?>jy#cA;??2%9<5k>Y(R|ha z0D>7Fdm;91&iVBYw#5O+|ryFc|$b7(8x(-eNoPH@|oMU50E@cWOXdm)Jq z+Lp*uGLl3euaKETkC&q=-Ik71jG{s}_Rwnj1c;%BdJWfQLp+1-%txY&Zk+hxgdt>KE95)h79k z7tArbkAR1!=zCD=OHJf+rHSy3pL6!KKOukMEcO_Lv7lIv9}iKl?gj_X92`KIR*zzO zqWsal_I&hw-}k|XvlTW#e(@FEc@IE^tc1D8Um{Yd& z3@yUjTxef3e{5=fybmclcaQR)F$*v1zv`bpqcGH7I&wX^BsMZ6ln#`b6-t&bG(KL$pCqg5D_w!ga4ynBc`n{QCb&FMu83m;*EJCqFK*-8TZYU^Hihaq9~n!X#!GfR#4`;7@zzeY zpme*n)?DblfSrz+%L+YvI-E@2!Y zk1X&)K`63CCgpksd8wXbk!pif#q<+QP5TQ2iJ`ko+2Bj6U%H|kQs^k#c@wrxVM#Bj z@7O8?vK^xf6C@`tC9xS7)TbF5Xrlv>6^T=|IO;mfV&rRwzx^Hz&+_oAF-_66)0r*e z#llWAhY@89Lme^J&xLNsaH;w$^(>n_5GHV2AZ-%vD5532n7V_>LZ&S6ZB&qg2PI_s zM5hCUy2;N$A|h{Xi6*JPX&@mw*t~~~O6Xoxks;^Vxo#+pHuG6`l4&_a{{R6J+bOPZ z?xZeI44+>VJe@Y zwhwbpLR3uxDyB5@)xE?ii?!Ev`kHnS?4>5*3eh>>kDf;@DUl7fKXA{>6omv!jqH+< z5|yFg#dSH=NM0Ue4-0}h^>fFu8Z4!D#Ip(%!kFrOj^h@~@JOR8!JIQLvAC5|rXo`k zmLZKv-KWj_2znmUhM<~zbMC;4q|>Dm4!Ke_Riz!AasL2E@!1QkR1n$jM?)-4&iHCk z8YB!zS>lIz(nzp9q@TB09S8%nT2ci{Kh&uZ@V_J_R4M9!=VnCQfJ#|zN%bhe>O^WB z(k2hwQ1dXyL{s{ABb+>WdnShSjiACXttP7JQcO`DPE5}ALsX*jT z?wnfcT%@6Vi~y2nfv_ziY4SFn)>lHP#)#OE6Y%vQGQEN(X=P+`;-O@quxF;B z{Fx3Z#B3e7sIU4zhREC0B-tb;7Dz`a8$_DqN#~-P4sO{)M}r+ruX#noDgOTesdxpT z_DaP$){{yl@r-&Mz=N&HER!^sU_ko@psp}km9H8?Ua5Qsz7`IGsiln5VXd4BmqrMeCKYyE89Nab$(3-{_Lg;tPf7m(qxh_h zx`naEO|b#`WZC@-=nz0E?;_xe6h-|{2gUwKRiG6*9EYe%eTg+Nlrsi#+KY{}#HQZP z8;5r%cy+sYJ#?l~CFEA|MjS6fW5urbxW@HOm$Ytd#D^Xo;D^e}bm*<}1pbHX1UNfb zA-KISK}cP-d>4i$V{^7kVsi+x@cKh7F$Sah`Ds3G(@id00Zn(l%~^N z#?xWwA-Lneaj1kg&BGCH>JLPc8i6UD+JlAe%c&LS6>ZqUx(oh}oxwkh$d`5td!WEp z;k-%IS0fOZB!UX~=7+eUx0DEJd;WLocU&UUfRg$f>_mAT;7qC>J#sCgJ&H0Z=NX>E zW>-XEB#K~*RA#@h8K`L_>m;9nmO_l&nwhYl2_0HEP7$wBw08E7$Z~6290!C<6zX$E zX1$0v5_LirZKhG%hqFVwX9W+qX%>4RE8kfJf%F;7i?V;sws6q6R&Dhh~=$D{fq14Cnw%4>xi zzULzvag*}F2U2~W)DYI}>>^ek<{h8jAc3<@ z$F9A&5w`?m{*7V%L{HRj_!2J^&v2r+aTesg5gH}Z!H!9gh-KnQmh6tgLH@lT>SXkY z``dB6yh8aIpXKx)j>PauVwqOKzVm81X8<&8aog%_-`AiDbg|TU3xJL@ZZ5=WD!@4l zC6$8qkr@;T6*m?Aic*Vujb7p4?RpS}A%#rDm8aBjeFKtbaz@xuH$t(sDgOYY_n||% zMD8@##Lf`U<+3p+!P{9y6~P4MkiQiiZJE@?cYnzJOQZcr>N`)V4lK6}bez(Gs(YRW zio?1bBblZ<4A@;3Bu()dnUn(-N)LL#k2E?RPWqeV5`tTLNO@5mh;-X(GtqABjU~~= z!1^G5t0#X=GV0G;(1n(Bx#f_|ML1}<-rL}bnJf6Q&HGTl=>9u55dI9*k~zc0G?~br zj1W2oWhDOq3KW4hC$v9v@Wb^oAFDIHl?jY&zGG&sC`Xd#3W} zPHy>_VzuSxf#iCo8lgs;x74d|7uaVM$qq)z%aF@lxyJ+%H-ZMee+n*1B^{PFo7r89 za4|zfz^i8pdI+T(S;`VzMgIUt^Tj3BEHWp4o;lEx+Gj@u&xQ<)rY^skax*GDXy4}- zqWw!7i0)GXg~D?pa^dJCXUGmpoQ&cUZ`4JMX-dG1q;_y3CXz}Cxg$F`Zr8xG!J%qO z&Ei&Mnzh7QOl^sD)iTwceTvJ9C1jC(egq|b%aKEsFAkPanaL`v210_vM5j0;AK0jm zB{UX7!fXDFzRMn!)JzY7ODDhbByJ*Lek4&(4PP8vzf$nyRl1PE znF`f2u7n7i%A;awWZ~wAIjuO6+U&P)-4LwGPm%Pu6!_$P_$ZhRZa6(+?uBGX{pu+V z&zTgj_9q;E!iu0)5KBuf^W2(JEmghQYTIhyu?j{RD)Pa;q3_MA*(kGnk;uv@K(v)d zcf*hRKb{=j)JU<6BXacqg$gdLRb%>(%umbvEA=s?DH&0_&}S&%5sa|bcZ`zclyjm? zvg0HASPE6kJ(o#XrdDQl8cJ9Yb8I=egc7F$Hjd;_8%>hkd$uAP$@>InA5NSZQPi-I zyYQWt0};?zhc@2gDP~yeG>xiFI6|JjR@4b-&lUdwNATH2z161B)H9C*{RCvprJD!Z zav`ZXhWUS~Xpic~l@ePf=?yjR$2D$N1oUBmv4-G)+M2Qd!;kZin|(V>kPGB>YQ zgRnjc{ZB~>38F|h&M|OPom=QV9N3cD6EiNucAVp&ZNi*e*pG#0WDbyulafct(pxf# zz^p~>D-sEZSsMxM6sF8h=GP)A-uCj5r)S3|W0HqdsUv87oFg=5Ow=@X6kKvzNyTsf z07sj!#8M!F2s`9M5mjVFA2HDkp$KS=L_~~?l(-}s$mNd5t9Iy>-@DiKIMv8sE$`Jm z2oeiUWa%WI*hoyrtVY!v{l@M2A<~l6*>}7+jCzqWR;&)M2zC(V;f|Knr;UvN0L#fJ zsmE%^a1W?<(Nf$a1kjJztmbpgl2s0ldOHjjz7^ot@_0@cOSY+ zggN#~DYr`>z6eCW)^z7&m=zP`M~IxhU+P^XP9F@&KWef?f0HCiUEkzF5VF6>r1jk! zhoI42aDC3mgWbs~v2NAh2T2(J00FNia{dZoW@S(KB}BWdD4z2sOirtl{f=hI!TS>< zeZ}ZN#q?i+zC(R*I8Pf`arw@k(@sd%)Dl#)>|723pRlbk_mK4Dzw4Uhhn4M*>2v(K zg!bo6>_6xOXYmUY+7u(&BmV$hf9ik#!~iT10RRF50RsaB0s{d70RaF20RRypF+mVf zVR3fdAS62mt{A0Y4D>E((G;H59S=*vW4<(Rb#n3DU&Z{PFlF zi^sf4+>p&B;j)usxXd4_K*BS-6P5vbU_v!3XrR@2B{`*bUh)3t$CWleD0J?;j+#b+ z!tNRlulG8i;~!mWihiQr^i~}TDBAdQ{{WDRE+Pznf6N2H{{S=lFY^Vz>v^Bo zf0_OF`J7+w`GYinSIqvc{J@I;07uLZaRcDC3~*KL{{UDvzoF)K{tUYdeG=XuG3>r# z8L|h5%<#Ttnn&OK5(}9zd%Jv21eMh6wU0#0!7f7QB)R_pn?L>}{{ZGD$HkcpFVeCQh82(Gz?GvE(`wv41eL6=f`5{T{KX{bBs@BcDn2)J7{u1mdn;mZFEmXG&eEJja!KN{E!4JUeKeW zYu5Ec8kz318IHLV{{S|4(d5fpQY_W6*jpjtWq}o~ARltit(pG-w<7%>`IQp8^Zx)f zkv(tdxKauaL#-XF(Fs-cUv^?~Y8!?l90gQcqu~jsbtn^pb7&Zx-D-v>vJt|S#6E=h>s)O)HuzV8E4}y3Pf_KQL6T#4|tV_8`{VkRK zwtK!=U+T)sf2$FfO1H}wyX70Ue@^foe=PI9W|4fbWmoeMG4oA_%8v5+XPNnc;Q6Qj z0AK$AWxw^q39rk5IQft`fv=iR<_S3e06saA^~wrEzG1U^N|z*N?S0iD)Cn8b4cR@L zZYo`UH_*heV!mQ0WEZWPwu~0R7Q{o6raPfHlzYT^L`cz`K{F#o7b!Vdc4ZPEluC&N z?G$dJZoV#nS_8PmOCN>}5@oq`wt_I&K)aNQcI6ep-&^lHZU;Gz_G2R;-&!oeyu6G;jbS<7D2iskB zA|@jZ^Auo)=q_H_wik4PRxNwW+b)rDKy_5Zd1lFTc`TxWvJZj)*<W!=y=`YeD;W$MyJ&GkT2X&2{ewu+@X#os(HrGN7D-@BDwT@T};fNa%`$%mi z^>_%(T&D%i0UxzQUn+D7Qk~;sa;xsAdpD?6cTk$lQ*|2XLcEaj_X>yq0N6(q^jO50 z2o!txiUw_06Jcs|%r?lu8&o~o#>j?7zonK9bR#Zb(#RBW7={fX#u#&@jo(iEpfth? z?=59q&m_L+_al{!b|z15inxj;%Y!eX(sUT^1JrBlwRUS%CcW_dTMM`>>Mp6O>WwGez2&IchL^jkJ?mA z_jGp{r0G-t0II}(7MuIZ`N49|MT>TBr#7ZqPt&(EF(0i28%Taq02ioC2`fyShhY)c zSDdXqB}vIAZ{PMk7<3kY-jF@N)iSM(Ft}BQCNQ=s3CMAk+w_(J#7TlP;AaOoX5uiY zl&*z7A_eR)9+u_w9*z=P4#6MO+m|j}xpIqKwGJe?E$N4LIT{Y4k*DrjQOpoGR>VI6 zcsP`*=Mx?B_hl+!iaBK}8-~(7Ftah1HbSDVx8~tg(#slHw!ubo3PQdy_qu_BhcygG zOBLEJmxxBZU%YW76r{3F8;R5l0C{H``LVf9eimv)jNEWI7zgotO6QgINaT4M(4#gBKWL2B4o2Hh7>E&>h8Frj&xeHN5r0=>zC?A?;*gJt)ak7$u= zcQ#zFt4G=?&rG}X_H=ftU)#}_cO4bA_MQ;_%rC3Tl**MA@aD;*>EmBRWya0jF<6^7 zEep7j%1YanwDvirUq7?-{{Uayk8a=aMSbL1l%fYh_%cAt%msMrUqMLERsgHR7mJCP z)L_ScqEVUI9zNoBsxNuT>ra#E?qAyD2>gK;22I{B8-1eK*AUikA~29;14B?4(W=g3 zT^SY3xouj@$J;TlcyZn(0))6PdLahE-?XmxZxiEYDV6o%!$DsUzEGN-gY228~i6MYBaaCeUDaZ{e?G`m_QDwX`e0ieqT zt{!GTRy2CRrp@9h$lOBgnP~vlWmJ!zn~k`(h!j4Wm`=wVe6TQ=6x}|ReF<@@9sQmB z*uQOIfH|edAJ~OV-n2M+Y6p&nys2k8;QCmxhCO_uOGD7>a)K-uz+}fbll{MNm(geS z{m4q~U!n^0!Hj(l*Lb2BXC@~?EFGY$(_%4kA@wn3_aQ#wX6xwEe)j5A!U1b8%*ul0 zlq$9kG{{sz>4(x}CSZ`FxGyR-@rnPQ%ID{8Gpwd9JZ;TfZgw9#q{ zxtvk6@c_3jVU)1T6CX(yCuwq74oCATsqGCIAquvUmta&PHgNG!5>m3iCII7{Hc@3n zEH4CYYLr#l8b|3-YM#J$|2wWh}SC84f&WvXR8Th%@d*>{bs(3 zg(V@|`OobwGOE1}wJyYTeh6?Y_Wi}uqZr-)0Ce$Meu&liPF}AQBLzxDK>*7hgt}?4 z@XS37b@YmLkLu<#je8$QIflOyT4e458;42{_P8UT)f3%dL#GMp$mp2q z7+CZpihdSgdU1Ut7QLgT*#P#kKDw3E-;eIWJ&&rVyz#&bbOW}%b8es(Eo(j43+mJH zEl$to)D8P9gR`5BB`8xJc}|PeitI=|RZ`-KGcoHOki5MS&)JcQ01TH5-~ehG7lbx%bX0B} z+(K#_r@Uer346+^brHP=CB+&dxNi{Et1!7pjIsjAthoy-5eOa1Q{zjUiVR}D^<4hl z&+MCopJnN!EFw3fIugZl1*u#7F}yeESczT4Xe;_~R~`}9fc?zF`_ny`L#xDVAkwg~ z!aYS}`m^S+SEz?p8*;OcT0y?pU+*Nrq9`4PP0OD(pUpExYWoRm;0UCl29yOpH*v`qu_#&(3 zA%RrxAx86tXbu>xc(hzR$C`{g=pgRGVDFNFe6|>1BVc32B_1-#35!~#6eCX3ChZz z`6ol6p9Jy~l#dr_5syQfZ_s}W*%;^`@iBgOP3ahGr^WWbqd!% zw4F#QR^V%)a9X+`c7Fpg*)62OLx5qjY0Ly-UA|&1n79X6)sY;6v4s2Pgw(RAt7&8< zVny|U4qz421rxiFsLJG_QI5OPX6>6G^K`q*Sb^iU#mBpL5JsC^Bq4Y;U4nOlOGu*P zC7o3v057~_O&d!AL#DDyyaulbSHJBn-5zyMW zJ^uir;T=IyBE#AwW6_=SA<8$=Bhf{j+A)Uxl}d`dzq8O~y-Ff%>bkNOb-gjSD*l(0 zN%OZ%1xDC#f=fi;^i5dmYqX620N16mv{mj!5T(>B+iXkL;6eM~KLMWT28wBV#0_Fs ztZmY+TQi2JlqU2-!2tWrC6;m^k!2_?_+_qPpz$^;tRTEdSvMD9=Rql<-3BkmyR2Oz4ypP^qaj=rE_N7NvtuW`-&iOdQp|ejzS^XP9+i&R&eWhvHVT z97_*sxYoj6(z;ZUlJ#O>J5D!2f=Q8cWFyW(e$5N<%l2N3h(ku(=&!r>At#Tee08Z( z{+oKFdRj|&i!JXw(C#vgEO}OW8I(G)t7;>Et(XdvuyH(jE@xXrYKiX{RvTv4p*>>h1FEz z^MLFGvyDb+`lWXFYB52>DUb((CmRT*$~@VD;t4E*UujO@YNc|TAr;)00&W~N3=6!^ zFzk@@!g1yriM`Im5{!Cb1CgQHT8)c`F&8i^2MoDr_HLu}DS{*b!pa9S;9_2GJ8YO$ zuN-1nv{7i3Lq&`u0gkj$2}Nd?5`u#UW%$V`RiC!Ju_<|PWTpjI>SMc1qYDy*!$G6E z)rl`i0q&PH`WeJ{ktSC_`IlAA&|}i!A(gcUK)K7(8^MyF@nl6RQf@6at!fW3iJ-FP zQH4j78Rd$;FpbII?7H$x^xx3MG++eb8t4b1`||Hi7+1$bjLsuDnCpq(!iJ zUBrYj9+Kc)-FTKqZt(M@@zVrCt1NOH1z7;y0%kYPCu7Te#3jJEo-T@o9jr%SW=naD zv5~8f0Fr}Ov7C~H+vp0F*1nhR zJs!2yB~)d)>67~}WLMW6GpC6w6Av%fEEmI!{6DK4A8gI`{heDtjgsP_opNN{Hwq}^{?+R1sjsW9AnO|5$_bv85kkN6~6h#HF-J1^&2~>Lv?(T3usSpJR z?w(?0xCXxl0He;J>1;~cRlw#4`UH=6Ow{Hr**|er%9%+EAYtRQK#gWGoJTg)*?jii zDpMnm@7Tt)MCOi zHPuthHG+Vdl+j;Ux7bG!@9%~RqW=K$Hv=`z}l8vDXfon zBzJZDe{uUK?v;6Df3ngXNw8cY?FxA$u3jN1?e(-ES7Xs%uMK^x3FviD;sx?YKcYJ> zTD&G|jAk%l*k-8MLuUDd#B&s^2sA(7OhfEekL zC3ZP|N`yBK;6A4~(+(|d3oa!+P(anUxPUhI%8%MZFLu0N7^>dThoKzCy{owIOKJoo zZEQ?&nzlGbWhyWEPej_7M{<~;Sj02R3(jU2Q4Qg^HdwH@;zk(&O$4Z{=%)FKqe`4j z9d1%)&~NGb+MERpj{Lj12uO;i-r2~ypzV{b$akW~?) z6P1w$U{=`*S~v-FHGj%yA4sxXjxu;BEO}uNKpick(Zo~?Ez%l#zE^PpJLbtM4BYKn zm4et>Ss+pCe!w+dzRf+~(tUjx+7+KMTY5*@TM{`MeqsLrvW;PYu~Egg%MA3Sq^Wg} zupg?4Rnne`Dx!5Q1ufKH2Ps!l)*D@%jJFnqhRZ&PoJ;Qo2Y75!RpQ}VN~uwmWIl~4 zGO|A#^!>y4I`}Qh-jt}N3qE4R?AExo%G4Ivbc2$W)zsMnUd4&BrgUjX0PXE;JMdGVMb$#4t51w!(fOedxeq-f)S)Z6$!P_aHCxk9aOT zf)$qWx3=>PN`{Etq)pVxP72SP?w1xNYrg$%A)!HK%dvBhy03G7z7u2dUv7G-g=S*9?_0{LaafNfpZVp>#LGBTMj zn&Jd5QAE7MqLQ@aiIh-r(yoZlmzrHG0?hI9+s3Js02ZtoTa_(F+BNLU<7_&5S+_-~ zlT0}giwanUN2wk?NXxquIY`(c>$e3opfd`bf6h2h(ohgBUC5kCp`f+a^h>82R& zcI;-L{tyE|#2S)dQG@WVVU+B8HYC0l@i+uGct1|A>JGHsJ(*TRRUGVh&f$1uy{1wn zj*1?bjvcHjjbNWtKM&dDHT8?pi~q8vgx;%$bPFiFx4JnaVD@g}l%aP4;^;#ZeU!K= zNU47?Ygp%eFe&2IFPUBEKV|zyXCJc7SwM<;x7(q8>FG{`Wj8w$Js0~18Kl%0RcJu=-4fff+zEUBV>4&g~cn_s8LsB_#?eEgZzKl(4Hq<)|^J z$(PDd$H63jIwCb5j$;}Zs#7aexp08GsS;Q{308x-5hG38)jG$#*5N$!ovmk-qzQb1$3Z$2tTUoC~Fz-caRSl8QXsc6ZHI&9TuxP~( zF>kpoOeh(~r!r~{KH8Q;m{pbVKqnRhL}Lh6#$yRpDvP;RTO~%sb6HC;3xti8F$+}2 zd#PBhrIa6ZMk42StR{aDMUq}3-l0)WQp}lTF1e1d^F(9aVKBnHp$Wr6S*}v3rOlO{N*>~dmzP0}V@4@5$0An4*k+dGxgHo+_B88i&h+4j7 zcA&k^dK5DjsDqrwE0KdOX#Y_go!tX7n{NcmWQE zz{((c%DF*{txQZ;a|=Zd2~{_CZBV5$pT+GndjhkjUUPNJ!r+BuQH`9>?4XH z7Hxw8UykM79t7WtSrw}7<88~0vWmqBH7;)*do9LhhQ%)lf#5X?h8|^js(bs(-6dro zB?a#iWkHv$?WJiqGbQSrkgW|&&gG1?(3Y0SFb%EY!sQcdTqcI`QB(LCr&XDh<6SzI z5O=pKLCMY#xt0dG6BA}=Bx?vyP#kxFZKW{m6>~<3VV_WIxONL&ksvGxLE(hciSevst6#109J)#Y0@up}olCsEW!U(^ zrKKeHheNb#Qkkn5dI(ASt1bS<2r3snU~KnJ78awZ$@gX0_waJ z`#hgnDT2FS2resIyTTUA^7nv7x-)O@Mk53*V?@{32*hms)fB&f^vYNTDN%RaAl((V zVGV_OgdwFd39?QS?J*TQvm4r6Eozhiwk=JCgj*?#&uCqnp%=2KYuY2u&*EZ8MlHf! zETN8E!UrToSSjk}NL9@*3-+Lv#1pUp>>=l&3r(p(uc`qq7A%jE61JroLib`QQwl6i zF};_}eJlz{e7G4w#nO}!M!n_4(Qb7t&5M>Jo7C96+;YzY4vc=FS_H*Xq6z}*iDGs* z@=7ZBRJ$YfXu}i_tY2<*^Gj4w^4ApZcpnB`cC^Q!mqpsdii zpv@S;P>zP6pt21b3+8LYuQs3k-7Xjkk?c87UM z5tDobN$1oUQJG>|OcFHX%~6p>ODo|9>Et$JYAIH(l2)%U@8OO^h>wV%u7V><@ot4B z?aaGS3S6FJjQ4!wHqm@Wc(yyM)vBWg<#tPUJ)pYc{hGM1%hHIKkph-50}(aOR^ZJo zn8C#!vZb-#u-|eAdZMpbzzR}sX(BAYm}^vET2xOx3VyntAJr?<``mh%DTjK@0dTk) zha|0-TtcXO%dJ-li7tV7%;zQul{LzWT&oFX$T4!9aCa*;mdfav1Xa%_9Yl1tqvVxX zh>aRY;ua!Uq?LJ&P%h+DF*e&`)^ec+;xL)CXGUGt4H4=MYBCE^D5whnN^Jy{`CTWdBnY#xz)ES-{PAUjGhL!c?yOvZm{2?v-~F<2?0g29*BObq3D zqF&m;>C2WefQr@G9;Hsp8g`erYZof<(2-D3YI7llN`(o@7Zm%&*?%z(_jXlmTq|VR z0UXRbtQzdhZz`~*^iQNBNkHJM}2n_t(hnEeVq&B6EBja1O{7-NgJkcZT`nf)t>6s$k@h>^gf4xaA0M%pZ6u0|z6wp*8u0#{E$ z&q+&|E;7Qt5D0FVqM31SA)UI7aoxi4aG9bwd&4oS=2A)(S+#*LK|4l*+nm&)Jjw)A z4ZcQZQ)&WY@f8C%soDUtpuMG56fv3!DcoNve&P=F)hDE=)CLxMaz07CNiTLuw5%8i z$?-ANdZN{dsTik(vmE=70m;?&jHoGucaaW^ex!AX*N6#r!?j9;P~46jHNA*N44E5p z5LwN@3CY80JgqYiGs_W6`%F3;jPZ02+u~HkTeT$X7tv_>zZ-nGocuu~+81N9!z{>$ zjK2P9tr36*hL-HXVR-0KL=tL=*c5H&;;0coieZ{#G%0d-fB+9Th*(pSqAX{Kp2xi< zfd{k=vi{;wp}!Qxg-I4KD@sAO7FJu5h5+WKYEiNZ#fN&0qKd;+D=x9bG%qJC$t?Ml zE}50e{h;|$5YJV(f}oe(h=x_nxmtTg2(P6eHD^|UPH6(nw9Vao(hEkI(1p1LQuy(c zfV`9NHYcS#gxHgc)O7g+?=q1XEAne>I2B( zwA@{u6DLi|fQy>`$S!_+tZEkuC@7Q(uLYqIO}fz_&P#k;(nm5C#BDK5bek(H_J%!J zJ)Q^4GTYPpM_{@|9#ZGVSjah-r<3ZN%^3i5W3i2Esa5%LMf^`xujMkJP|ApTcL;Ro(>ja9RZp>nLC$jJpVyT0-}$j%KEr@PQ%HpGe{aMHWN0oDJV37aX5uATMEGUkl5CyA5Q+7m|UjQ^+ zIHn+6PV~A=$`s!>mrz$&q8#n^k<}ja!`fY$aB8e}VHxfLvA7C~EoG}&l zAEa3KQ6sE7Eb`8Qv-K0BuRx_vpOTrhVVZFV|GC6n5 zweUHYVOae_LX5lZa4!yJDYp!=7_WWBklAWiq!z4JOyq(@Vq=h5j}ZZa%)sCZR17w* z$(A z6#GJyOBe=)wHTDS7#8CoD#ZgJS|FhT3g>x{7k1Lp=%R`CxCK!+e+LCX7q6g!BE1cP z4a={yqtu4ew^UV+L*g0w@6k*jw_Wd^E+Qp9)tk|Txm1;1-{msonw1wyc8?17+a=n#+D5!zB=V5sVmHF#@BTb#*Yy!E|TlZ24PoOU+%f97;La zq$wLubZqdPa}=f=5aqoF-u1-xLK_b$8tg_f2%w8~Kq#)zIfaMnG`V<-O3sz0XH7BHJ>4xSG(#UqkL2I+3 z2%y9hfYM7Z4pqywkhs!48249Fj3I=I_gQ`*3z?P;TD)bJ#Cz_+0iQEC#^zEAaKr>? zVC4lCN`17j!vc*dPpKEsHeiQiiu*f3Z`<~D+sMA<Jz&u^NG2gvS}8EYK|b%haqD%!xpRp_*ypFGgLT@?R=|&Blss zhGn=yqe5?lFmcHtd5RY*RyO&Rx57uH!nH3;R6=>Cu#m(R1suZwQrt5%nw7F~3bb() zi-iVhLi#flHs+bJFEF_{RF<0NT3Rb?B>@KziLhJ51M1Ysm1L|y_|SYr1#&{`EOrvy zECt*#96BZYh^;q>EX65y0W?{XASlMyOjbO~h$_|}-`|KeFQfMo?(LL{+%~aZS9cyJ zbnHIW0oER=@qJxaGogqt#?}*6o(XnIvtWV%A$)^o(U~^ zi(ThDv$i>?W)*Kkc$tk9o4+##8Ac;BQe`QXEVD4ItyX0MDyL+1V+N4`C0LXa={!Rs zE6NBeF4FMvD4x+%&_jrt)S{H;$2A9_F^fYg?<2hu3_arO6Diw##Kc3MS%AeFr@XiO zc9sNL>eKsNWouFZqLO+l3MT|A;EiKxUhgr&)M4GuE||gD;AiLWrL@)sow2 zlQ__tt-4kGP|G&OD4d$haBtNMfxeld4b^mF+4W-n62~>?`G^X12(N;wnBh$a5K%Qs zgJU?jTDG8Cu#BVH2%Z*(3*;Gv!+p$n23|$No6C|Sg*e1pLVHmv4+8;PWj7QkD%YgH zT>c=40t;iUD3qCYp@u{{V|Q={+b)v~m=LazMykOBH6S6xP+gTC&YM)FA(df@V-;Ip zDy7q~9`kdyisGUhOL+Z5VQ4h~rYNa4)EdT1jpLa_>9f5kL#4}%Ve~afqf4vm*?1FX ziehOYG#0f9#|p(G3Cu-z3)zh=SB-tFj=P&_o40&%iYuUTA;s8=yQtl!2|7bbF=!V= z%nLkC1Wbvjm07MBq$uIS8-N|ICWy1>KpJ{}^1--8aHAQxkhV%e7Drf2j7%=!sRi0{ zL)j~H*#)4@D9ot_g~f2TELpG!^nfwYc#fv8q(cN)YgeF86J)ud5dG^^)4}$4L|(sk z3ei2u_RU|zlog=w7tWeRgR6&q3^(SDKxoCG&ZWq8xh_^py zcq_~M`o!H+vblE{k%=vvT&qahumYq7FSHgsvVj704cxyoq`|thms`_}glB1M(aF>? zhf+FE2x{-^GN)6M+FFZM(-%}i1y>81!s{7p4rB;jVk+?_XjuTel`*W`zFIB=X~)c| zn1ZviN*8RXY6J!p!0pRrfaT^CH$~KO2>vVEO$EI~?Mf*shdLJw;R4(dk-K2kM)WK@ zYNTIe=K*{Gg3xQgFyOBsMYI68XdwRpzgsX>s=PB*b2c3zRZMdbR4HNuFcC!uA8E%+ zqKDPR3JPQrBT8Zg$YUVOX#_4Evc=&)VtvHFI=aWN6obqm1P~b58kX?qhytVvD;WBe z)?M-fFss6y1eGkK5!3`#EXP7YhCE_!C?;bq7DKCTbt*u(=KZ3w;0TC$_9YboN-q;h zY#@*TvWhRILPZs<%9BTQJWnZjT8l1}0d5A@yBYHdF+&#Ls}aOhT&N4LC>%f z)eU)hF1nVCFqtuo;zYxWqD{ zumc9k#bHH*7$bO;ZDoAKvCJeDwF(erH{LSzZu{IJB4St*nz=+<49!qe@ee&AY{)x@ z$F$YNQKX1ixn+63GK*pp(u7jn8$Fpt`9>j9F?LIXJ~Enxz|>!?j}CBDSJbYEt-a6;{O0fEn39v zpdm6KRvfXY6$Aq)E(MafAublMK}t+>5HaDHmc?YU2Fim4OeFw%Fg85PR^XS#Fr5Kp zoD#+(1gP23j*C^l1gPq@dYn846du%^Pu2Z#EBoCe?oT{SJ7ah(L4UXcKrS}1=yMNW zX!oL`TeH~>#Dp1to!qG3V&*U#XuQ-y6GIpFH<=#Lu8zcho7Kc>oj+k*Cm(s}`%A#;4%v)gWEbz^2?;Vk> zwZH@d{Qy(0~Hye}nP#?k^`qCZ8{ zTZ~v`s-P9vkw`&g-M}b_^=c<;%3NrBMO21DO(S*G%W1Bkw0hgCH6G!jBoGT@ntT0~ zJnFuu8SY*HS*B4^d$9;4Zmfeo&6{JoScKk*+-e&@N?d9+sc4EJu&nbIKBfNvi)F_N zqkj$nNfY>i^b8)`3>;j)l4N8VZ*f9RUIOVkn54F_!@XG&dJFKiP%QxF5g z!>Wy%CL^k9aSl?6Ug0GoS3o2X$5F3ut=7*!>!+U46V6%vsh{ssAnuJ)Upn}vRsu?5nL$ds$h z&fjFo*fUXC$|B&rO5$PKzal9XD^|)5L@~3$+Y+P`CxerogC;1wHEwyypn5V>@9}l0D#sdyxpn>Jj3z1Le4&^MyuI!rcTY3h5XL z>LAxFUnICIK)Ue0Y6-8&G2p)`aZ6rU{WbJ4ZyjpETV@bTh$0Q7k+bIN@qN9H8!fsRBimxHz8gd2t2YQoj1sB7XiFb#Q}yjiJYBY2NN+cQ#x zgk$elj_{2Fx7=}Y&gxuaxU0BCP9Tb)LyHq8(O^1m;vMNkapgd+rXGIDd>P{mjsdu3 zGOUk;q!kWexG6No9vwp~opJ91-2$`*BI9&MmLaeb<#4Do4Wi3-r4(8-edD8aKa^>s zRI!*Km$aiX>Z}ZRxf?Jt!6-QUS6YBmPf0=^aVgoU~V)aTHwsHvIPNU?CqSyfQ;F%$@; zC52oW)k@-18tMd6lH7zF9Yu||gs63ZQ0g>-V@_%Xq`uV)#i`;HKd`~s{E$Yq?^6QL zl|n#=gfOa0(q6$I5}X~?MG59)GAi{HyeKfLj%lj6UMr`KAQ!|ZdNgB3T8=Ftryx_;>f;8KVj6Z^_SiZyqXf`qt2DL2x^F!GOXX&CB`HnEb*h}k^x}* zS)8DP!rDs6TXMZ40<`W~BGiE3Wr)o-icFj!uOcBOY!B#-k~o}BEJCWK=MZd5bRuE> z%*xlBT*S^Txw+ghj=8qeJ1!X^jk+KN=!0X;LT+-(t%ZKzvB}Fec?`_(X<=&iDI7Lb zO?|k5h5&9>m7=IkY{E*k;6aXwvTTnbi!%w{ z2i>TiD%(`1#H8CeS|0Zkiq`@0L+rWQLM**EF^$ILT_ECKIM6|<7NAs6sUzAEjFT5` z2Y88XL>JMKzat5Vyh4>X;gr@3biVj6qnvobg`Ycb?vAj^W};v!GO?SL2#w2&99a%0 zWZ)sWil6`yNo1nYLS$w-vnC6rh!}G52_nklNVbecQe-HUs=62H5|XP4MrApC+*6_6xoF&VCu_U%>NF}|+NxwBe5`89<%@~{=7x)w z`z~-98HnLK3%a!qxAieSU$0F;L4p+uGXgiWy z0yk)-#AGoU!z+000Tz={T)_y(H3VoyPf~@Q+=j{Tsl>rV?z;>JcsIgr}G?$wxB7 zQOVLc#LAK_7?fPf24~_WYmB!ksP2Ul@Awc#x9(p~%6=nM%Dja$J(lgDFyPHI<`1mu zl}H6pi|&c|bRVIY(>s;&KVMsaD%pOtR?HiNYN+oHCEFiGb4p*6HMvOa6e*Mr@5k)H zyc=|)Mwxdt4v$-oX>8r3r!v)uBzJr{Duh!4yMk@Q_fh#E*9%rj4f*smluA)Vg%yq7y$Ba>NHTQVqb3n zcZ~)ipan%+6@>=aFSwfuswy@?PwarrJ-9S?`rfY!`UX5Hf&AZgIpYf2ZpYB^Y%lM7_|;iWQ*Evz^GzW=K?5cg;@J${F(T~ zLd9<2rf!4m)J@}KliW6dkeN6JaRD%sdDOLE^ibsQ{od;;7`+uprpB2Zj=ow4P( zt#(O$>TjVifEP{XE(pr^gr#@~>Rji)6EYOHHD7-}V;G&xp7z*#*SHn+5sOj66Kjx5 z$^;9$NqY!Yv?pdLgi9lCE&dT!a{m)E%2b-0yTtsvifb9R>@=84iHlFeb{{EqvR?NfdEGf z_nFY5WOnaJ7Y~j+!Kln6|y%v2C!_0%QpUu+}cfs|dE^3rcR8st@p$a6lXZq6_3iv1?}$J)nS6 zzGFzzHtlV~L!CWXl?}E^r?#Sq$f4brrUDtcJ)aO#oP*U|$5R0*JJ)#r=8$SwSj`%^ zN;hv3*mD~(o`{g`A@Mi~C9Dm`z2jQn3@bSLh{giTZC)j*YFhy>_t~jZ7{d2ZB`BT( z!H6vpXAC8W04uaFH5Q8BOK?;e^#wuuD*PRD59ZS0mdT2AcM+u9L;R7IaC3%1UtW0d zpEW=|#mq}Eub@(xd7?MxEM2-5?CJ! zW08FP!2_z5^hREp9zDZoYlddz_tloj%2jfJoE)ZtvT}EhEGw+u#cAkDJfObNGQO1P zAWgC(1)cU;N~Ej2B?`_Io6z1D!yOjJ35+9rOFmXS5T?18uO^6&#wLVnE1X;>lL}lc z7>8Rl>JY}3Bj2)oMS<6zA;Gb3T7#N%6wArXNjd^TM6eEJu6T=wxJZaDNK`>A;-iLD za}L*chl`bObC>u_(aj+X zqE%J{6SI(jpofJQk`JU+7Rc7RUt5_*68+5A1;cFE_H;gqEuEm;UY&y_oi)kB>)0eO_gR#Kk?6!GaO;zI zL!4m~W`q=C2KJHK3;3!>*j8UEa*v^0HQs+@&Y)7vh+>@u9!4QxSt~Lor2urST8tRE zWlm#37)8-C5z$aoCeb6q(qqvW7Z0g%tB75CC1SR*s9&V zDHX6AaL}Bes7~O&n4rW(`cy&|0wGs>A0uSBdy|^Q$QI4#wlR*{v$HCwtrvU|^tQ~P z$5Rseo4J=q2^>Oaig6SZC`U<^e&!n>7f?90R>4h%T*HJ}*)ue?SEx~|OuR;YW4ESG2`p}6e3 z6!8!iSE&=$#rsa$ww%?jH&BqdRT6R0lmIa!3^Ga(ML?K-^?{NW#JfC~h&4TJ4`r$e zUbcxGAsaeN4+Ox#e^&z9+o3KzySV(ctp%U6M6pLkF~>}7r$A!iYMt!E#6IcE3`Q}+ z06?PJpr|0V!tM+7EV%bjdn`zlP^t_rdj%iKQi^;BuH!XhP8%6$<;2n4qhUoL3o@hd+n|aI4W5PrvRL zWb^dq3!=KO5W(eh5Jjm#tV1p2QEQqFO527}O246hPLC8d(+S)#J!&Ws94s+bP-7lr zt8SpT^rdvKqNBx`3gB(4x|9&1*VR+8{TXQ_+^K_3;yE94o^40*X_bhgpputtv}Bhn zR~o{qpgX4DF&l&`Gd~edV?P*H)${k4>dI1ZkhDyO=kiNt2w4Fa0<|@N-o4B+LJg3 z1Sxq!hmss@w;7Sy6hmR;)J3(mT8hs0NANP{>ZX%-z9E@Bk2$thYn@kjDMuK$0yAK6 z#|UN#&|Zv4RKf_dxyr{lWi9N?VRaRSI+E4HwV%tEDy#BU{hv#~>JivKf)Km{T9CL= z6CEP~#56tnV6*0VUo51dNwiB*SqYx4b>#CmfERceV58O6^2e=Qh(UJRd$STCt|5+6 z^%nH7gvvX(Lkyzem?qdG87YrXYCTkH${?#sgS>{f3?G<=Ero-T9S;CRR$$Z@jC=%B z_L0FkFb<)rlu?QqoRF3p?%{walmi*`MlcTSVInLd6xu-nWUKJP*@9!xskx?D&PY53 zW${q^tBa=EY?*A~sqBRQXxT|iW5Uz0S|C|N2a|HzxLK&bqWYPSldq&}CQfS0ju}u^ z?pbKxG8l&WX6o}$ZeP$be_7;;V$?#;K=koV)NnO4wZJi%2ZH2+6ygTm4Lhb`R566SNTLbUs*6&{B`4V(fFc(1-OO!T|M;1$39hK|B%nrTh zK*Sm~IHEe3q*;&HZz-%u)oMQtiw8YKi;=fwpJ&k|9WJU{h9)}aN$j#W+nW>sD#2GX zemVft4iPUb2Ff`UF-}#RgE*L$9XZbn67{0g@3f)|qH@Y_l>0C_>o2@TOi zWQ3b%5u~+{sev~8N^2-3QdwM>I1<6^!cp2|iI9Ae6HAY6lDF}+rymKlG%b}1K@rMV z?kQ7XJ_t`P3E;5sutH&Hcy*R{-Z=}Rc4^R1a6yAVMg1H6y-p0p77Gw%AXZi$W*Y^T z)HUzW;WQ)fJke6dTXxuc%tJpYiwQ}>Q}hhKpsmIf2B*XtSD~IPgn^4O3AEQSs>r!6 zHvqb)s%#xzJ4@a>p{L$mMuB{hAvJ7acaF{gGYr-#*LVbT7dCAD!C2zi!&HFk>u@mQ z_=p9z>|l5Q0LdJ=0_5fuCCO|xaLaS0shLE@X7Q+E4VABkh}IaQrM5U#N}B4anTKXB zFsL{CNCjFA#gn_d+~{FkTnQL$ewv^b$ywa7f;j* z>dC6n&xitopz}dOWG41vyaL^wlxyr(|k@+>Q;JJK^qZD zxCJKijs;XdF&|?L+VFNdW+hf{%%eeIWsj#}f>o%_U@F&HjaxAuvqw+jFm zRB6nomUfMhZ>m?)XDx-%o6+8-_}E&u$XvskR!}Y?w@apr#id15Hsa_B8aJd^yEz=- zRcOn(aCJ;`0u3_{G1RylAQQO6*t3YiyrWYW8*`X_s_tOxV=aNTm5c;$yhUMd*edQR zFj~D+02h=7$>`Lr3af&fphmTI5TFe{V=H7B`E~D+2Y51?4aw-vV_Ar@U}a)oSyt`< zHw@UCwfU9OZVbO7^T9pFO!`bE1Kihwx<3jZo0TwQ^f;Px5JDAkrZYFX^_F5s)XS&Z zXHhs+=M=B!$Zm@bP`|P((37PD2GM)tSe(YHrTO31kc6yNbg{dO^b;2;$DrNZ3#wOQ zDs`K9eUkvUN3fCNqBVWsud+`|V(Z+L1B^c?n+bB`GZBq$i#-61QzxdSb-U>1l8g{k zZPA*8&?g<#M_5H;PEhZZ6gj9Dy2j;}q-;#b!3UV8m(3MNequHAo{;BW$Gm)4q6PXy zA%%9&DVjm)0jnn?I1Cb!Dda?`As!{Ju)oZusapLBU*Jn$+YzNTOU4*zTKG9vdXN6{ zcVkszLNH=nub_smH<|c4V{sV*ZosEI?G-BZ-pC@>Y1bX_P9X@LI#`mo4l%h#mOAb?C`s+ZGd(_KkPWEzJN zV3aLf*12PGu?IT)rRfL)az zb;Md34jAB~E?C2P?-nt~EJfK${8AVM*sv*JZ{7bu=@j5H&O+9y#*NbsXlsV$%i|uZ~)XAEf+A+q|!GUd(-bJe9 zi{TblHq&a*!r@k^CDj551sJ}-AY_cO0@UcYiits$#&a&P1Y0eZtDaT!Vtt?sNy^LR zPe~eTXj8SB-AjU*9zsGLG+0gc`!a65faqeim&`aJ`>l8>aRR;S+Lo)LCSIrl@I2lk z%MX``y6UXj$n`(TFIkUetB+xOj7vlVcNks>^$+GXfwy~>mlg65Z8=DCU~iu=IjAPj zlMaDrm;{MzQ4Jask`l#Fz<-&2K=g=4*USgyDwo)PCT2IK&cnpY3~S}Fu#n+~6kNmF zS*-60~U6CK6I*arwLh92z7X+xV zM$xU>MFA&NUEV~b#fw2&l!GvET0QCxi#@eurT9lxy!nG90dGrqNmLhNw`=OXWkwuE>sbuwHs#>4LSP6eVnw(a*floo?+xx`#Uv{>WA7 zSI@jmYPz4glTJ$(<1=WKR$(8_;@S0fRJUP)uj(q)IFA5&LS;OK#y7&%@JJ`cUt@y& zL!=nPmx*@Zor$HQNMWYg%ZcS1*^^1sDvr-S9ym~gtlLO z#2k+=xk-jpv(z-TX)ER2?AO_I%3#9^%tguVo!633G|UfU+ZDj9SYT+2Wn@;c^hL!q zzF3S5w*&c9aATv)7%nOTtl}98jR6qV)g@IpX_cq-tU5y3nQZNoY^k^-OJZDFg60v| zMk=V&F|lNOJ4G(os2o%kvjEW|)l1sNk+!y&8pRQ=B_&X~dAR66A5qLo`$w;KB}}<1 zlM4)MHK^jHurfSAqB+{?8m}-Y@h&}|bID>-) z1uM+j{-zXu;NCWaQsv4{;$J}*T)>Q^Fq>*O)&~Rz@h`Ght0#-770Hf@Rlr7Px(=%^ z37I4x8?w)bU4Ntnc%XSTRj*T zPl%xDE-AkbMjz5>)$s;ompOQ8hUx$#<9vh(843lSK&Rk_63;|7*w8f0!&~zxX>)U84!dn--0DVT+!*}XJ6;YXGPO^a zObfMZy1g1mMvgF(RHxL3@bj5Ml%%l`Z>Q!3> zhMD2cX2#u#b#W*f5Z8DQFp(sx=-jv^BLD|P94gbdYzb%x;qNPFXt!G^%rt|j?3yuf z$uwcxP|&R1Hww>)TMi%-L{d?bYDviL8u@>8q4d#$#tBm{T+Qehc&I2L%9Kt6E#Omb z)M=&ahfV$yvO|M+0G3;1Ck<(9fpxDXs>9YpR1}Hsg+yo6GUmlF^7W8EaxU^yRM~b+lEuoV)>r3txxWwZiE^q05Gi4Xc}pHh)mbAC4WFwO2)ow0u+$W z>^FtW15LGv?c9i~?A$2)qRX9ij96rWs4y4RExv_l=m-JJo3U~s%@WC%1Xfwc{&6u> zoc{plAMJmr=sCJ{GAVnojDPTxIQ&F^_;a2(a{6;a-dH&sfs?r*Hgc_pu)VcKAk zJY-d$WGIYE`#_FR@`D!+)>$gKFsTJ|Q5~!oR19vxj?r#bVp;`G8j2dXzLE9~LquNI z4>eHCLi+H^dqED4#DoJu!#G|^P zTSO|QLa>PQ{PL;d3$>?f6252_ibaTpOHnR}q=k%J8q~J)Q3}MFf~%O!Ok6vbg6p>g zF<>n>4Gpn;dqG9DH=(Wl?p)nv=2K@SWn^zCZ##{be^^_QH|<&jU&mIbg-N;dc_n6cW(S9x&h zYRv}VUZtF?3PHiz+(fpysEko50o#PH{{U>>RvH3`mYRZ+*a|!&k~0e~kVUqw8&nZ} zO0vqAk`2(bATQ$h0+j;Xph(K~zQDBN;r(M^YeN;4n-J7lqb$yA?I8+oO!&#i^Oa#* ziH$1Mv)&N$%M}RwJ6dvPD{vu#Ss!Vg+a47r%BH-CMiid^;{wKNq*;&Ev z;e-_sLNPgU#k)-MV5(HguMmm3siG4~A{8%6sFCm8IF)w|^2_hvs->}CbyYdh`bIDH zu9*D`-HbIEh%i*BfYl^s4pvq?93@9~BC{v9nSG7vhz1+xPv?uA?VnE$Z-#k@7bf)`iB9QsJV*w)*K{c&2R^dGpe`-*Zd+wea?|yS6^sA;m`*qG}*vDXlXYl889r+@i!^_O;Sw>~-*fx}w{{t18!umO)js z^y=e6u5zfw-Ntr}&?UZ5OQ<5%u);HxI=y)0OS-(?*uPy$mG^V$YL`5+eKHBj+ezWX z6$Gnshdz>`-)#?O10o3BQp%K$$&K?S=oNI+mZ8rS`*wisQ44(WP2ykP-(8b>%oMVd zX=1Gv+AHi>VP92SG%kK-X~Ia8-ID-58Hs#M6{Rbo7oduo!@R`prGaY&fJ$})gD$-* z%4|hTysz1NHWMB)oIqNLFm}WUPPAHk#=V;J4TV8HuGc=%aOUwU*D}h=LGH4%x=UBE zx{V60Qgq69pi(w+oY@u8GlPV=QWZB<-q9v#?4kZH?U;Y(#|@|knBjiPuX6mDNOgRv zWeKIlshFl>k}#Sx{{U(`){zw~Q~@xsjmrU~yRradlByJ1FvNV}F6BhQECH)+amzm` ziW#D{xPCQ=k(5+cm6Mnxg@iJNBXHq_M4^s zG1hAksS2$%a^KolXl}c}Lwm_;Vpi1!wp#C~mxC+97(bwtwpoJdvYam{wUQq0UqYoy zmHq+E5Cy8eEoM~isSuc;TdKnp!@Izj8DUEfzX^+?IP_g|M+c)V7i3YX+ag39MXjLm zL`s~oa?^D-QU=$|XZ49cmV(gdzuuR^7hurU1wMe+JQ?Ql>ro3g9nbU<> zWglq2DQk_Z&2C*|?Pk&|kJi3_G&NK=s&|v| z$j|_ygw7I}wPmQX-ooYfjvRDr(vsZ8qgA#mnwVaG_$?H%^9T3Bs*dPG0f#u@jxGBd zx{C1OZRy1xQtE5M&GZg({gYDQBUauZlZxbV2jQ*Mxru&fmX}(CMT(BIo6B|xkpWV| zxqhAzHJEnb+R~!R?jOsVL^FuxP!(O#fSDkQV1b2)kV{a`q~rNa}Fnu{Q~RClx1 zC~!Q=J{{%bmL)S#mDmODRFp;q4J6E3menE9);HM7U2bVLWIbn=7{m!j#yq4&S1OM^ z;JX5XUuC@6I8Aj#M!C(^vclnBRuO3zOuWabuMOO)d>Vx^8M15iQ?4Hxea&I8n!Hg_4YE|3356?2Pvv=pr((3p3tWs5NE}mh) zUuvQZ1wK$+ixzMBpRAQ`<^Iz2ZYuF`8m9^txsOxwTLCkYNs$y|ND5X{$pXQ>vatij zMfKW+A7;66nmb(r=X)s^qX>RJHJ-rhBK?5!-a`;z=-#pIv7FrlO?G-2+&I9m@f4tVO!@5zMG5i5rudJj+}P*a@%^Q)GuM*6)c~x8Aw2 zh*9%vKW8kV5*KN*gOL+sOT4Ko=ncH0Fo0)##JfVeW=UJK7HZVp)Fr^<-k@Vsjv6ko zh3r}9Xs7lw4Z)We1C%Rv`z{0nO6FZiY7`1a&X}lbAfX~VtUg? z>QTH*M}^-^4jIfYc|*OaBQ8{4>2YQhd;}UA5+2=IUbXvt{@k zu(Be7@UA&Cz39IH89Uf^9V9%@AX%^FpE(a;JN$RcA2lMMV7_!gi(Km4300=SgWY z$=rh9tSQ=iwJoZvHtg@b$Cn1tRAQ7`8^mJ}p3s2?J;vJ0PY85vaj3Du)(#8gBb$2H z3$zt_kYd_PEVE3^6-FpU2`r{=qB~FowY5^H0@b#!f(P0z-rWF;bi4-)0iH3eG_ZwD zXgfw|Nrqo5OYXY_uF2SyKDCtd-P~IH9Hc@DV+;&o5Gyj%mza`*QSr9GS^mLA)4yw;&hSqg6L@g0#uMQNBV?m#s57~l zPsGdK0nwRFYcM!52#e%{ z+QfVU4Q+-srs2c0DHXUhLJ1V)h#6)o)gil461l_l@{u|!v6>}3-kf5=a${+Ue_t6C zM7QNL@C)~%u5e2G3(743AyeoU!0kE(%gqm%;AR)%g0OL0hgNV2OcYKb(rW{)_mma- z+usB=jBbZz%pfk34st*QUInzseEkHRH0%+@=P!W*S1YVJqASU^CUW%Rj&LggOnt;? zH&Z{AmSKjQdwpV2w9>x|(%{BiB}YgSwbTw@)V9)sXwc8(=Bp{0RyTNJAJEME<37JN zCm3^UkOpMwP3U=uKUVAprRoD(E5OS5CsxWKEf$hL8Rby%B?sh1NUW?37usmzddOL} zQvmQouow!GiP!g;2d1ry8BNMvRdF8ni_Dyi2hp!u)S}OUd{IysM+AQ?e#N8+#aw97 zf?}A0c7syj#G;{JO0Oxkz1*tn2-YqfCxz}@)EygItVW+Bc*++uE(=ez0{)qmqJqL}TO2`P z?K2HalC&J-8D#go$xqAd*LoyoA)pm=U9L9U808TNno1nw67M64ev6M{P)mZ`D21}T z5moPLrxS5B@pS>xx?0`Yo1iAgg&-f;%p}ALH!mbOO4Y_-%Me40mxAG;Cu@L?2H9n< zQ%zK;Bsl17!WWw14-1*kCI%$6&cwpVRJL=0y}|?x5J8xfxU`Y5MT(8W#7NX@5awi* z4~VI|e%V7_m+D23*5|x;Ixw7-rU_!#Tt=rlQaf*cWs&~nw6!e1Su}vN3~vG&*qH?+rS1TY;ny-5UKW(j-)>u+Z8q{ zaH|cp5EOK-95~6)$nWr^1a4S;u^G5*yvIOzm(;tAvph#A+H%13%D0%|zQVY)?3C4% zRZ_CyLLEj!#9>o%$mXc3sZ{`=nMm%d@HnYhbJ)6;O~Ru2haeV34dr{brcy?SVSa#O zH-Nca_h3MKwG^qBwx!-Uvjbyns_gO3wo>4En>!9#jqW5~pelG$K|NN+hy*8`oOYky z-CH*pW{G`_OdfI`Rgh>kX86+WtMP?!8ZZ|huA_R^q!P#!)TlI<;sh!NFd0^w;JRkU zUFk;^q`7t+D_TxvjNU3E0tS`?Ev8_8+A9h?aT5MePl(_=vg@UIcY{SZScHlmU*c}1 z!s&K>W`U?1f{+<=c&I8WzIc`f*DB|kra?k;+EqmEr4i)4N|VEJT!sa3?aU(F%L-wl z^=1m+9r!%4x(yacFDB*eZdFl=AUVCk8`&!1fDbbh%n;KOOv_6Sl%rna_gIJ_P-c95 z#`67=(P)Vg%11bJ8;Wdk2bRX!aBrCe+uAI-i~|BhEtf17!Y7EOJA+K6>l1}UH0&)GkWXOJEg8B*t;5Ous^S6%Eu-_gm-%VCyMTt{H}6)Z zAa!Ab&wQXvv7GQdfR+a9`F6%G52O5@OZZ-#!RtEwtV(p|w?Ly%&iKQ707OK^R zzQWe0&4Lr9BCk_GmL;=l$Sl)o$V|moC`(D3gz}{Ga`I{gr_lg?j#X%+v}H(iM#4DmQry~B#IC5>@j zw2Fn?-9uP{BZKo(4V~CDdM$Hv0-C8rn=DjRF=Ts8Q~?^bIgfw)>VqyfkxL?;!};eh%#PZh=6z&FJC5TE+ek_u|RFQpcuDM zRv9j2w#OaV@da*>+b$$)03u{FQQt9t#003;YzB;t@i!_87lxW4027JUc_n_4zylrD zN{pqEPkSp6#TEmCOZLwT!a>z5r<4dF5XlDY`G_FZTK0y>K;&F@fw4WUFGuxZr;_yO z7x%z|Ff6e!B=+f+c*@1v63)y~AeU-67~&&~DS#qp3?Rc+=2fx-9BcJ@hZNlPZf@>d zvX?f>1=?gV3f^(#=Jg5$(ygm<##gT%-eL+$wWzpJaHF#S088lwkMyz;96yF?eB36| zu3VOafGAG5(AWTxXxTHr70T4eU3G~3t!-N_{kPD{CQwnsP|^rHLd};#C#l(1O0O%7jW$AY6g}Z%+hL&ghJsj@U>H@3 z&Aw1BN^k_gZQ80^6^UmYh6rV04-&!O&X`ZY;TF>iXo|G_JlTUoNa`$e30~cirel*H zH7VY#!*KV1jG8Vqy}%RWi^g5v&F={p2lC-LK&_R)k?cK2$2SgAqt39eEH2L$sp9#H z+Un*8nQlB5sPaY|*TGQ4HtK1$a0kyiSi35Dx_Dplcz6PVqkW5F{f*0{<_=ApCV~}B z)&Q>%7m+DMfq_+uMT{!K?wYkP*n*)!cw<|vLmX9OcSY3w&p{I7olJ)YEma2g%7$ew z;1HYvq^jvHPf}dZLL%c`lQmxOFANGE&;zzn6=DlFay}rg5F9vdVvJTMn8Q#hXaSdV zL+_aH1Pr;xqjC_vYNh9BbwdF~A?hOl&|!EQje%%FqQ?SaW!)8B{F3MR4mADBlWg)T zDkgaC7|0vIjOeHhTFbY9%8ggac7RYK`^D@%322<)#Z(H@jTPo0)}dr(J)pDxmkQEU z_?4=!lX9C)O!-`FX*}*D><0ZV6Ny&XR(@rSM7c$q=05Q?R~ezTVCR^_46F~)IQDqQ zyqK>;rs22`YA`=>pg}bQ+JQIk@GMn~kEBO+P%c*i3wX(!`!*Y z`zn)i=#gHAnZpGR$#P+E!B&qD28~4KRVt%uyTR7QP_NQ6)k;okCX>fQCiKXOlZAa& zSY2?|j!9K?9e^N~1~d94xO8B=ka!1D8ZM7A-DTi=QDwpzIE(=~BcPkX(>N|zVC+{R zRC+n!HBrLyHv*~UeXtQ^zU7*|e!NdYH}|MUh+Qdpw7dj&q6MD*>wv2^%r^EVI5*{? zffS9E^0Mo=3tGisp!TiyOU<( zw%Wpk19fq|=>)Q`>)FFFkpgY%#|*(G*)%W!Eu1?`@`wzV3|+3?C3CQ9EJdS`U~Slk z7V$kLsYNWH5T`G87QuWjEI%nZV1|MI|5H6DCi}mAq`85 zjpdT16EG6Q28ejfh5rw1SJTV;I7h~Zei9WKDo1tt;Tu&(Qpe5MS)9sUUav+j764(8_a$+L0}@jG zt~;ix?h^?bQs(AHWDe1kFZ8G-Wu9muk&4nyOJ;uX^w``;sZ?$`c!tKUNYyl!E|8?R zZUo;6 zw1!|@O=)v#Vd${lE0Xvq-(&Lz+$vi&EptYyB+N$FB@(Z=Ns`L+#s?Fg+&s?ImCH7QD+4~u z9l3Bjw|Derla_5)XbUbZ%n*~yL1S?NqE8|colMdRE}OHFzMx?WZ-eZoe@KdKkqHSJ zB)A!CqC2Q1hE1P{K4D)d&5DZh=6T3h%mDUp=Q8gX@rYe_W>RvOD}pI<^FXL!gvh#= zq<3UthMSFd0GK66(&7Rzx+*INaDi;1hP4S66)f1>0d0WaP!Trv$P24WC5QMNRcsB2NhcIf{HFQj2tDW^D{Pleyk&ieg&N6SKBNw8iyHD{WXV)*6PI8Ttuis~5n$sd{N=X! zm6^rFHRcS|5+g6jm?Dtx#6l-+6?G^|)0vH|fw+JQbpi=PvmmP&yNa@*bWY**!E`{Z z;m6KK7Ot?fwFCniT?!OdS(KUF^>*bsvd652MhGPYCJK`bMqqF%B!)MJx0)lgTNAlZ zpeJ|wAnS>RU;(i-AJod%c>_`lh<`33dV+@Q9T+VS1HN zG>*(G-n3T605uMgmUJ|+5>c4+U}IH}rio##5FYCg3<1m$Ms1Z4wJ8>uw+{4ZvdqD; zSU8FUok0>AnHEKAAjTtYFQQuRf@D#1m|9G4=3b$eqXZuD+qhzk#WzAA+!vVLurRDE zQ5TETbq4$-r3_SM)V72euI5;=drPJLZYR*eiqeZ9RG#fYg<`1PG2UaQFH&5hRpG*l z;`IZy-jif&(U>SzHc~f~Cy1>EJ7iu5}TIF5dwe_lg-=zkI zFWm$caCpgZqKe`rOO;n}A*QpTJmNj`BS7?fxf>)wRR{zbc~J61iJNQ=jhm6eDw?cR z!)O#!XSozrtbw93mZ&PB^5|RUOZ3ocm?D;{1X5D1dg?UXp}@+`MI#&f$8c5yF`O=laa=?Yt$}QEiCC}8xNj9(TfBWwd9#sME#&h?<;gpi zIb62(YEusOPH4_pULT7q(B-zN-m#BsQhQSHMNW=`r9`<}p&>_tbpcQ<~&T9mR z!k2K3+z2AVx-l|1q&ZLpD(vPw34AcNlsKx2TH|*iK>q*{r~nyFyrYU+xM&LI<8PV; zsHha1F3TqqnYb7PY|m&|PkNXkx(vB!fk4=j-N7BCTvRKT3sGXH7!%W&FK^u`#~oRD zBUl9+h2HEEa%*lW5dLFOdT8J%v3HczU^iQ(Apa%YswdSZl#s5mLqXlV^MZ9V=e)> z^C{N%$uwbDo0Zm@C6?aZLM_Y6rB&>R{51D461hD@XiCk zLYRVeFR!D!z*9nNnWrRkz7(x^`JxNZzg2miixE_maVdOfhJZ1ZIsK};8 zMz*+)NiW^`aEo(x=#Duul~_LlreXcEqNWR3j-${%jT?ZHx1f79ky|f!?=tuMS^1QZ z79crTnJrh0SYYukrOSg>XNslVGWlh00J@O8TiI-u1})XFqG5k{c@r=_TIS3(My}m1b*Di9k(iAPEEC6Ko2AF*k>fAgRrRLo~0JoTSES z_Tl|DEhe2Y`+#_>ivZc&D&{S`$3u1pMK4_c0NT)bKH#mlHGJh>!$+d3MP}idQ7ADf zDEfsmo{Xj+KsHEy2_0=KyMmG@)!hm&D%!>S3K5eHw|5(Ya;377Tbab(72e_mm+bRZ&aQL6GhzCb9xJ*D8bsM|P$hcggRMsfviFIEkbp43}3nL`DK#(9qbBQxr623z5VRRHWGQMsCVNxJgxuteCi$ zsx0JURu>H4XU~}W4MtWy$te+%XDVXZ@f48AC_;lm#UaijtDYlBzJ;Sw)2P}m9!3-l z2dU{TeGC+l2Y^|bc1*jKFj2{_EP!_lHx|DpwqT)n7WqZ)5S+=CTo7N^W?GyHeB_k$ zx`XM3H%`;dDdmcETEn2rQL3X%_?Z{7RHUKk3iltTHU9w3%ysMfa}{L2q{~-$$~(n) zg(_s%7IARVz`Lc*%YjL|ZHAYl;*b0fC}i#NcK1q!nAp1XnIGvb})ozi6Njiyam3*v>ws4McxvE zk+~51UWnGDT)V{SsNidd@^lE~FfxGE#FkLge9Z{kk22>T)I9<0f?FzdfQmN#< z%_LX$^y!&IJg;HbH8;l2;h=L4Uu&s$XIJmdUX$YEz;}T11P7h9zIBo%^>fmf*lN%rHaOf`O zTmteLQmgL8nv&C)tTEyVafOnmD84!@At)OhMl8I^V}fLCXO;q&d>)e@C-0Q%L4u8p z+_i{{iqnf3=c)_cFnL=k=Lkxw)bPDRxpxG6CFmP&R4$=Py8u_Xfnn#;yj6MGVgdNB%85iUlsenT%BRCWky87OQ^8KT9Mr=gA zpuJHjkhgBwsBp^#32@4S1wONh-H5T{sfkVZK}W#X%&L`QJWa?}LqBr~#6$!cbmn06 zQ7MMV?kz}C(89b-#EzBc5msXMOavG)0L0i#T8`~F0J@D@B2_U}Cvh9vDobk1jD{-k zO~Oj;7>%+iAfwErDVbAPVS0h!fcrJZh%@0G6Ib zQdooHBE`|ws9Q)g0_zB&ZnS}aOVq{MWIeWpo((bLD`svGJe{HkLO>>t1Iq7D#Gb1D=_6!SkRln46wqbtW+UFs$v05%+V%P0&J>a zJWZ(*2Q+b1G4lpfo^y!S!7+)L3ruZHpeuxMn%n{91UB>qNX z;2ZB1Ko#b8tp-TH3_*UbA!f@lh(t8D+RGG>^Oo)Y6Yr!rkiD<3kkZ4LQ+=+ z5DLZ$)!c{)Q&(399*_nTve0GU7nVH6p`ZBNGH|=46n7gj!fs8KwnT6^Mo= z3|nGUbttGKN=J%`dg#wml@bf+R7o4EKtYPKq&T82!EMXMP?6=^F20iA>1HS4Gs&17 zp^*S_K#sr1Fi*KrondhJn<--yK?N!10YObMuxEJzrE$2R<`T`*kR8cG;LzlP7+YS` zwHz-;W+ekxXLvGm&K1`s#;l4?Dv~XQP7uOWcadFcyk%|E=-3HWDX2>>G6@|jp zg-ok#&uB_spf#j1nZ&^YLp&8Lh)+xamjafi5ZT{oR6N0R2OZF-Bzgz!TMMe6fIXM%U)aXu#KU^ zfA_>YMyo}48D61UL{+t!dw6e}0?HdT!D@~ab|IGxMvE4jr|i)RO0`cD7RdWYbGa%x zkSJk>I>m{gMyX(>jjaOcl$EjlrzR5oW%5=PdXp#Wl}dt)LiaXrX@g#XsX;;_Fe>pV zJVxoLs-5VYd=XMyR8j^DjK0yR31nFb%LT3$j>3*%dFiyP=vN38V8X=_E`|W6P0HEr z3>ud(%0VQ>(*Bniu%T;^WWf#=QMXgYdg4_PkQ3$$v}{-l={cUU7|n5P$=O#mMS1wb zVI993l?Cg$ghXr&-OC#9?Xrt76>q53wx`ORg8s!ux-CP8(i3{(s@b`~aUk!>cMs68^Mjo11>l#b%FpE56lS~4}w#F5*K(_ zkwx~gC|5y87Tgj#n5~GW;8Sd(qp=d9po>>FN~kue(-1%)12Qm0QBqJbz90>=7?^^H zLO1D3Wz@SSrAwfhCCd&fNc)o&uqy~nj}!Z|a8+m)YaX1sf?S27Oc`24EW>QRh{Xsm zX%MvFOGbe*JS@Ntf{#`oW{X}EA63uhe%2z6smD4^ZA?wGcH3d_X_!97U!z7)+`@QZ z465|ZCk;n(#WJ9_V0Vb6EHet|MDvBG?wZ`-o1B8EWQz?&bVw}H>zP~^6f$N4(^CeM zFiTa1q+pI^M2c2Quw6 z1xpk>UXfbpWq6U__*7 z@g3W7xpJ>|R6MaxBC}9Liuo4d8<}{oa&e3)=iHcvLFF5lRy4C^S(q_Om;okPR*?cy zR1!*SN>fCj4j?*Zh9ERe%0r@KY>|;|aVpx>z3&mCrgjKwo@a?9?>XRw8ZZ=s%xg=c z#-WXd4YU>!!zmVSTHu!Aj9w-Jj59%VFi0tvvWCc!Yz?KdqD#+69id|S%;tCy5Qt$S zP;*C6)@DdrLAkChoQxB&i@BznKDD{-8=SxxmVr)a`6Rx3zF6qGm`UI4DCjH=eHjx1 zN&+h|DP`9@YvXGz%CV_%m#{1Z0QsqrIkP-Vvo%_5&qyv>E>=s$%VNbw@}x)36}ke8 z&uQX#vdMmy-aw*Kl?+WGTQo+lc26B(1-<3k<|1Chm@JuCiHV%Txe%n}jsaQb5N~L% zzBJ4&)DbRp%>tY1D~75ngj38LQ%+{Y9r%mZWl%6X2uP@A2pQ1FWQDj@P_PP;oXZE6 zSmSc@cKtAr6HlP^V=6G3Ppf34wpt-|8&m@-yLI+ylCCa*h^HgOe9Gn|Wtd9~tATKY z4~6%N+?WhJQP=Ai>lw}{%F(v2XwitO_B=3f3!&J{*SV^SkHN>svU{LfK zae*@84_RwRYf~vp+O8bkd^a2SOnI5~mTK8XZRkCPD&%E0iSH=m<&9Tq>jeDl#s;t& ztun?I$|PP% zrx9n5K{!2HcP!^5=CKm6@x~$^+ft$GD-vI(OE2_R3yZu~qR~v)-i9Kgaol2T=~21wg1puHYzai(yGKvw}+>IN(xLtz}h+-`TWy>MT>VGQ&?sAmh(d(9FklB`M%L zg2Sb!^an%)Go2)`m6Uh8#px=c=|tfP*o%*p$y{bMOz*gtV#Yz7FffgC)bDYOx+PoP z>nuS1N^H!u%Rj3~?t?O^(l3c-&=q?yqd<|^vf1r2_Y8XNFt{p2tA|@D10^U_18Yk; ztZ0S_TKPgfmQ+cr0Sn z8%#>oM3#a<0IlNU%qZo!AZ)%MP=Wwgwr*iK*Q{98=>%#BAV5aL2-VP&J>syiIAW6E z=&ME=R9lhbsj;j3lky=^bi{P|`gqAI=volT?~B~Yb5cG-XxUH#^s)KiV!W1aVqHvK zKu#s~LVT6yv}6t%{K!YJEUl&&gVGqHtwq^du4ES3*?aR#6nCv}qD-4ciFp(mCNE7)JrV zVXxcu5ZGKry&tWWYyEB=IqSRv;wT3%8OMRZlp-4iEE(cxQaPv>)WjH#<_d?$=|0H* zfaeu>2naXq?gxmOI}(LeolnY*;MpDspCni6Foz0d-$DbJuSuG`eTULv8%dP)EFNXM zRZGWjKG9G?XylpdYGx^TSR-d_29`4rjo6wsXk&}&{1*|eHM{|V_g5#9;tW8tXlqy& z%vPE`)}d>(lS)gnHL4RfqHd^t3tb`eNK%pvZc0 zf{bv^OJM_bzlIE8tT6C}{{UoQBLGlv5rNvop&$Hwq>5T`M^_aV0yqNI$9o8Q#j%>B zo4gVcXkE*_$kvxF1bJRmu87!x2vtFSh;X)w{BlP;z*Ux5C9R?^hBFueo4HkzgqbCJ z<;5fIzU9<#5ph$o_7anS`MS6gv4-m7{K|oKCd{R1DHszJ#@jcSzC%{7kdot1VIYcS z?ZMW)yE5fxCnee=uO|wjHHNb^B63_#MG{!u4!2jA0Jf+EGwele2@l-*3#2y*mQP>^ z26j}wRd$=MQu<*o$yExt^}Xf#YxG5l1<;_|RSh#OTwM{Oi}bKe)K5J=h?r>L9L@2V zg4<{k@P3j|TPz{{#$?_90B=yED_nZwyualpLh;dauZliXtZf)NWT%8xlKESl5J7pF z?y4%s`&ZdSAQQss4e@+jtZNJ^dlp(8moq7B#dR6iZsGO@{6Xi52+f3umj-9al`=@w zwDO@gLUgIxQ+-R289i5NaV?qKKm~AHa0i$HMK-`dhj+`&qC&P*k}KT~Ov8+$szg1*yE0r`n@;m&j$g zww#D0xS(H>GBz0r3b=S8!J6hE`61*)dce;tCO!%w#gHf7hWZE4n%QdxT`)-%u`He^ zA2)P-VK#Wv=B8bW`>lsfQ3+-uvUWzM>g{-awE&jDg}_Me8==%O)f%v@c0UDRS6go%@0x zVI$g_-sS`8pDo4`pl8iPbSJ-Rip<13`mf$YxDmdriL)&VBOV|iEs?#BH!N-(!vYmK zt(E5LZVNs%NxSwS>u2UuSx`qZ-z+%{E?A@hw&3ofOj(A~;vg+igXfqkL#;8qg&8Z? z6Cmn$+$;jX_Nnxbd-WrQE8QnRXq3G(+z4G|EDD~4I+iyOk-ABLIc4e* z;k1Q4XZYdC9KJtG8=w2HUeE>_O29dagMH%TRN4z-<7Q*{v&Q^j&V=V!wvMr&+a(*P z#Y|qELkk|T28I$kKCi+X`xQz=wpvY<3aD+1EI{87gf9icKZZtXRXaBf8k5qyPs#~u z(D^Jf46FP@=zw6OyEQA-Q-=6LF!e(gvf=I6)>swPWX0WZGT1Pik282JVuLsBih~r? zEim{h>g529*R=$wmM|?ER81xGH0PF45K-F^sncW2B(@^ifk=T0r(!njj4G*25m<&C z$l0siOYL|r=v=_wMF^%<^Tu}MO~P#!G5nT3>G4kw{ibVaM+ma6s> zwO+gssgqg5ij}qMRiI~3f>mS)dpj){Y}8;bsd+CjZXARYSB1C10-=>rw+mn?-;x}! znwV7XmSKIKAvC(@1S}SjVVr4Y1A_-u>y?al;Jh~oY&=vl6R}ZVqXH@+dlL&lAO~bb zhR7ydRq`U1;oPRxaH^va%&6%90Ht(OFQPR9R~ZwAm|Z-qzzvXPFq5_Y*AI1oHfx5+ zYBaM3FJoNC6Qa$3TP!zoS+=5Bc#fHj{bhxd0dWC%cS_1@qR5iX!^Bj9d5by#%#0|( zZf5x7qnt_wOEWD{u*T48=3D7}^s#tAFDz)-&2Cf^A*tFB04t0z!bA?J@>o_#!B(tI zlZ+CblBjDf`U?X2{{Va@jPRL4tXSJmm;h0pWA_a;q3I6bk7$g-C|S9Iw=S$-GLVbx z*^qF4qwKnklLU?`G&)e$RTf`X{2=?`%-bgST+w--^e$ZjEqx%sLa}Aj6;#R)Kr!Ki z0fD(@DhC{%VDRB3Te2?XWruqKmJug4pbLSPj{b3tkZoUZPC4#Q#%gDPo^K)H*8uVqw2{mjTs!A85D_&r?M%&D_il`Th zV9>_v)we?(kcTODVjHQHG;sNrmHxsxh%hYFq#Bfn?JGMa=neWJQP3d{*+qx|hZH!6 zAO>W8%4$+QkA)!ZH3~0QRmuXMjYit3=F(#%bcj8FO-xVsu9z$@N9ZpcVW(xrBw5h{ zJQCzAw@}H2u`>`hOT!S6dZsS;WnRK4fQ1$w75@NRJq@o&7wd#o1@IC)b8o# z5wE;o7ZJhi60TDVD#PgEh!%(KguO{cQ$&F>_A=umYRt1baz>9Yv8tqfGU(t>& zpqqJx>AI6;%uDwXpOO!ITMCyS4yauX-POUvpfi-A02ym>N^%!OyNaU8teu*IQo--4 zMN9{olquG^6;ObccF)7hEQ|wW5*<*tIe}MbE%Xbfcom zK^P*KJE_PF=EO48j|+#+sca`c?zpLB@}gg}T!luyx+booMc_EV34NmGB8i05qjPLb zlBFc{mr;R+;lmp$Y*@BbR>GGMOrX&c$Q2Yd0DyoacLwHNSqybjM+d~>>)8E5!7<)4 zMbQZ%A-rtJCEB(O(Q^4jxu{HZ93A1~Gs!JcUu_Nc{*%G>Rno#( zqjo?oiTNzGm&L@y2!QR7xUHr?Zai10sQ1tM-tX2W!Igo~EzzgYz{;4LgDO`_AaqGm zrF3g)PL)wE2cii`Q5t1NVf8&OGUkv5Fv|gOkvBGkOkz>QDHja9EzMDjs>3OkJR8Xa z`+Rf}--*YixlDO6n9PE~YjtbiL3ls#V-DzdnQPM=^6<yO(SV>}2-6(6iG3y1DT{LIpuJ3{)W$-kWR!AjnYS1W*u{i~OH&&V zY@Y0cnXcf?|&YbjV4d{NS`^gZ9C%pQt$66N%~#P6azuqbz`iG3Kw zQ+9(!+QAI{L(=0IeT$d&Zd_icRV5+;#m$I^pE{ z5O*$bOtQzYEL;^Zf?8qfqs2jdHJtL;W$l0EWP5Hy;*gR7@Ii?Xm5L-+qcw_j-zxVC zAQHwR%|#@lQ&%Zd7FD$|E)Pn!Bq=Ug0vIrYah6t6VG4?wdD4)>6}J{Ei1&^rRy3Gk zgE2c#Zi*4nj_}>%zij)mn7kgB^deTFeXL_`GT|e{Op)f&E%0YG0dUrZWZWvsYzl)> z0oM?YD$|8Xj6;tV$i~i*EY>>p*?$1Tb%5qLl%vCBLvz=o>dIhJTHVy@bdt|3Ri z>GH)nf=g&4NMP*>>iF{;v%!>s?BOkhabM`3U&#lf^h>QLVHjhHtYu4sfo<**p&UI} z8}CEzqQm+j36*Y=(=z%hS3xWMRv$(6TgKWk4+ORk30G#AIz1zm(Tvv@0>$k|9fUnc z`n@V5W7O1lzXK?@G+LxM0v7igN4YL(cDP8LxO{|0DaW;*D61>%a6HC-dsWyWfo3ku z8iwh@!vgy$KSpHR0ZaIbKVlq1<~?_eqU+Ze7^;l6tjrjeYvhGj`6n(8_Z&7pV3?=O z0ZQY{$NbSh`%IPjqLio12JwC82|i*$72?RIJjM+S#G%kGX>hd9Q7YvGgKH3bL;}dA zo@UiKTnmYKh8meOI9&t=ItE7IqvmJ+jOHr3?)m|W9H$r>A`=DVOMpbM)*>Pd%b;OJ z3jn#8j%tmBa1BthJ%Z~h$@>)C91paM6o=j!8aB42xIvMhBQ%-bgv7quRevy1Rm(8* z`z8|o;y5A@*FT&9GEKO7pwXwQ@(2r)6Tnh1XgF(1%{5xVP;2X?h1BK;? z__0n(tTzE7lb#rcsw0?z4hgt-$)S|iFn3}!beTCw5xQLP%%ekNxpKoVVl^ySwRDbz zmq`s)GUYs#?tv%Gr9%qWH4lWo!In}BX4^e%@5;F5C7}|zT`|PDOu!=Eax3$wD5c^E z6yS`?i4{;pN~XTyf*BNNRZ7Ce4Xr62W2TB?r=)zlj}!;mU#SN5V@$C7FB&5?+M*Ug z*$5DmDpf{u#@C&~Ldk7JV0VITURdBI#2H*K{J{e+X;;X|ny*<1m3}l%zgm+P7r}{1 zQt}AGHJ}k+F7lv|Vi~0y);B3bRlr)xvfH~RRtf4 z^5b(+p&oor@qGE4P;$tvP_PsTSeYf3*bajUhk?yTS5~2cyvuy%mCX|8MI*}r5|f@c z7z6r_ruu-~%3dXS1-go%Hyx8~maX>Yy*|}AOWZQ$F@4ksAxrt0Ko%m`j7$&p%q#wm zS(cskOw`?6MLL=;`PE!oH@hGOEQ4$Pa@{pi%jj0sz>&p!%5yYZQn;%SI3iNw_(rW# zR9ehEwiJ={hN_YtZRt}k!U9P+!bHaOim}f6HT6Si6>BmLsjeIh1#=yeg{(W*7mG&V z`>Y#*R&kG($g@eU{2bFGS4CQbE9ng?g_J#o?NUB&nu>~dMi-MMnb<%lKXS6F9shBt$n}KJtWfH{{z}Y4d<8|~ZEb{itxU|_s<2H&}BN%(;B)xU3+e~V^ zv`;vS;)0>@x6u%a3TD{wY~2II-R*=Q)Bw^DYzGHx#76$euReA`+X_Dd;GysT0G_9u zD(J7@iRNVFXwc(RSQiH1eTwp9uU3dLbychov@w{Hg)?&8YhekkWNl`w=$OhKBBj5r zG_c%%_c4Q-X3tc|0HD@TA5{J@B_;lV;+ zZiPK2Do;h{Xwt9=t)~g_w=DY6n!^q1J2|h$sK#EIieXIVL=}S8;_nI3F&FsD2Pc4< zeT`h$XcxVaNpU))M-kZ|w=k+%3>FT=ShZDArkc5Tgc=rOw5p80MP-hSyTHNb1_5J& zGhw;sf{V>cOi@y(MYzt@bk`yA5%+u42#U5w7#$?2s;xl_5U|~qFMM9^MOeLnW{`9gCTP3Tl!#pq5pp2;$RPW`=D5k3|#F(HVL}Q6WS^~4lnSGp1m3Wp4RT>R*&BG5kuR{Sieq@J1MlcqOd^;RMq^lzLxzd+RU{nKiY^ zIlAb!Krbd>)f*#qOey{;E!|@uydx43sG+-NH(sldgEI`U4~l?GGwM6wZ;cp0ZbYe) zYC6Y&W-Nk~(r;V#Q)5IW%K#T z8&ud3sh46#_8m&WYNON#0#tIrBa&AOWCdD4ZW5rpwD@M5F$9_(@G6`t z&{9V@y~fp<2(fns>eZwwN1h$@g^fpX3r|*woux{1kY0ksyf8vKTVS&fEU{%iNtYRx zzey=bB_zr^WvHvUlOW0+H!d}A)@9YT0uoMjh0H&hU~+&kPzLPpkOgWeYg*OT3ew>F z6^Bg~s#I8mP|DSmv0PHdunpGpIY^pN$IFvb7*U9wQ3UH!vem^HH*wbsNOP(-ZSw6Od|Z zZPqGSqrp`hm37W5Xvjv@3i{g~5=)ZZCq>k|`+dnfhfIWACTRR_g~J2k+yrN|tzAcPvOrsXQteqp%u zLo<-Y5l~qgURDyL-~7bHyL7RYJlz~XzL*9v{{RBR%d2oEq1R951nrEn_Tq^7_-sA= zivueMMG06BtWJP216~_qB`>+0W9W*Gwd*dCkC^!>!^nhQ2T}%d> zI35O}+PaC17PHdfThcZS?j0FIUgNn}APHuH zU@=^J6fXn=!1|Ccp2S7PL2xzaLXeklRSK0`P+HEs2jt4&u~VF&Afs+Yh?RKl7FZpG z2>W*VYo@r*O$J)#+F9=&a;2`{i4$`*td~S>eI;_GR3T(7Es@*aBWeNnrXR9^PT$U> zv|6S6lL5Pzgr*>H#Cytmw4_{8t9_@<7&6CG6nHZ#NVd=$V3o^Ow=!YSlukU8n@@!* zI;>&}#OBkOjh7C>UQ&>ys63?o%JSM-K9$-ZUV7a3+_)C5&-t&MU=+>x{YS7pDa(d+CqztYD}Wxeqm>W__g*ds4+B!9O$PB;z*F07S1w z5N~l67B+qy4587*phHe1(eGc9OtDhvaRnt8#1yK}EsnSbYuc##2*&;c5n3MfpYOyf zNyr%C70DOzGMsS3_>2JX{{Y4_ScCA7Pg#%kIbLQ)AR(*aDuLL6U6RCc)5;ovfP5xlvMab8G+OP6edD&6j?XYF z8%iWZOoGRJEhQ>igAUeOquH-hT?XU+vZXDTU#!|?+H>Veb9^}IVm?np1qh}$(iSqo z+!CQ+qN$x#EU3Swz}InzG^>PV%h^n&0=(8nQ|qPGa$iIeGh(cdRd{I2KRYg($})s% zZ_JlD;ML)eSYO%+z6cJu#c@{WX2bNCW+Oe6puE}2iP&+0hVA0cU#QU>tsNJ&JJ7U2 z;6W<%YJefEU9eH2!dYmdKI! z&-WdVl~%=yll%Hwgs90QpPnk?=9*dgC%N)Y{F#VrySR9)deU&;Ot+MK$tnfbBIK_S4F)#uJjs2;{{XOO7vW9M#hBNR##Bu% z>ao_(!bKd@!7xt3QMsD*iMyZ>U@Lm3A3`l~FWrQ^mUQhY(6<>AFhL)tJMU~YPl_Nt>mD@D)rJ-{Atj-6nX}Vk1*!-&oAU zMxyO1jnOUDR+u|@y7X+pxGSq&2J;gB>A*WP36ut$cmg!-P@LcR6qksI(tS(OdWjyD zaMQd*v$Q!hfI&FSa|+gDBA`siD>VM+@+Y-^gQBuK^5`RqFx}q=yPGNC{3t!z zS~xE)Kw$GI@SR$`7IGyO_n}-5j__q42%Dmd8E|?qF^0O7?*;b?mwizkZF07|VR#q; zMDuA2?U*lmSBQx!#J`z#x#beLenM5h#)FLs%Kt)1!( zuJGiG#So1U8rquu$HUe@Q7^5-oWMqvDX&ln^wy)e@It#Ot_Z_XWb^iP8;%}W zfTJpeA910@5)SgQ1Vgmr=>^s17+9q&aAT689egE9%hRMGk2Xvq>lKvOF0he0_PV{y zCSFH3DoZ#drN!a@04Y~PyNPm#^4ut8sia2N#`11z;c74z&u11PwOtcJkX6^JYS!Sd zOgT$rmZ=j`pqRxc*aFOa5}}HE~IhHd6s_iE5il@pp028hxmO zM>%oaA+Tb1Uavy^60P1})-?VUGWrP<0G6z%O9qQ)0B z@u9TBI|QNk3k0n0sYE{=FUBXlHMMnzhtkWvrT;N~HmvoL)stq~KN?*><|1hHoV#{OTRgtxfnj zW)e0#=Nix_D2}5~iNXS5{EGeW2R^Lh4hUL-sasxCZq_uURBJLQ%EYm(gya{it2)|#|h*8)<62}XbTuabIG_+eK&SREnvX&*oUt2B~ znd0M_^B`u3siv({YN2!~<}wlJgKuc?_+cE&H1Z;n@<23?eA0&n9V1YaUj$#XBMDmt zY6C;KNK=@0a%j;@F{|pjl`7QM59OLGM~iFs2mubvsg3>F-j*Rguxd8>!Gl0@m&>rg zm`1VSs#;VP$N(JH(tG5CK`w*}Taw%<)*i$#=TPmCnvIMiS*B|Z7 zZdXntS2nc1#0ye{ftVlrX+%M1rW_vHzVRFwmgpSCFotSYnQS^SgV}_Z{v|6CEQy_T zqbh~*n7QAr3IQ*jp(i!Eu$N=@{*|8CJhR(cGv2s|c!yUAdI}9gq086WV-;A(WGo(g zAxAp6+-A8UgBy{QS?yzgsF2Wu?UYoifHyzI^kR3f<8Om$n9QQb3qZV1Qw@~_?@|k$ z2#vdf65&6iAHY@1S}coGypUq$Eu=5Wf=lHA?R#8o^iF;Hl?^{g#k3c$D~`ee*;RM% z5p^z%xl9Qzq2?*Ji^6yMgm2jBHTEih@(y4+#Vj`gW>VazPiNj+s({0Zv`=)PrRiL} zNkGHGEhPL+#cU zpv0+h+nR5uaEi=P4UyE#eUkg}(`5WywSZK@n?g%Ec4?wz{R|-Z4wW+Wa=CpjVB@0L z+tFUDDqZy<3Pof>yqSaJ@<;x%9dR=$MdpTFaw~BhmMfS|MqAseDbUec@dN=2i?qBi zmQ_g6NPBAK>x`n<6oL*IQ&5yCZTK%tFn~33Bjag~syzBWu^O)&w+Ed>Dbimw#p5k= zb@_x`X25vjTqu-!o(3ZHtoMqrBU;||5xlCkd=x~NTO8a5ry9_Lr?2nG>CnJOjs z5Z%JN@Ift!L|JgOrW<3j^D!m&SA`{}a*_KtJBcY_QMRyj3F1;_Jj43kuW6Eq)BuZD zFV8@x4He7^YB5%z7NR|1iq5Js;Fg6i4vLpvf`U!VX56$mm(XSQiUsX$Tp?R5>kB|_ z_L_|pRAt5iUNdlRR7IyLD$q!6QYuBx2)X9PH!e27TsEnN6|Zo0$7!m==R$oHxrS;| zIT(1qISIU-WC?PcZ7^;S322WhFY_8PSq-3TClZD!RSYw%^U9%(5kydmBaD2v0{g7E=;jlCj4dWlKtNg@QKKQLYF$PGGL8EqYbHQ%q{l*jg=2 z_a>~(7;B(#pekJtaEj*by;fbTN@ffDc`ADfd}3gf+QV#1K`u7MVU2c+Fqa@Wj0;hv zsy3ZwT&F<;?F!PRK(;3}amO*kY2z(gFdaDs4W->%XT${i7567X#b^Z;eyiXiEFM;h zqBk_rCBR26`-w-3@u-y3Otb*l6g@{U#-sO=R3?yZZAF+|EU3tU)&ofI_GTD_FgWeR ztg_W}E{VFFkO4CzwTPsls=HhxGzjtqMM-Ng0@qfWcxnwg^Gu|+1Bz6}7xC%NItDFnaZWiQr9L{hG1%1z)?(b$4(7Oo!UVz zU()&=dS9YkzoPmtN1+~;Or>Dc)8CVd!Jp1*Vad&9oS@NpoE)s;mVN&KNMOCCUBy5$ zF-~~Fpu1H#Ph^9q>qWeoMwKbVw&D}QMZjLA143HnKJfY?AyIl%VH@-a8B)PS{$vR{ zK*bXz^l^lI+(5M+5OXS&RTX*^d!8l24}O*GsVG<@8TbQFIYsJ}*vDlbEA#!>&(LO2 zsfX;<293^7#hu@WIiChqYu+()@skF3iFsUx_jw&VtF#zUe)xD(hA4|rx7aak{>g>H z3sl@@Y6!9w-55QQV5nCHU7g)dXsCBqT;E9+rA=7rXu4x5Xhm?L&rl*Nrf~jQTBaP_ zT##zpYEtNS=NZ7ST$i|+bQx4K{sNsCT4kp=Nx1k89s?ATuf1xmA;ftZGGuq3EVrC=)sOUuwt>&;Dv!k}HcfyG(~`T#t(gxa=* zTra05MVvCVnX?{;$%U~#$7ccqW*yXGZ&i27&F_xumVoP&V+43ntZ_zVT!ZrycLZ@G z6K!U|ZYPEGdCbhDlou^zz=ha|v9;)Mr!^Ls9fQjP+XACjrTbk4meCo+qzWI>kZkLh zr;zJXrF75Jap*;;FQ5>zKr%=%U>w{x_iV%29;gBk3=KP)xb4?$o0jI}^lmC0j(QNH zCrV$IUGS?e8At8$PAh)(4x)vbEQsaydDUS506Oc$sF;enw>y}WON0{qcp>kp>?)>J znl$afb1p4|qF9EP#5?g48(xHIc>e$zw^a`6)m4nE&11nn*)i~@eZwC?EXyibh4U;? za#(>C=>e*NVqmoUrWy3L6bZzkmWuB9sig69C@?K!WtPKUw&IfNO`((_qr}v&uvc^Z ztl79X5+Tq{oGu#LC%X+bN}pD#-C4C}=&R2vGc>Z1nV=x3xQg*Aq5Q(5=7gqc@=%o8 zFHNylM~Nx#32R^a6_o_Km$l36mlY7i0_c|p*Se`fIeAHQQuO#xmloqCAx&PwC%Uzz z)hdlh+1L~vjjhVbLF}Bc;g8j_ePBy@O?IZHvWZz?0Zp!Q+!q#@Xp7M$;e{)qg5W!7 zS^^xUm1_;+7_>f{3Yka?R_X;;XalQjP#v>6N@pmSh~!#vQ;bhL$b;~%vv zV%OP-j|@pECMztjk#fo1QscFZ-4+iJ;klrSt;|AZo@GyW5i1T>#0!ADqx-JObY2dw z{S1fD(b0V_U(`luW!_<6;-K_UnTIdSH8D3!m|{j_b_n0YaQ^_zoVUD~O=RUDC=7i1 zy28|vF68;Z$AuEHP?QlWu&k$g9KUfwqRCR%W$F}R6K)HGG{0!N+xODEp@eJrZhmCW z7q0&0(OyTsDb}`(7$^vfdJ>w?66v$FUT7*(>_-87E@?ukd70pX;@s^$k0J`PjSXlY z;9T6)zLgimdq1gHNev{e?trb2FhZuXMvG$Q4?o)L{f(hlYzm`tlQPDa8x|=6;ti)$ z5NMb_heNZZ$Y%l*ZtzubOeJ6`%Otwdpn~X&_K4I_{s3>0~Y`1?AO}jN!AaWey z$#WnS4nU2=l^2%aw{>}_7f}>CxETQ(2Es2v4hWi!uvDj7s+u1enZF1o6~UB@GC~DjBcX)Jp{S7oUw}>NMjE!>{oo)~zeK!hmQyXvXEap1(UO9C*aarS zB1(cVPJ*p>Ll5~7cn zJWPekkcVPHiL=lBr|IOG2Tv$@M@OD}{L>)yX|ax6pZy%0cmi1izxb~gSU|*Z&k%AAvih#18V471DMfeE39UJKZv-r9SLYCmgkvw{a(j@s58_sM zN)%MbXjJV+t{&71?Fcb$GUYI!m>6!C@x`otquiGG4G>r-z6NxRbA+dBZlxK}mk%O+ zL_|$4jCxd3t|w0dykW758hgK#q|Gi=*1OFCS*!O?Rmu7jzU zt5W(`RUuNM`YWYlH2tYc#l;}KDag&jbjLa};B z*yGcqnw0GTaRB0AKjA4+H>e48PDT*%qv(2?TOo9Im(q#rBVzqLKq{D zz^@0aPZj=A0@KSaHxL_lxkTCIfdajDOF!OFQEWtoOQTF<@Rrr&)M&+`Fn}J)C5NL6 zd&33NXGZvmx$h*D=FdnU_Ij~2}^C#fZDHJh&!7F7Px=iL{IZ0mUPcrZlQnY(}jMM6c5j zWnV_e(|++roi0`$mnu}hr1ZGpSSnmzmDZ(7jz|?R>=Nb148E824RkO3B4g<$CifcZ z4-PNbS{6J@SNm}`k)LTwWWjDO3I`%8;SX_hv&%3<2Xn0D1`%pjYIWi#ZZKBd9%ZJd zyi(=4+%#Z;@)lg6eg2x2CI>)8*DPXkK%2}t`XFUS4E`KNk}5-aR}V~z$$Aks%Bh9I z)R2{SL4O!#3reblcJo$SVJ@Iv7H%qLmY7|tVOFb0iHCU*gQdaTu!2Rp5rDefRrp(+ zoRbUyi+Z_wl?!pOa#QA|`4&08WxQ=qMI^f5K;Kl;2R+4Z5m;mCTa|}`kq=us#ee$W z(d~ox=)RXP>8W2;LS8GSeGk$JeQsaTa{hzqxW7&Pij^*3Q0a9S=&gv^DiW^#(JsAo zR8_I=nf3$Ng+-Cd2_~fvAr7gglpbQpR&vbE1a_HtE+Mk^mb{6WS)dJ;0$jBN*GW6z4s$>zDzglnEgX&BY9^Y$c^oA#&@O z#)m59hX_u>px7ZnY{UWD625N<8{8OrU@K0AcJ`KJfVCCVK;}Owe!|!^KD`9(8bz}O z=Cf=>JgHr$kHq}~{NwkIev6kbT)A@P^?JDLa{ii?*1FWGQl(0jDpU@&E9t3HrF~V= zT`FhkucT@$Wy_ZE`eXI}8xa#h`wXqw(C;@j3Mj!~uoUhPn6WT$&5r5A0Nf@q#jSG< z8-kMJSK<<-WVNe?3AsadOP#ku9`kaka@~Vqz|FQ$3@SB_5}g!+)EdEDo6F(~sA6ix z!}y?Wa7&LNmKWJyxRv0WK+q7RwN6vgEZFFM7rnbDt-cz{PB~dFZsZ<1nTQDmNDHisN8Aq8~U$N|^!-TZppYHjWyKIx<`x;uT8O z%jR4ZsY<+6yOqp5$09}s$SkH|qtD0f3wv7@hr}TZRz|J@Q`2y$ma>@=>QkF4<3S$K zpu1s`Di%sAjmxs*4@;LxZnG(CtCXGLz92X^5GSc9vw4Uo5MbaVLSRL64Q9#$%+q^k zupoliOUE*%N^oEjoQXuaVTKn-AZS3<<*8OwcT8)dPyq~Z0l0{BZ*rk_V>a@`yc=B-dc!B7su%2>e;hrDsNC>MdB_!BZ0%P=nlxJH>zYl*>T7zDov zrI=_USXnXO-aFAVKMd)_E~_C6UGiYGZkn&wcqt1`0q-z^u0>3+bv8q0I5J$zXwr@@}$fW_lV1qq8g^Lu8rrcO0w*0-{|}d z*?&dD>8_*n)UU1jAF_Q{_ABfiFQrQRWZc>ITbH9OFCV%Hht=$+LRXy=22fLiH5e=r zvZa18TKcfut(^7@w^=8|zcR}QvAJGVS9MVU=0nDqYBErNY;CUSPnhOh6F8Mu~7LA((*s zDTFjj<;SNf=tT~+5Ww)8rddfZbB-aybVzb!m%<*#(y|sbha9GejIdb7@hP`gm}g+h z0b5Jb8I=fVl&0XrI-OO|e>x>%#AyWz73N6QFs!nccY{I9RDHgQUyfVStF29aY(A>$ z^ji7|{hIo!RH;(Fx|Pztn)?+Wpy{cf+0kM}puhSjHvwd8(Ki)^S9rLB+zUX-EU0Es z;6Mw{PIU?H(jXCWAWM~(-d#&o9tHwruE>#7fkFJR+=)`?spNv5c(Wm}?1*hqT_DhE zXBsw*r^Kg34)us-fHJX4uuhKPOQsqk-h4(>fFTd^7>UlU!+xR|c8kZ(jIPb9|XMp~*)Ap)yaN_z@d zh{fjlf^2R`=3xZB2FUF5E+ITY3Gjx^#8xLUh{*b5PU9*(oow(bAEChi0Do{!j()rP ztLUzYE9t3HzRA+1N|pBNSJz*3=yWDtKeYr0KdDO6@E}97tz%8f;uwrW)GRQ_x8sN~ z(9?1fsIak7>v3#Z+m@GF=}WiQd$0{v9MxtZVj<&j-~&e_V7ugHJq|xLMRm!jN-B*kjtrV`G+tZ!F38C%mssRAimKR;c%5T30Wym3>fm<3yzO? zX5^dqpoog$1B;x;<_AFS4JBf6oKpNth^|1JPOrnbYMJcq&_NQ*zBO=y#C5~LS1nCR zss8|k)bfiNHY`0!y=<2XnLt6v+FxDZjS~pq3!%-<@+x5g@1NmewhUd%q&6O9szaNP zcmkl+;wAkXpN0~Bnul7I(!yN0q_|3yf~GoC8DB*}l`2=?sZynMsZym%=~AV1ev_@i zge5_K7xq_x7__#=prOIWCw^Cha3N26m4V`0 zEZl7v&C63~p;yoWcqc}beJK^@n0*AO7hYgs;vgl4iNVCSbjQ~^Rv`zM#z&rKBA91o z+q}wi8Q%_!HkpZa4#B8$U=SXNbD}MXz4)A3AZOFOyKe-oTPt1x%|nW;J-Zs*z5|qG zj@h`#64I~IZeL>MKSfIV5@TAK3>;|jFCWW=)J8u2safHViJ$T8qb;~hX z2WB!1<0wKsT{7o}JJU>6%hAkJhvBJ_V z6pEEXo#gQo$KZu_Qf-PmfPm%v5pz#wcOdq|ohm4nhDsAGwB}m~YUqd}s#Wyy8!VI| z!Ru(mg0oRSH7d0ke`u8vfkG5Txl~*xE10UT2?X2=t!1H^2M`pog3yrzNmGe~+6{_{ zs6-05fHX>U@PFk)=)ctZrF1~+Qo7_b09)6bJAn30Hw8)oLYeV5R7dWih2?y(ob%Us z7c-py0450RI!`fBEUU#rOV43pUc)e9(frcRu69F}hRE&5G}fsN`5W31bxRap5L=Sr zO=K3A!d!R5D5P6YYDkMM&5_{qFpnMn?;h@xA{*RppFJ4$BP+qa-=I0T^u=Si(ktYN zD;K@7YD*fsm_)wxGjUfe4qaGU3GYM}Ri>DpXWajF1jOJ3k|$&d}Pb zg{>bbXmSs>H%f8)(c1IS;g)XGLiLt4H|E;6n#$8-J_Q&%$*d=NAgz>kxKT??AF^lQ zmJ`MkZzNRj5ps19hXet(r+?V+2o!cBI8@aw7)PbTW|^3^v=WL_#SnQ}fU82N)UH9$ z+J!QplQc`ZmwH|U_aP5e6kgVN5amCDMmoB2g*ReK<)OmK6IRhtlfigoe-f-9sXf3^ zHak1b`HI9AD$1p`W&VOpYkxL>m~0Lrs=S862n;W~jHx_PJr!xGp_d{nUlu+b`H4UWgjvRXvD%~*K2(l*@I zQc*H9e7GKAWtsrf%}no1mL$m`p;YbbHGHMSHByiuP9qpwt;>r1ww4?-Nk z+7I{w--*3yUA?T-n%1)i_<|!w*49JdKw$0wXokG=x(J2trW7$~S{2F;7T|$PYFrZd z8GT!*R;9hz9*wjul>opQPiCfRl(yhQszuBlsyC>?{7TK;H7)x|{{VH}Iel1kI$Vtr zmz#NBB?i%oqZpuP^~F%Osjq1cajWdbFbL$b5}#8_d5X3%aSaXjVT!+m%*3daMPG=Z zc(zfMfpT^Grs3VbBVR8BtSYZeXhI z8weGgmHCRnl0B0ATOz=1uEaL&BZ#_8EYhorN|Ck$&>_lE2H$L78j!`t4EU$6xN-*%?_GdHhcd77^yQ`mlo`n`mYO${7Q>_ITE~sHExhI z+LYu{RaiuCD=!TmG0Wxvu4`FZnh92|r7jz&?Bz|sWr(<|mYu1G!s-yjF0N(sh+DL7 z;-H~r{LdsMRCd&i^FaD%{f-!cSeG1!p%65Qkr~0~5FY_@j!XJV>Ecd-LPmdP?2G0Da{_sEOqU&~TlqEWn>a=O^Stur4$GA;4S}_I%&>{64hVi?JJ}#wDEsP%xkiesbh*ZSek_I8dxk~C=o7fIU9~+w^OvRu)>OQTXGbUy2 zDLo6CAmvsovg5>2zXZA7T;w!!edruc?4QvpOY~#w+ox+wXd+w@RMHsb@7;@JDDNFj zpLPn-Vkkj&f!>xaZG5oFN8;dAEPTc?!%MWa64R7i6lyRMzOb8FH5FT97BLsQN_)j2 zuIx=$^HTo8oZMAocp^zn`fz55tRw2MVl8N3yp4(DB!mb)-Qm!oNUs&pG#q~X1Z|J^(6$W40L3Qdf z3UBW-h8jww>vrOXqci}VX4=+retcv`6(~vYqxfTAZEQ#CDTj~Z%S+BTC-SCk_$X)r zZ(+AMpypAoZP9$kB)=53dl-6cs>KTnxNHS@m|3Oll8cO&IkU@rSh>53)wrrNntu`o%fZepiWC z6N|A1yXBTxw+6(0*y1@>XjBx8&IQo8-hx+n%XqHB7en`{fR4s(Sw+euPHvin|tYEb)r_o4KsL1eu!{n>Q{D*?OA1x+F}66A!fOo);S?9~WFIe3g_Wd$HJ*xSJDt58X) zS#TxE&F3u`=DM@PcG8O1J$Z;1ur(H#v4K`0kicIYa*H8w4o9Ls!wrhO7yJDc{x72H zROh8XO?7cA^TG8p(PSonzv2wEYy0XNzpv>uph2&?5C!B2C#np$G1YJTejthr1tU1l zCfl#n9LCNxY&RyS1fZ7z6@b!QD*~l8Ovt&~q7JU<56N0EovsD70;DFGvz5o@-1ch` zQl;6~NAbeG9|&cB1kpJKI_+D-em1srd+jhFH;oTwTKe!r=t2${gwo1HUt|;Io*9O> z6}p61mL)Cs$||v`>FM;$jWBJ50wU`cB@IkR5d&kKpnr1aUkoX99KFaS#^Jve$K;|7 z?-KWNVm+bJEIELe_TK;&1WxxWUAtR`dVMEQlo;3ZIAh@^we6L$Rnh30i!N)2Qed!l z;9?APV3+tYt4qWeky6_nWSrf?bVmi28x)c7tlto$DEewEqmS7yhFX7E23Cwc6T=8H z9SJ9Y$pQej6v0M9tLaiG+#H%V7mY z>ANcG;lJG|Td9sIT+=PctKE;ziZ+?4mfLqyK;pYC*%q3$D)(Hmds?Xq=<+N`>{2g0 zM%wKtP~oUi$)R{4fJC`pOC|*NuqJ(J?q|?<;F;E?eHAJsB}(dd4=!F>B#}#oKV=sj zST1V3ZxoG%s(I{+0cq1D{{ZEpG_-RJ^o6Q0ZH=|4AG*bI4ZvmeVTP;ZNk<#Hg8^^4 zf~ms5@>(%&!5y3_){WmKP@~xwtk1$2cVheYE>cU-Dlq&6Lu47@DGHK?RH#eUoYWvT zXSM7`F0oEtTswUK0H-Qc6~f;ses4lj*N@vJkD!M(DpJ|kpBm*u29_PUh1ypu9xmrn z)%9jy18BtnJed-qN)$eYs$WPmZDodrQ$X6wlh;jlip&)(sX>$2&18GJ376U}w-=f> z9nYXS?ihUsTAEDRa>I1N9DD0{Qj37lXA<@1n9ARTL0yNM%hqzib3zFA3E+WRm(rzl zs6|4f$r6@);Do7t7dxt8!}QpUtYX_LPjKE)?G@V6wF3BFSK1H&p&n*$afUVYF$P0| zEIJY}`Uu0W{*^Ys)Q)BJJ7MUzpsWbuFVWlai@#`utXcM)yv=LGF&!Hq^HpS^AHr(KDhw*vAdZJ{Oo@{Y*42K`BZ!WMZQH zAWB%1%yb$~1-nJbzg5C8*5X8uL+d`1pWYY-1e{1HWc)! zm9vgMy`T9_9Oaq#rtZfFV|hQ~HD0;@02nXkKjI0g<8gW~@dVem3WX!gUO{?P$!tm zeh9Csm90Zmj}?>=65%oDp@lX#=)c6ivFO>HzM`x38asSf@jI*Hr*&7PP;Ki>qF3n5 zdHgV3zm)ZU7?Wf1U&JSyWb*WU^4v$eJhRC>ui&|h~$5lLjSSureSl9e$ifJ!3;=ll080G+1~0$vc%Rk3h-!aB#5F!V1O7z*uBUP-v5pvC$VDN))Om znhurrdvdB<=ypU6o>arYw+dB%kNjdUz5Ov8xBA{95AS?OSLL9MJ;^hJCg2Z@R?+O6 z44{ZbmK9Z=FmoKnPr2D%{{T#l?Mt&K)%y|0FuvyFF)GK0kV$iCRgZr>_Q_;3#BgvPMNHi zsKYZhoDuv}pDUIB0Q;0U?z$LGG(pGS=-1wew*LSsb|*fGj*AWd0K-EA z@{yn3`3QgSpGt{0{h|lN87No~xCpW=$1r>eVR6Gc)SXLM<1PL878|5QJXAp+< zmqZl0k%}=0*x4Ym0}EW3Sjmh8LYUn|ccf#|gysj7lxT49g!Oi#b|5n%!`@R+fHg& z$&PrrW-(+nqT;E=Qq%+d4B3QFX}gJ748QeT{Acv&4a2GGVXva!U<-XAXs@6!MWDi6 zMQ7jjAfR>+w`mNghl`7QXFQh%6%>!5g^i^jHx@BfJ(wNeM|JI7G(ukuSmW@E;;dVZ zgYj&!#R#c=7kSN&6~~%`uQ5D&{#q$VQDAV-98+<_wEiJ9Qd_ELlqG!v3dbM{7XmI8 z{&c9ob<)_|&~H*faIyf8cQUSPm(m0ar@r_hFs0MhqL{h{I0lxxT1U1cDtQZOCyTUk zR-#o#S*n#SZ?wCWdF;a#8=ZgEb6$`1k5RAk0sA3yOZrppwOc4JFvAUN08kT}8oRM3 zK=X|qM^*KK#j?QUEw6}%>x#lK4&N=*RUvoHg2bEL9p*Q&jTikp295xPTwsSFDQ=6D z%0~DS`lNHT!406V;yOOYub8Jp41;c4*BMp%z8472?|%tv7Q^1kfZ`Xuio~frXgMQ$ zIzJJWPWn4|aq5QmjyhVkTFbiyxonDya6Ro#(d5E8RzE0%VQ;pj(4nPUaFJyU+O;BB zDbu2$vylpIank<)Rd@aqb2R%vxUkntsdo;CKwpRU^N&h7h^Ddn2ue{>P=iihu2V8F zS%R{V*O1+k*AmPc(ri$MCKz3?p-AH5Dx33EUYaTJ)D{m`Xt7*SG;*1C?HJS|Eu{#h z;eeGwK2T!w>~LGCE_^Ezp_*TgF8C;7t)=p$GAp}yV}1c=VK=wrj=Q?4Zj!`wE+ud% zc6o>0q??XVoS4AUuTEej5MH>ral#7SkTz`%?mW0b=o?D7>P^A~H6NTxfXR{ED!;=2 z097^T{t&7DP(uhs-_b$PpWb#yevjKJ1pdDo8mkYBC@+Idw@ga*#R+k%`P9~$#SUWQ za7HzWxZ2+UqBPZ|u5d_ws?bd$dA1GEY~w0o9~&E&DKxI>zz?1pjh4SS?yemO?F&GQ zeU%068s_RP#I*p+!DJhR%T}dkkW;h!FgH-;k2eO}rxG<4jSS2ygraYN`-fF5(6<19 z5Y%+lY)GLkbhJAXBV3qR?~ni}H#K+%q7X1}^SI?H;yKODzbjE00JS@^@=60Jn;|E4 z#$SNH&;F}p>OV(7N2&KS^}kJZI-M1NPYL*6xAPc)fXlLcW4okE(;6P1Os#X;!a9Jp zDM9`R;UQot>@O&v1|^;?A8D76u4)W+Dx+RXcw~;os#k)IF{k(C+FR8@qVJSC3D|Oq zxxh{!Kv|i?RK}J$| zvgM5An<-m#Soumvd?WP4jzTTc*tu>AwcqcyIP??80NmlH3~RbR;}3q=zEywKd}sU# zj@z$BK7Wbj{7*OHUH<^d>U>W-@fy$h(|f;(>ikbD@ze73ytB&ubgXwg^UL^@8=g@elq84?l=^KM{Dx0LS#Bbg_+%n5-DDR_1pS{pulYNG@Skc*HPnO{S6!z}PzuCSQd08h$bS+#~`7=iM>xHtQFY zWM`)T0II*irVBAU-tCQzAB?hp6fnDbW=%h1HS6OVtH-hoy-!4_Uq0AY;qisX%ChQ# zuGmwKMIwuZe0%Mg_+Hp{4R*|+3+`Yuejq~a`=)0L&&CihhcO|p<}M&LlG(>j(QMH# z!z)p9PD6B~hF?WSq!~5ja$JMlThcusKsZ)Ac>8qAH;dsPdW%NN3H4$Jj%I zGpMMif(7talZ;vGGi0!eFlLM!k#W3wX}>SOlv!3 zmG2Q0u?o5Gl|=n^grVv=j15y?7c$|k?vHLUE8QIQxo&82ot>NQWKq~_Zphe4a9(0w zQdHXVOa28bwlFn@#I@NzBX<{eRr|pdBEw|Q8LY#-xgp+h(g zrHNhJ#xoiXpY>At(^$XYXRZChT)vl4BAEMXte3<3K)8nQ`K7c$vk;`6!JvWEmQ^y8 zM*QSqv{j&BfN&tpJZDFT$ry75DM=Fne9SBXFRIIBi>OhTRyZPI#kl){-Vyi_r@P+e z4Sen-ju^*CYTkwvlYDTnC|#5gveI>#duxMTk@~Obet`M}dL0RqEHCt|SLh#Zsd_pga>S=7BZ%9t zN_655ujM(HvhqET-&u^{oS27B#|sC)SQO0Gspn(8I3iWc zGqWxRDG*iABobq5T1V3AX5J;aC-F`)bsI2 z6)G`R9DOR3^r>9}`j{Y2@H$jFih&D4Kc#So;6B*1CTw&hrw2o6jBPEalbJxj2wBQm z%9c420UC4raRh6X<6?H?`Kd#n2^^kCHSW^1DQNq`Q0@{cxXNPQXW$SMw*Dgj0Nf@? zt80QC4l(*6eZ+C|V+z*Y2Vp)9AKL>V`=d~sq=+sg1)o?Ds&*j54;evM;QnHZavzKS ztBE1ZX`SE4EUi5RYPaPWE~K9RLJx3n2)5kU4!9|BkeYz-h*6d2X>SK)d7_en(Q#^j zDgOY!j#ksmz^9!O+QOxc?0AQ~;3s%iwuTc{o@Ipw8%myqOm6YyEcO@lddyHZ&#(>;| z1hjqAf&GY1dl2FGS%Ii}C&a-G@f9nD(OiOVTiMUD{7)4C&>}U0 z^BG!=5Q=+AR|rjBLS2ba`Ot>O=~FA1ML*R409CKBmjeLcOw9%&k&T+Vfz~8Pco&|` z&b1RpDGbU&1=zsl@ZXuhhs5vv!PLMIgB4&X7I&C3>tbV%A?dC5!;qXMKcQC%dPq5Fm@$UL4@H2Q zi6#DJ9?LT!ac*d3%!)o31Jp~XF5vt}`4#n%HYyeq!9FoGT*wPtV#?w#0z^Fm0+i{ ziHggr`p+$gX|iv|MdFI~x!4LmWr@5-X?XttJp;TbNoNrfTe#UC{mJ{EsHJ!POimZ~ zA^DvRy|nzKH&k03$D|waeuFru3OO~TstE@v;6(UD5nt0>EGj>J$NhM{dD$7cK)Ui$I0tF z5GAZK5)LMW%tmv^=`NXk%pK?cq95%H#Os1#3_T>R{!<1gsvo^$eF=W5q~p2Gh^x)} z54;2w&*1dmE+6O73-l7lq)hz-{O~J_MfSMu+5ql^9hmm#N#uWKBEY~_aC&^)f}vmV zNQiVcVh!EhT`{70pN4*>V~&*8%{Vvy(ZXQ75MQAxhlKp^>Ku>mL2x~Sqqs_f62&by zgqfG>sb#8(t=?2Hs?Ih?hy|5p!_^o^<_K(gmIt8!06#i6XkK!Ld5qM*lk18uIQHfE z7zvEx0!sT3LNp9F0}HR|)Of{IC>gNB{h^o}+7HfQ=;K-5M(5oH?LizROvQS}UUKQl zZo42XRJ&PNa}={`1bcbSLg74uWqXiCQ_FKGHiqwr(D<~wsFW9m6`akzV{*VN?h)14g>bp+%KrY-Uzt@DDjrXh{i?$5xCWm629GR> zcWKyyF9h50Lv^|#<_K|K#}Eguj1`J~jUp;k@)(AyoQzPpF-`Ob2ik2Ru=5orw9be5 z>w@x>KvM=Lp3uw^$FGAnfJ{s+p|wk7V#d?qPH=Cis%~11r_nBBO9%5n%YW(O3!i(A z@@ly_f3(r4sXVx993+3N?KRCrp;PdvTQ;n}Ogh~vmg(&9~s9DrWH#*)i< z`UD<_h&M+sgp|U`ZfE16gP&aJf1c^QqmNETUV+^5xbit9n$aLDF-F>jecTM<8Ld+; zyF+mn7W&|eqQK8rtY&?omy&2ak)rN@c@OrR*2;)nd4-HqMP~lBFp$g<1>97La7Gvi zC9OS3hS!4fp+`f}IK0Ps6K#ajX*`aUn*VE&}c#9~n5K1Lw&@WHFz%|9~$tAKEc7#Ju}St-zR97Wg%xPK7n@6ylpcbfUV z38DUf=QA<)<=6%!rWM9v?zh@&i%cNfkLTb#vJ&R0f)(pEc`xAT4JeAc&<`QQx}=^h|HZ{AJJW#T9MIpntRe)ZuDo z?VQb0Bdf>g=?tuI1e=lU7&rMNd|Y$KBs;=tZY+uwBRL#J^5!qY_Y_PL5A**3H8QWX zHEz;%=8R*UTyB9i(J8cJna@Y3hFbgh?Y(8a_7!!R5A5wZ?pqU4>M!j-+N&49g4*|q zL|iX!S{HxuiU#G4*Q|?NRG_3STJq!@4%ryC5d?TD59o$XhAPS{88g*5!yhorEANyq zf7vKe66PoQ=H67-+n_M|5sbz8KSWOPv7Uo^#ImX=d6}nqXR?EjvOH03LcTkeuUWOn z^TlE3`j)P0$wpik20x=DKiX`+M0|Wqd)I`{N;1(7J)BjIR5}oC;L*h;&y{@Ts@|esR}ZSTJ&}yTBF;>aWPhQI203$ z0$RFSq!m&^XeRe9H=o{j^+SsxyMR)9CROnRJ&72>xA*9IFF&}@TQRse% z@^<~ws-;}e4=3b-pZ1%hdS}Xvla@l6#PTqPjo}ZoaA|sgR9_GfQM3cr$Y<#db42mh zbjn7H?nUs_SY;-Kl8n{@k96Ylgs%Sp&Sw=L{{RC;`sjkVo`-1Nq{?%BNmr_c?TQI) zfof|*ppd03K50*v!};cLllzTJGCdI$;y-Nv0BSU{0y-ieR;7VVF<;f{JR!0vz@a#5 z9AOxaD}tGhC2Ce+qLy#_8d#2`o3mk>Hh%W@z?b+K4XHU zRZkG_(vR6mMg_VIpcn`%=$9DPCD9BqmiHoGU5an_ouh?Byc@Cq0BCv(fGNjbswG@O z--q?gp>O3}g7^_MmW3aZ;R`y;ZQ@obO8M`+7?&rhg1woOn0l^(knsNS)Uy8o@DSwf z2Ss%lQw}#9JaNtkm%|rG;yc8x&^B=pnPWxVxrtAx#UB3vrNp6oe)(UTcYn18d!WCP z3^0{{RCQ z%KcKeLyCayGL&@Ne;AJu@kyy?14~8H@)jMOu80WhYfHUF!5WBzijH(>(_M*Su zeiY1~l4f}*X$LWY^ZYPyWmC~c-!akjl}V!PJ%~MBk#mdX!{w#3cm3u|4f-$t008?} zd`1}KxpE?WQmPIG-?0`NEJrkR=uUg&ug0b+-r}z6uwO*Bk6-tSvHZDN4_PNipvQ%b#kR$H#6eQqHV?05*|iisf`>toART7znPhNAa>RN0PqwF z3!Ft>xA!v86I9M4@F*+=Tf(YQXw`)=qtuhhj7t=HY|VJMUh_BH#8Pcn_xnS3JQ7^`%GXhez??8iN8REz^oK`|uPSa>j^`qU|uxZ^NhSP%2br3dMcz9nqu`NgA&O1sL- zn-SaSs4B1<%oJI$6hsK8H5Q>cs06+T5h-~Xj>JZc+QKDjrQ^9mrW1L$0zwFRcJQ22$@fXhNJ5sslClw zedm@it_k7HqV}=Fh^2LK-V(}I1TuDJ@$96`+NN)dfuUWzIP4aC)IgLc7L&;;uDd0^ z;^ybnAeP-M)Dg;SvKXOSiOyzH$0S}IH)EIqPoZdvmZy{mf!`|s0NDF~FoqIId;LE+Cu6Af%)G z=>n7g0F#oM$QCn1Gge|bIamYrRCerK8ZuHJeG@Qq@wN+Ww3Q<>H0lTY8~E7i&=R7{ zst6%EKQO~L<%qar%)>k|n=K9$b>>!4b4dty7JAeQn0F!7mi8=+WW(s&{z=H-a1Ud~ zm@rb94q??%FkWZk!=_~1g{UQWn_(c?TlJ_S9_V8IlP;Fs z(|UOoEeX_n=)xwH3e_43c$$pmRa^mr0d8W}7_FEDZ^#K%RR)IJaJ0kyiuMq%c~yr+ zi>dD%LJr&C;$d!9FciEdN<`seUhd_xq7Km7Ww{$CYrV;e-;!IvV=OjymoNHbls)>? zm;V5gFc+ZJ;GnBrwN&j=0CXGT0dpo5CBuXbE-4g9)~3G<^tyv4vVbNO830DNwbu2Cfio ztZ8rz17P32QF7gE?2D`OR|6`2Juq(GQP_y#jj;DxdxBy znfEk6Jk&7Ex*qJ8RVu54{fOxP#A|)tm-854Heh`*#-bR5E5tmzM5|N5cM+rZW*E3) z1gn0Vj5u5gxmw1shyhGbu(;x9AfY9qrFPqcK$0?dOMOkKeZGPO@$aVg@- z99+L<0bqLAx4YvLJa5vpSIrQz&f`FC?CiuqeKTeI4X_?H2aKqaMKN#oH~K!(^H8Y8 zUj2x(fuh~qkgUGpYEh>&X&2574w zJAOQi%r*8>$|WaS1h7;C!zQGLw+2G)9O=GOmWB-?gt&X0Tva$yTW7pDW#Pl{p%xk| z;MXkl;Q1Alk6KHsn=U)Bu3IVN$q`{i?a^M6j}F1g#^57pJ%Buav5WqeBKAn{0b{Mr z!|;7PYzv_ow=bdh5;%@lAjg?dO14Qsc^p9o>@hwPFx{r~0-xmDc}0AY8iPKW)HSr? z5)f0M+i`5Rx-HeMz)FYR75FPfx_pwLfo|no8I|qZb2hS$%gZV|l`W2KJj=EodITSK zlp>DwO-mu6brx;q8aiOv8)oCq0ga2USff&#Q)XBVksVnLQ?l&h$So4(VkjL~6L8d~ z?5u2kB3nU=3!#+QMbf9091X9+s7n6;*#7{i?F-3g8h8dFge6I<(Uy1L_#`EdgrrNb zAtuCVG{c@#uE>}nC{ah>{FPt*;S}~vYR4SPvHcdyVD4@*>60rc-1x-P->A{%;Cy-j z->4B71FYyukBeP8@JXbIh(q;{3+1XGMIGZ64$@Ie;)=I{W54-h?@# zGRuQx=QHaDG%oGR%uy|lZZivn)0XiuiYfQfc}v>ksP1EI{^-ONbDbjbh6L zQqdyb2o0gjk@R6vsuyF1GOA4c&SsdSCIZc~Paum%BVv6lR~+;`9V{?@mZKEjreA9={vhe$pey7mv>U7T{)P7y+;TiJdkaatYvGoC9Ahl-+1}qX|(R> z@=hZ!g7>2aP=E`2(zVEUU04_zQdi>`ad=$P=@b`2vQx|*v93j$VN$$9i0@Fdbf`OV zMj*g)1ivknC{#-0W(E$hB?mQ{_m0%5$JoMD;#Y%pT-;$5UOrGNf->-bv9a$E$i)DA zTFdz~MS4J5W%DomTBkMirLhshWg*b9Jf&FB=vTV3Cnle#Qrs$4H&p|)3g}{~uIG4Z z>^}bhpw?ecw*>MpvvOY?dk=dDz*S^RhH-yyK9k>vn^%yUa z2VxajNd9HU-KC*}UmJ&&=BbF1j&PL5O_JLwv5({k=K-CIEC>QdrlWFR3gTu37(WR%cXNKDvzX<$5xD1cilV@IfDNH zB(0HG5fQh1s6v_yu{DWxcYTKbVlEgAyoOa`(58r10)WuCXSBh?KZpoGn{!TX9tMU> zwt_KjFchztS(%BzR>Y$gvqqBxJh_$01E`T@`_g3ahK|3jvO7s z(wfY#ajBIZHkJM4tOvAR2B8Qt61yhpm`5F}ExbqN319X;dX^4l zp#ohlrmy}KjJbxh;&2Xr}dE`j3fk-9<@Y#Y&2@*Krg4mL13SP2;@Ps$Ir% z{<;X0G~fkuGNjIiu?&i=2)0AGlD4M{jGo+WFb`-}vt5f-h&-!8t7vSOWrcNVs)@X; z5REOumjpaGr7A&eAxKJOe#s057O2wWD&rWg>$p0qIYWfaBJMaywFLon2|>e8p;Exh zLzI6dOvfef!r~xeRDFokz%7CP$8x{!Lw91HDFFQG;Fr|sW|)oA;iQ$E^3_;Om;%Uy znkX03AxHQz^nLdBm{{XG*#+orh1c_AV>-kPXTyrTFRPc$+(-PZ#E&BL*)2l*j;pV=0jR8ImgP)$O$iFZQGd16m{98ww*jd?c-PzI5U7ergE3amPf z=5lzg5nO-TWk54loTHpOBz-_u)&9wQ=>l@~c8ELLlLF-%plX<1V?-PE?BxVDLEfs->FEEjDkKdO#2dIeI~akBFm#*ozeByisg4~|H? z{{Ukr%lhIh+{MTY%)?F@hQDD>NT#c&jU~R9px5hju^O>(KA4q*M|+AzM%U#U{{SZz z-G2C@bGd4zqfja`nIQncC|eTeT{&AyM4Mq^!uEo_yJec)%9e&+hp_We>;Z8E#Ipn$ zglM-}EyyumC^FUimbsDfL`k$FjXmwUlcjtB8-)Ng24>5Us4S zoa2;H1(jlN)S0&>CJ4}`?x2P`T~ekK5H9EvY1VRoGEj>0hFajpY0Dg80;>u#sZ97m z1PZcXTc+kmH+J2B23VDl_XM?Yw({MPEdvxFD!Facu25Slb=}O&I(1c|<(CQ+i&U%IaiWsScWQ%(@*Y8~ONUvypFsq)&a4{uH&XhI95V>b zMCQSm8v0f|iRF(YL-`~$3boNPXW3M*Hp*=std~;Ea00T-qE)$a!+U}Tl`VuVhyg>R zS8Y_ihTEluad4ZGiDg)7P-Om4!bPY7QrxbhMnu|Wfh<*6{{Ulgd!w%Ri|gJqY=L!j z_JpHnm=bKa+AoKsx8Rl(cuI`z&^qWe)m%*#H*bljpkEk@z}Mmn>;|KJYpIMek~@;m zLzRD$s|3)h8iWUz(DsP)qZDZ6VcUB_Tv`o@q%of{0|>0mjYhGJ~fi^a@H2+&QF9Ug!Q)Wbm7Z z;txhV3gdi2_`4ats;PvWTKYG4gDHh*df%kHp>a>~J2(qZ_U%ymmp8-Jzlak*+3^d{)eRAueLpLiIEjD5&*#}SI~d>Cs+Li|HJ?)5dZ=J1O@>E1pxs7 z000000003H5g{=GAV5%2U_mf&fCCaDGGTEO|Jncu0RjODKLFjB#ypH;9#%1oV;{<8 zk$i4r>g;iSRn`{j$}YRo>kCxleyGMV&)X|+OWQm9VSQSz^!mHWeOmW^{`Ega$aD1` zne4AR7o`0^_obnCr|pCG!rkKE5YiIPge@D|o{2?tJX%+o3)4anw2x5hY@R8kLdv6S zi(y$-gT-~PJ1w!_LH-JOOfF?GmbRe?MiELVMWJoZUb7m6y29HYWwId%LiC?oLinV$ zN*3QAXnN(A(0N}`dN(aY82$QiaAO=C7~uLv#}^j|7BP>cxFHHR$g&|*+2E5k zkHG2Rbs0Gi_=ovULwt^d9d0`+jBlQfs$p{z<2EM+JR_He;;Jgb{yIg=AFq`QLD*38 z%3)VOSSgAMa@gq|mRJ=J_9$a2-cZV+paFr$ZCnksaO0eZO1_mi&)t;MhlFe!CLz z(uO6!BiebNV}7)o6fifMrp9l|`d3;) zAt9Az2?&MAD~XNRO!Rgvkex^DD;OfWzKze-{`BI+zIx Date: Tue, 16 Jan 2024 13:30:09 +1000 Subject: [PATCH 06/15] tools/pyboard.py: add --hard-reset option and update doc. --- docs/reference/pyboard.py.rst | 10 +++++++++- tools/pyboard.py | 23 +++++++++++++++++++---- 2 files changed, 28 insertions(+), 5 deletions(-) diff --git a/docs/reference/pyboard.py.rst b/docs/reference/pyboard.py.rst index a06ffdcd8f..d1d6818ece 100644 --- a/docs/reference/pyboard.py.rst +++ b/docs/reference/pyboard.py.rst @@ -25,7 +25,11 @@ Running ``pyboard.py --help`` gives the following output: .. code-block:: text usage: pyboard [-h] [-d DEVICE] [-b BAUDRATE] [-u USER] [-p PASSWORD] - [-c COMMAND] [-w WAIT] [--follow | --no-follow] [-f] + [-c COMMAND] [-w WAIT] [--follow | --no-follow] + + + +[-f] [files [files ...]] Run scripts on the pyboard. @@ -48,6 +52,10 @@ Running ``pyboard.py --help`` gives the following output: available --follow follow the output after running the scripts [default if no scripts given] + --no-soft-reset Prevent performing a soft reset when connecting to MCU + --hard-reset pulse the MCU reset pin to hard-reset the MCU (if your + serial connection wires RTS to reset pin properly) + --no-exclusive Open the serial device shared (not exclusive) access -f, --filesystem perform a filesystem action: cp local :device | cp :device local | cat path | ls [path] | rm path | mkdir path | rmdir path diff --git a/tools/pyboard.py b/tools/pyboard.py index c422b64ac5..e67ddb2dde 100755 --- a/tools/pyboard.py +++ b/tools/pyboard.py @@ -265,7 +265,7 @@ class ProcessPtyToTerminal: class Pyboard: def __init__( - self, device, baudrate=115200, user="micro", password="python", wait=0, exclusive=True + self, device, baudrate=115200, user="micro", password="python", wait=0, exclusive=True, hard_reset=True ): self.in_raw_repl = False self.use_raw_paste = True @@ -301,6 +301,14 @@ class Pyboard: self.serial.open() else: self.serial = serial.Serial(device, **serial_kwargs) + self.serial.dtr = False # DTR False = gpio0 High = Normal boot + self.serial.rts = False # RTS False = EN High = MCU enabled + if hard_reset: + time.sleep(0.2) + self.serial.rts=1 # this is reset (setting this "high" resets the MCU) + time.sleep(0.2) + self.serial.rts=0 + time.sleep(2) # must wait for the reset, otherwise the ctrl-A gets lost break except (OSError, IOError): # Py2 and Py3 have different errors if wait == 0: @@ -359,7 +367,7 @@ class Pyboard: self.serial.write(b"\r\x01") # ctrl-A: enter raw REPL if soft_reset: - data = self.read_until(1, b"raw REPL; CTRL-B to exit\r\n>") + data = self.read_until(1, b"raw REPL; CTRL-B to exit\r\n>", timeout=1) if not data.endswith(b"raw REPL; CTRL-B to exit\r\n>"): print(data) raise PyboardError("could not enter raw repl") @@ -374,7 +382,7 @@ class Pyboard: print(data) raise PyboardError("could not enter raw repl") - data = self.read_until(1, b"raw REPL; CTRL-B to exit\r\n") + data = self.read_until(1, b"raw REPL; CTRL-B to exit\r\n", timeout=1) if not data.endswith(b"raw REPL; CTRL-B to exit\r\n"): print(data) raise PyboardError("could not enter raw repl") @@ -783,6 +791,12 @@ def main(): cmd_parser.add_argument("-u", "--user", default="micro", help="the telnet login username") cmd_parser.add_argument("-p", "--password", default="python", help="the telnet login password") cmd_parser.add_argument("-c", "--command", help="program passed in as string") + cmd_parser.add_argument( + "--hard-reset", + action="store_true", + dest="hard_reset", + help="Perform a hard reset when connecting to the board (requires your serial programmer to properly wire RTS pin to reset)", + ) cmd_parser.add_argument( "-w", "--wait", @@ -801,6 +815,7 @@ def main(): "--no-soft-reset", action="store_false", dest="soft_reset", + help="Whether to perform a soft reset when connecting to the board [default]", ) group = cmd_parser.add_mutually_exclusive_group() group.add_argument( @@ -839,7 +854,7 @@ def main(): # open the connection to the pyboard try: pyb = Pyboard( - args.device, args.baudrate, args.user, args.password, args.wait, args.exclusive + args.device, args.baudrate, args.user, args.password, args.wait, args.exclusive, hard_reset=args.hard_reset ) except PyboardError as er: print(er) From 9072cb0ff595afb567a496f206fbc72e15f8eb95 Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 13:35:13 +1000 Subject: [PATCH 07/15] tools/pyboard.py: add --hard-reset option and update doc. --- tools/pyboard.py | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/tools/pyboard.py b/tools/pyboard.py index e67ddb2dde..a559340e1d 100755 --- a/tools/pyboard.py +++ b/tools/pyboard.py @@ -265,7 +265,7 @@ class ProcessPtyToTerminal: class Pyboard: def __init__( - self, device, baudrate=115200, user="micro", password="python", wait=0, exclusive=True, hard_reset=True + self, device, baudrate=115200, user="micro", password="python", wait=0, exclusive=True, hard_reset=False ): self.in_raw_repl = False self.use_raw_paste = True @@ -305,9 +305,9 @@ class Pyboard: self.serial.rts = False # RTS False = EN High = MCU enabled if hard_reset: time.sleep(0.2) - self.serial.rts=1 # this is reset (setting this "high" resets the MCU) + self.serial.rts = True # this is reset (setting this "high" resets the MCU) time.sleep(0.2) - self.serial.rts=0 + self.serial.rts = False time.sleep(2) # must wait for the reset, otherwise the ctrl-A gets lost break except (OSError, IOError): # Py2 and Py3 have different errors From 9b3cee47cc40b0eacd927ffe7fd509017ae32a4b Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 13:36:12 +1000 Subject: [PATCH 08/15] tools/pyboard.py: add --hard-reset option and update doc. Signed-off-by: Chris --- tools/pyboard.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tools/pyboard.py b/tools/pyboard.py index a559340e1d..2d11e3c73e 100755 --- a/tools/pyboard.py +++ b/tools/pyboard.py @@ -305,7 +305,7 @@ class Pyboard: self.serial.rts = False # RTS False = EN High = MCU enabled if hard_reset: time.sleep(0.2) - self.serial.rts = True # this is reset (setting this "high" resets the MCU) + self.serial.rts = True # this is reset (setting this "high" resets the MCU) time.sleep(0.2) self.serial.rts = False time.sleep(2) # must wait for the reset, otherwise the ctrl-A gets lost From 9fb3c6ee07dbb4a8bd6393ed927868ede31a2bed Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 13:51:22 +1000 Subject: [PATCH 09/15] tools/pyboard.py: add --hard-reset option and update doc. Signed-off-by: Chris --- tools/pyboard.py | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/tools/pyboard.py b/tools/pyboard.py index 2d11e3c73e..d908194714 100755 --- a/tools/pyboard.py +++ b/tools/pyboard.py @@ -304,11 +304,11 @@ class Pyboard: self.serial.dtr = False # DTR False = gpio0 High = Normal boot self.serial.rts = False # RTS False = EN High = MCU enabled if hard_reset: - time.sleep(0.2) - self.serial.rts = True # this is reset (setting this "high" resets the MCU) - time.sleep(0.2) - self.serial.rts = False - time.sleep(2) # must wait for the reset, otherwise the ctrl-A gets lost + time.sleep(0.2) + self.serial.rts = True # this is reset (setting this "high" resets the MCU) + time.sleep(0.2) + self.serial.rts = False + time.sleep(2) # must wait for the reset, otherwise the ctrl-A gets lost break except (OSError, IOError): # Py2 and Py3 have different errors if wait == 0: From c5782592551ff46133bfda4ee3b77f8dd2b467aa Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 13:58:43 +1000 Subject: [PATCH 10/15] docs/esp32/img/esp32.jpg: put actual ESP32 pictures in place of nodemcu. Signed-off-by: Chris --- docs/esp32/img/esp32.jpg | Bin 0 -> 52617 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 docs/esp32/img/esp32.jpg diff --git a/docs/esp32/img/esp32.jpg b/docs/esp32/img/esp32.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3d361bf07b1e39b982ea1b20dbea9e89c9a0db80 GIT binary patch literal 52617 zcmeFa2Ut@}*C@Q{(iId`suU5CE?o$SG?5~`3xoiX5+nprgs3Q}G(`mz0R@y!q)RU< zAXRFpf+D?_fCiHMJ6MkAyytzt?|%RNpZ`A3JsUH7&6+hcYwBKWO_4M}`o#1|D-iAq z00suYaR31J0CW^=02K&PfPVnRVSr{A1^{OYu3zv)ieo=$C_x%&0CWLf@aIb*vkUJB z;d|k5fci(=bnyKFV0j6?ME3rCYq%hsVf@DK2tNeU9pS^TX)4cu%o6VHf(Ss00y0uE zCzYk7m8IqRrDc`n<_Aibr4fLzj0>^>mF z@+gh@9!sbP;b(;A}i$l$@fOArs)AF^5m*8 zEtvtjqjC-1AQNevE?VaDez4EKSorrGi2T62kUZK}`))ie zKi6=i4c|Cn8yF-_0^+~LyAYTVUzevS_=OnVNkHU*u`X~Oq`{$7n>KZVM@z7MFL=v9Rb88^n%e>{XScdB9>+CGDKbj zydd@P6bTTSMawUHvNb>kBUlyb9rCkK0$hG7u*+0t5tMc$Md67VGFRLg0B7NG3Hya?8EV>qX2 zRuPBej#}a{n^%<~0q3s!%d?l3mmkU<8T)`%A%;CoR7L2R&TaL3Kfpz>FWM_DZ8l|T zL@i*E=ua0>4@p3Sg@Px`(A|j$-8ZWUCNv3IRb?q3KM%0T(OCyW@)lmf168XEiBtSiFOGqJa zlQ58>ld5N&k?FPR;y*c2hU<&W9XWSOX9{LBRFLhxH8iovOqexkRA0C`56Q-TeB50v z53^NLqH~oHRK?n@FT(sxcQUqBEf-R2SNi+QC5mwK3KGMwDo#0;gnRfdQuJG$Q;yMy z9<>lZl<1kmCo=^LN!;L^aQTkewIv^X;69x|q#oYrHFXUZNUDDV*=SvELCHpE6+ ztze@b3iiO0 z0Nl%^^h;S1Gq}%Hs*lVxbFXSyx z>SUFVb&vpC;@5&J%bIDKy+eg8t%ntyCr?sp8WGGTNdUd&SCMBT`ISOZyeV@=SWiT5 z!pEp#_qk$u#4~qn*$g3rE1>(uSaN5ECJC6g819vP+PNqZ(fedG{?oKG1m%BnCCI9Y zz?EGPPLvoT#7V;N4{%tn`Jd5*Z9ST8 z7)c-I)QWLcO`PMO3tOazCLFXiuRC1^RC~MaOWJZgYEwu6D+z$tCKmR!vFe^2)DM{G z)y#jUE6&W~g+5a<=C&oNJEtnkm1#C*^Ffcxt(QuI?!Eu9leZHN~ z(-kD3S0b@b5+lhiA7On@Ca+1{BeSA@37ushS=8mPnb28ct+P?PZEjBjQVa862(6tk z!6v2UaJoFbI#<)4(2dq{>T1yU2(sS@9^35v3Ng38JT!ffZAqP*?+e%yZRdDoSu=ZP zT?(CpRk+dyjHWF}KsyP@M1R%lbhA$UAe~#Jf_uu4pzr&{Kkhn_Wsz?dJ^l!ty0Nga zns>wap;6!#38*ogT`zKPNFPO(78D+)V4K$Ykd20HEs_B0hz~v$d5sJC87@wfmWBBb zr%o2+1@r`0zDZfaY=p$`K-Yrxa|wI!3OXTPZS$&n`LR^5R!_j)(tDN=Ir1aW*xN-Lpaw2F=2+EmnqjmxJ*B`n5~6`onl}Vv8rpQE1uX zCAU61F)2gUGV2GhN9q-=%m=#Fy*mvvwnaB`-)>9j3=z9YfJuyDiq1xIeERhG1d2(; zr*Pmz>SkPZMjb{iKpo%fyD($b?g7s%+j;IT0nH)-#n>wdg2R0F20`+4za4%7Lljfa z*sI-qIY+Gd`DRh(p#H_;(h99}USV&n2MwwvIZ_Pb<=P3HdFYH#w{=e2fOWCLnP4sq zN+K&1Yv475a+d0QMXNmW#LjBkG}s)gg>ojwY{(HG^py41@@-sjwMAPJUu<+lFPB&= zRqIWo%HxxkMw^PRE^ek|?0lX%|EZU~%Sa4|q06#FFKR1&tCljm*gkucl1g>Bupqn( zClA>e9$qFQ@R00xiRL(l`4a*oDo=O}BKIUu9I8mHzR(aeY-WJ8bpxaAjZ9i&6v$sNV|@e5Jt2wUdGD%yLjwNi<%Z z`{HuS(krM;!Cy5bo-k0`gW~|d>i7bA%SU|+hZL|oeN0^LnanmV+1~xz_Dguk;|pJn zOor95E>)$7KCzJE^ICxh+j4$`l5>y6w^W}L4qYl3-VhtZYs>cGQN*~hDXcvXF&Cqa zFE<=HT;@`xH5eC^hYlPgvebS(UaMXDq`%~O9z~w}HQH+wgUW#)OnQt+T{!c2T+AkV zAvdP%N=^Jr!kBBy1(%S-uHhv-pTX7cShhjIfLY?BZIw-b$U?2r0&l{$LK>HRh;sEgvWgx9ilvq*!a#r-aSCYOA*aBU0I9X5!07f5QxVN7X%FhSo2YShx3}B4(Gj&EFJa;Md zy!~J>ZQ+Obm;xyck9ETkIJx!Rep_3QPOv}m)L@@vwfD3>C zoB#D!r=3Z z8uah-c-qs?`v;Fq&M3I2AKZI4Nidv#ms-=nTK{|fsF2g36bGF@>VWOHIxzC_gCo3= zU^pk4Qq#xJ`)9GhVmtf(@|d_G4SsnveZ4jR@Ob7BulBxAw2+w5JRI-{DP=o_@P{<3LY!t6$^{{xGN?!uO1mpA)$RyG$Cp86$u8 z6EaLD2H#}1fpaj|@5%fnTQg9l{kv>Up`PFI#=cN>YjO&^&t9l6!pG7b1~x9(XW`y% zKdX<09AEIxSRX$0gOKbK;C}QlSdEL;&&bZ zNAL}*H9z0GBkSmH7|X7^>p-pn-}@W$u9vLj2kufH`sL@{O?7?OPrvJe4#jRP&;USw z7=DaVu*2@s;&!pRfTXJ{7~%=KpxO>T6hAP}A3ynpk=e!DfbLHkxm^so=l{U$ruh~0 z1LToK`rC(Uh8+C2L8SjTVY_v)hn)7$aY(5S78Csas5i2y1lTn;e6PpDWG|H-=zQm% z>;=e%4C({mn*lHfyumpF4*opB*}?@72c$v#kKscm{q_8Y+l~9v81i=nzi@v{7JxU( zlRV3j?K!m02$Z)A@-Jf*>POC++~fecga7J9p#Lwu5IFruZ{20XfKdQLgg3da z>HQEs;O7|$`+b&T@C2u`f59?2?@oXJf@cJq*!{2MyCd)zIV13E3wCG7$}aqUw2_UI z-@7pFE*+TyUnA9LS7=Dz=3=DzQ8`4Ld^20&dG6u!xVIO|`mYVM%H%n$q_0Wt(C^#J>S zLBS7bg61`F+W;uo?@IdLwQIUvIUme}^og0p-OtZQSyIv)Dd9xkjvxU=cu592`AAAj zNJ#>xAb~zkP!E_NzcUQfEmZ}UD{BS#;V!BImU0GC20ofFcew5qUzq6?Lo?_V52%uh z07Q-dRG@O8myZ|B&xt?K%hMaF9H=U=o47IvlhKj_{JSK69;yPnn+Eu;42=0T5xy{f zISE;DsFbuczr4JJ^hr5+dFd1UGE&kql2YJ5d2#8J#^g!;mF?SuT} z6hYe;%1>1QO z7x~{N>*e)}8tJFy4|4RM8VpI+J0(qENCe6k3e)lja}@lUna|ICCV!vAui)L5Cr9#8 z*7SurftEls&v<#noV=khy)&u; zVA&+#a2I7+IT z|An~UEig`=|FblHwTkkt^}qn(0#>c80RJC&Jxxu}8tw}B1Ot$uC7EAOOH*E2NnT!D zMnan0xxvDMMbL#K!Ir%IW4M^YF8zQ!;rzS(O4$iYHjS$aK*?1VILW%}i=P zXOMs1|J1-gHSkXj{8Iz})WAPA@PAPa{Gr>xyg`K~0MuMapP8&cdFq0(iIKM6Ijvna zj7j$#+#5j&E)jZxtN3IA%gWk@pRNO3BBTdbE5XG;Cn(a#z*L*O5dr{(FMhHVMuvYp zpenc7|9!2pM_kvKpZ{mTfAhT$>f;M8=TjJi^s+9XmIA^zLD)0E&xedBuk7r1_8`NQ z<6yWfwX`o!sqhtx)uL1s3jJ8SUOrCVmjU2=naQyL zwttauchTRS{}}ii-G2hfZTmfZ{rq<=nWOCw+#fOjz#&q>HEnS1p6cEoIOoRzP<9Id z4i5c+6M6<}^fv*ZwDY&}aFF}UZ^|kh29+RJ=&$F$B=|-7?}6X)lOX5!OFQ5{^L?3< zpWLgV;Ibvkmmdl0`!Ig-zjosPam3%)`i+khCNNi+FAP-2_$|O*25$BMo7>w3PF6wq z;og7JVSY>De;Dnz6v*KBehmWb=|o`v1qonp=YD`{u??VRX9TG1lEDy)U+s2|-U8gM z1OS$N)8G3&2!r9f?w=gW7|=_Jgzqk$1DYmg{7{sy|928<@{0!C$G{5g2M&P;MFfFk z;2s7UKmkwz)B!EvEMNqf0oH&600oUZUf}Mi0N^Tc9S8#=fP26r;0cfdqyt$%K2Qjh z0aZXf&QnFFjKHo9HQW(5T+2LkfBhdP@~YII7eYZ zVNKyk;YQ(2fugud5lRt35liue;yFbYMFB-QMLorPiY|&licb`?6l)ZCN-9bw%Kel) zlt(EgDHSO-DD^4LDD5fTC@)c7p}a{MO_@NMN|{SpN?A|YO4&y_PB~AB1J9Z;QgKin zp%SN3q|&4^qOzfKrShc;p}I>IN0myIPgOzHMAbtzPPIVwjhdF4otmFooLYrim)e{f zN_~ksggTP?33VoQDfL_G9_mljE7U|9W*Tl9F&bqWJsK+-H<|#NFq$};moz0b?`ZmH zCTTWlX=yoVMQ9ahb!n|>FVY6lM$#tHVrc7VyJ#n9addQahv>xUROyW9oap@M!ss5; z<9gd%XAD*b}!Wdr!@t?mg3cw(0lM3)3sp8_~PaU#5?t zPp7Y-@1&ok-)7jyAi|)^V8(Ef;W|SCLq5Yhh7pE!Mn*;fMkU7cjBv(lj0udd7@HYC zF@9rWV>-^H$z;cbVv1(UV5(ypWLjrtWr<3gz1G9h24eYg`0%8j*1+$JQ{wq?C6ZhK@mNXDiFKWzIiYmI`$XyqtT>anhPc0Yj`$}D z4vBLTHzdj=mL!EFZ6xnYHc1ktdX>6K-c)s{ue zzLs4*DRvTeGWq0y9J`!>T)13=96??|-d8?fepW$9!BOF<0#$|0m28!nQzEBer_xVNs0ye$sXkX7SL0W6RC}g24mkpGf}}z| zs|%{TsJ~R7))3XWsFAC&bXw}P@9C1$Tbjz6!J2hvsLtq|xqGHVi%rX1>xtG!Z2@gJ z?HuhD9XXvKojP3_U47mAx>!9PJ*Zxm-pW~pv%zQI>NDw^>Oax{eD3%;-*XiPlm=%F z9vF-m3LAPGmKgy?dPWb7MvX;`FBw;!r#)|cKJomNiL}X8lO|Jk(+j5ArkiG`&0@@k z&5xO*%o{9NE$l3^EVeAqSjJk8TS;04TeVsrwuW23wxP4Juz6{Nv(>VFWIJgmXBTGI zZ!cmWX#d{fu!E;V^##@oP8W(CX&fybvmJMwjGR)PaL#(pPn}nwn$URYyopJ76>h{2G)*a#==e_{fgg=3=Uevvqa&gPU$RoppzVAyU1=1e*+HXH7Otqnop>Cl*`K$RS`hN|u z2q+5N7w8?>cKP__JC~=gXkU2|L>&YTYPfpj>h-Iif;EDlhfst#hcuuC(KpeP*L1FB zUT3)OalQS9)Q#918=;n=6*qZqUb{JQOXpTj7)zLM7&crbJmog^ZTRhuJF<5k-yz<0 zx!V#U6%iM)6A6uMiIR>=h$2P1Mt8)>$0XmQz2|+e|32h?X6(M$E3p#~3?7s|kL+RL*R!UG9gxGkLG`#q*zII4}{ffLEwja|MnC{e}944MmDY`NhJ;PfOTK?v_%N zUM^iJb1xfzZT-5d{A_tcg-S(9r9@>$)sd>i>I2m=H4HU3Ye={%yxQ{ddic+Kq3TG@5FgRhz5cE59#qQEVw|m2WL=lWQw!muoNSknbq% zROo#DLFq$9*Qu_WZb)~1&zYXaUcKJdKEuAQe)IkTtQ~fIz-3@&&~tEg$bV>i_}U1~ z$lcMsqj4X(KccMXn!O&)7J*(SS3?ecbfg+}^tT z_0ZSMZ;IcV@Rs=L?SLJ+odkj?p@OJS93gpuJ1qYXJ|4M5ec&(0BTxTxJo10{@kl*k zQK4A9vwA|YCb4=#57T4+1##}|S+jgM40t}0<<^HQQT7ngrBZB4KeNLpO{1>hG4RYr zs9UXb3wW4}D3vqQhSs0;=0NG}DecN}rz7SFZdntV1N*kDJw{>qk_BJNmoLS0@}*C+ ze|s;AM{HU zpE=#(TfyKBweRWAAFQ=j)^_!HbqV7YFQpfg_KBitR$S?V@`T%13{oO%vQ#QuYMuJ3 zWiH_yek-b<%Ynsu10VMB9PNP45?cJYv7~d7lnk4O*uSktH0c9)p!Q!n$e$3>qM~OS z#vzTYU*EXtbNEF^uPmi%53kXtUPH^JR6igA$>{uBI1;b}RiL)+!i!%Ytkn?_t+-oz zB|B!?1ueG2y5qd2aspYt#ikz-Bb4$nU|Q&wtw-{->XJmz9f?aadZ*$QGbu)PVsH1Z z-Ip;jsp@a)L*xaU&h-aiZZ4Zd?Ag{9iz%!p0auH*JgtTvQ0Qs~>KS#7S=JP6jO88_ zZ>uW|{NvbtwQHyfCf!^`s;#z7JQMNr1LUmN!fzLoC*9(nGF?|PG&8Clg#goh77Cipf?OuJyNP-m0*HBT>dDgETn0Aoi@5jt{O z#a~j3OZ(ZRN(#OQvbygAy{wE1ViUD^vgC=^fImHrHA0914DINH@XkcLPv|fG2VXLh~bSZ9 zq%XQjh*V<;(?`$RQ-0!oL8Z*W|5mQ-KwPV?L?A)-`j&FzklL-B2Xm_OBO-Lg_=HYr z`vPjd4ga!raT1WT^KQmmvHaGGZn7eHzSwMzN2yG@->l2=RmhVRdq@t?rC%3rXY8laQ!A_3?;)LPAj zrc%5FY(uqo*qX&FO2w{QQ5KG=(s$97k|d1tS#39p(Nu8mzDsU-nwLh&Ei z&L#cZ59fPPeX4n*{sq3AuPYPtu7fWQw)b_2L>-XKtF_OWHRO?fQMMTDu>1~lvD}c-ajSlp#&{V8s_ioA%VjqB+FrcyRPIo-lUi$H4$q2j3aczr z@`Krgk2Idov@4^R%K9!~2++ChVT*|ex76l%UFmkXYUP6X`o{9A`R17^+r-q1S%X=+ z79o8XlxaK|WyR=@lq<$nBqq41zTDq82=fm~)Vn<0Tf6%7V=5jWVBvH~N86!J-=43A zuFD~eo15ZrX#MGTShFmlSgo^$kA)sqfU_DX%gl=EJ$R|7pT3Lvx3a)v$6!bNd{+fO z$_PE=TbEK~qTxdVqR}5Rw)I5Ekf9ovq-fKVIeBmqJ1!eFPq3yv*E(C+7_Z;8(OI@7 zvg02~P=WJHbP-m;9G2qt_R{sJ^WrY(hRWG75?~yK6PruoxwOza$6O@B-2cQ{pRZ89 z47n!0vt?cj;}YB15I1zQ#y9m{Y450n2x5V#66WJ>JLZtBtrL}ejsx~N4#F8Ny5W*% z=TbgzrA_v3I)=OmJTQ27vl!?fAOS@+kuS?e(UoJi#3$>vt0__U{pGG*d1!@qux*@D zEEq<&>**i#iJP%)3t;R)zZ=8e55%P6RD>79K07QK-QwWe*&qS5D|rJfF6| zm6CXjRWPSNq!~@XAws#gbPMv~9yg0;PP^Z)JkRkhXkhA8!DsGK?w;naFD1B{InA+n ziej$J?#8*E&k$7WeIF#R&1y;e7hbc^76+SObly4NdnxFfGpQo(L%kk6UtfiMCEC#NSKmPGoi)5M z*{vsY)}CBj-REM@+JnNY5vlv%&FT+5gFKvqZhP3~JUs`{`I?w~$=9EE>yOUrz7i)d z&w~nn+?OaLQ8Y3h5``+Qy_kn<&HsdBxhpmstXtGu^0M`qj9ufL6%SwXVv3e;OyNR& zg!s`n{GOL3q<2#F&ONN4sY8h_7A_<%w3er#q9w&ou`Q=hYFW>7@HZ~+#B%A| z$gv1E2KLFFE4o}*B*QXQb{o_c@?P)Nygd1=S+HDZWZ4LHHDGmbs5K&8C177Oq?+&P zZE@M5s~h)BOl@WNJ1{@0E2ivu7Ebjo#%Z(C_lq*-jGWSzvpiLB|HPX0XMAl)$_|JR zIOG@}#SPv$F=$?R?B&Xyqm{Nfb|;ZWt?TC_v#8blnD+08FJV4Bb?|C(9VR}Q^^SUl zP6vg%n7!^r)(csULF*!w-7JIhXVVw+ zCvs*XTX@L&kY4a}p;K4y`oduwR>K!xdBY-Qx>^12-Ttd4a;$nG@7A)9$6?_PWq{3O zJ{&)c!}3poS0QxfpmR|Q%{5bVLpswzwZSPV+Yxm0*sq-hQyuZRkoL&FGFOEM+wEyv z==`b)_DomDNd;LBtogk+0(B_ajk1u$$-Y7Mo7ipq8=O(LAD2;nr{%#ES52L=ZS?EODEl~b$HFoWt2am5 zr8MlZeaow1*k0v;etD+I0On8uA+Y6`#yU;5FH6nGU}N%StI)4Y-`04sqCc+^WOLj$ za<4xKg=bCNQ&cm&xN(9N6U>-94&lO>4SnQVf^#K&C3KEnX~qxY6f#WjD%YN=#xxag zU#cH{ow6NMHVR%}VwbY8l-h`oep?AeT|#*?6T5Cs#BfSc*9mB1TKS^|sf_e+9&=9k z);{sdL(z>hB?GQl9^I6woPcR1|8Hosn*7hM6T=ZVyLYr_1d)1#dFu^N;?S&GzG`R& z6l#CFjnN}3=3tw+cyF-$f;m3MB#mjzybZ~{XbZKiGzqRcrqot+(^*iEtGlT^Lu)|& zzEH&FvJECT+)*48q*wMSxU-KUI;A}rqPf%O-IA6f<1Ra90gy^Eto&joQJ-P3XhUIytD7JU5eJsNx!@8UNs{LBHapyPQXb3ZRbwx zV467Y;A9QsX(g8KZB43|Nh~=z#rlTTO(?lz3WdLY48ZySZ@&z&dKR5?imD1cgVxw0 z-Z0;-gl`I4Nd&WpgVsqN^PIXfe)#IstG2f{O~z0$Bp@O)D4re{vvaX}J?2BgMLMJ6 zA=)*_c$Qi}+M#ouGX>`6GHJ6da{^UnrB{eeT9Vx<3z_4{CU57e~N`LJf;&A zaU3h!7p<14YBW|pmtob^@M58TmN%=u*}FBXJUm1-kHGWL-hWJMcx)*PS1nX+sPnb8 zqdCZ@g4IarP#Jt)k(1qV)7(`}HYDmK*sA%H*AsCHn9oobSC@)JUr_`m@ph}`S`L)3 z)Lij0MzwP&6z7pwlm>HAYgGFBxLAenNN**quVhSnPJE}SV9Wqz6)c(A+t&8+^`YLe z>o>**4`GmD@>&wYOHDQSYOz905L(CHB0VECOlkXx-%ig!%$F>Edwym*pHlD+n7)O( zVmt`e4Evr6`-UQK)pX7eTLkeE{XAE(q@A8ct55kui~`Qkf>rGgCQxe>t55zTe1#5%Gz4ZG<-$O33h!q|5c#zwZidNYF);wBtWam$6vzI+kh9 z%DNRbij(gJBw~#5@4R{(g!(%eJB5ugEW@yl4@m*1))JW`QHw0>ZCBN8%%d9Zhs&;= zD>N-iay^j86_!@VUr#r}T9=p6d#=2?oVX!y_*2Ou3;aV1Lz!@U(rL%5mrcuS9(Cld zu-p}*s@FT`>0#HvlfPssh6{am<-z@Eh^`V}H3@huk*cdESg-v7OYQ8QdF>lKPO+q} z_|E;Qrw4iojx!YFUncgv3O*V=K>~!zO&HJZqjrZG3VXW992T;zbYOeT3xBa+a*^uo z*Ozqd0;b5OW1?}(Jm)WAYI&zFL{Hd9sw8}RPR$=yzZKk~wVL7ba9grBCI2C=f>y$s zY3@Lj4qjt>k!Ui1{n2{dQTwlDg*yRH7BiQi!_9&fo03^6b~E!2nLJ~~<_^RlgHExo zM5?EA=ITkw#4`SAq(ewOeu`!qU-%)X)JTu^)OR7pp3OyPVEdt2Is_-ludv1tgxG|vFXYhF`}t$dH7!c znS-EB+zVFmp`rN$AL%WGQ#rgLnA^NQJv37jlVTMorJGXWfXx3IQ#!nuAA zG9c-QX?b%u$EhB-ig?x9Wa}l5#O>|G<0|^rrL@Z2LW;UE9aO|DB?*rwHvZ9cjw&y2 zZ>o!ngf>IAdWTDu`6TF02i-JF^slU+Sn4wB3){>8D(Y&8xH`4PtkWjZeX)G6+y}dM z+t$PpzAx!v&fO;ysNs%ltH!AGd;E;7bXyt48R&wWp+`QS3o-gq&S+q(Hpa4NonBP- zmPM~eP{7GGh-x%Zzc#m=Skk2$z;#g5mnF9tR5>5GO%(}#Lw`ayh}TzW2<_F5StS-e z*i?EJKSS_M}OaT(@ZqCvq>llCY{zrv!8fhF8P-^-r@ z(u+QPBb`n|h<9*mwg=C*ON2&+^{259CMPF8P1K4UZ|pOGB0o+5G*i_^c{nu2+Ix1C zzmolV?@V)xG8ZIvZ0AN>QT{Md>dH!8^ZSE5$1%ZyMJyGpU85VpI^ul!Syk&GNYLHj(EQJJu}r~v)nnZZGmCOuCC3Cr&dT8ybU?um5Ar;eF_SY?JX&`veHeZ zjrYVyLgHs34UnyY*G9Q1X381r#fdiFZ$$=U>oXeaiOj8gZ5@mHSOwmX@Um8*gM622 z^3jpT7kL!sxk9=kKfQ9fUtSmJ(76(+IouxJcZj=ya4aRUgZ_2vX~iJUu$PI5lH%?+ zu+qK#(y4kP^;eoSmH5onFkB(8TsaL(CLX*lBGMLZByVt*%^~`17Ur!IC5nU$#jM7O zX!+|z`jncg<$T-@u`@;$a(LtlC4p?ikqdW?V5 z*V5Tw8)AVW$^hc{cC36^#C5v3Xv1Jr^j92msikARW@ z)qHz333&+)73oW2UMJ1u3!?S{4BkVZ=*&4^d*k^d0>`ojRD%}jUZCvy3-6}3DHJbX z4&79H61Y!UG<

1#B zqx;hBVznOE7e!D>szh`Kdv>NjpXI+c?c@v z+`ZIDK{j`ap<5C%BB(ni9^AH-ua=%^9IlV4H!MpSu&qM!^u%RhkW9t(mYE&2T;AbZ zk1RL__J}jP?<`aAUEU8BV7cpGfB%T^V{Oy9@z$#hwFbB$;S7iIwT#HORlSddVh#Uf z)%&q5BP|shZ(KSwo7X?IiM6FJU*bQ>%BcuiH|ek+mw0Fyz>rTEJ2Uv_oIb}A88M5o2 zn&>NU!$>?qCv7V%@rT73^|jenus}>_KIUs}+q;^2iHB@<)`0lod24 z<1X`d=<-lFhM#=T3%5yfi0PMpGE{NFE+DJ>xV)|U3HieqB;)adN{GQG`uy;imN(wx z)wVF!?^Dlw$<~Bv54^Ftt`V5DV@}Q(%4`VQboSoai68-BuL^bW*%tHjzP2n*VRON< zpu*MFe84)ou&Sz2K9}iky^0rdxHd?04v#A9ah<;6eoJbeaKbM+FNDWC`1Q3IZLIuJ z8|=bI$eHcT(b?hI)QyhHzAF|=87z(Z&nE2M<)6q+9EeLf8)H3Yu+3@E3x6Zf5!0t- zFOvGXGKl6fYVh80LU-e^zqG&pRxR-}6fc)Ad@C_{efCs>1hp>j=%Sv{%O3M2O|DZe z6VSoFwc|OPrL2a<%G-}sY=-m8$6#-uHIHh-wk`MJ!qZK=m7_|=tNR_TbkZ(yC1770 zB-CC+%y?CV2dU;;)@0yLXcu-w#fic!=tjfmh|!gW+9l_E7ju^imC-Xix2$KhU!KpsYv*J#>zWa+R!$335ObsZ z^Ms@mH+Ykv^<2V%johxtw(RwS{JheMFD4qSd1E?3svX&#U*)Xxx9Ipb(<+kGqWUm1 z1g*!4(ITndCaR5yWmAwHp4*-o!?9)+mS&A}36U*LYE6WrDe2e{6oRj+549gO zLH@pqN>+qq^hEvMAAR_+!r6GSq9RA$YP^xf?i|gn6x^-OCo7Hpt8Dy!Tl6))W~upXa z1!mzdi2VNTh>3N(v36_!etZYExTGDgK6Bob(;cR*-n(V;c=Ak)Dw|2al%mcNUvP}5 zVer?!d@eoVzV#}^ho@mqV>rX5!_jAb)sXt8>EzqJx{#A=73f@5s$M$D1NS!X6h!ST zPsU81Xz~-(w`;3Y$?_A<>7*ukY%XZv!Mp=+LNp4(O21#lcMxrQg7XP zF!jXr{-|0PJ7oXYzdLK#D9F9?2V7-$(E|6P2P z*H%$c9e819=g!@^FOp9$`C{HQyBf;2`@dT9XcFKAZ|lU*#$admJ7@)K7f8Cf#cVu! zc6!{QKxp`;h{ka}q4%QXH5&-2_pc(d^bujf_wUmBV!(7p0s5RrNu#JzVYf+47~+3otH{i=s`1X9Ri1bn7@(Q=q9~< zs`zeF9ScM!fMB%aO!J7H|8~&j)t+x6vvS%QN~vdNo7efCzS()$rz*v~B~?`x5-BI| zaJZ{NL8)eRxK_cfhZwMN(P&_`{Oy$&P1-yk{6?2Hw-Z8RkiMc`?f0;=j%-Ipti}*s z_zP(!844^p!76w1Kj@3S$d$OxmsZs{x$1?~QOP4~Zz-+>3L zC2m+~8r3*@3ozQhxo)p!?vUqy{)zkmJ}OZxDrx|oaw(va7YklSO7!yWR7onUg$^Ff zOizFh4SK#w962WUDO#XtN%{KcQw;uASb|{5L^wE`oFE$Q2n5H{GxxHKFP$3CIHDdq zun*&u+hD*Iy<#{p9m~2_yQU|d;X`LNZS?u&^u1Zkfcl4Z(IYXL(>x9IpWb{LW#>D* z&=%+HEH!xF`+0TZc4slj0|94)EqGSt1x=*wUN4DaITBT{9Izg;CH8IfY~*1&Eqry5 zL|YrxVv<%qmCqfy?)9BUL5T8Ov&5`v^R6r2+9KzWxq(9+FM31mC`K#bO@UlB?GEiR zg|(e_FOAO3w3j@>!Y>y_j8?~$(v+Bt#98`p62zN!_+~r_e6`>D+<048i|~)!#0R6_ z)fIeQ^pVVqQ|P{0`t%-tboSNYaokxaekKXJDZ>bJK>vPQ!@cj~RtdD@Y_(8$CTfI20 zJ82LR-f4%CBbqC|x5S^71t&jh3wUAAn*rJ~75iGMmyi+3ddSFFlu+`dxR;H|9Rne7 z^&mBb;XL1d<7fPrGvK0O*~{lZb@Ox7kGfWXPf(_o{t8dd&whdDc!xzw~oD6WV3AYmv_}S|? z1Q(nDF)r3t6T2+50!nYUvnp{9<{{_sl{bBhE-cH=d{9dq9S7F{LmZCaYB8vfWeFq6 znXS?@funacXmXiODLvRA0r{2Oyb=DIW4NOEl2jXoo(Q+DysDyL^tYv3C(wCg<{>3& zA0PCI9$Y|{epVZxcoX(6Wiz?Y#_s*+Q+s72LoTzVjM^R9ZoD&~X2m`AS}DDxu37Lj z!%_`++jV3B*7gdbpM#LI?~P-#AaY1CB9V9~0y1ye%lo`bztCq3Hty>{T^&l?mxnh1 zjoBC9<12B-jwwQnKfWjA*nOEqslBD27;@S1J3yXeWAAPH`4qn|$jhn`rdvSn^Y#s&S9s^`$@z!j$W&TD=CP4wb%blQL zEQlPLIFb+f^i^<{#OPJRp~CC zS$EEhw%j=;Y7*+e%VVh`kS&%!O%Stb41c^D$aVj0Wctf9S$C6dc=(NgEh7UjAOA%= zMa&IT)kK@t!+WqZD#b@G+Kr5V_1RpRx-4Yv*SH;6CG7IT-GGn91t-j<(sJsQA z+;@(70bC{n7k$XW!@1}FTKi>#m%TjieE_db_VYmJPDbG(axJ4X+30_0zkfJRA4{2QXQGR7P^ z2jB0k!3WE|$GQ`|I1C$G^(3%m;L_6Vdk~{|gZQfQ>m3rI-@a~HZxF7a<99y4uj^== z9i$UHJbWy{>t!lcl3nZI(&%`i|BJN(r1VO`y6-$9tyiIv!$ncPjCL6uC^9)b3vPI= zF{i;$+?zDZ(C*d2?xdTCKY7sAL`;ls+RUK*J&TBwpdkDu_~eoJnEs^4y$f?nO2Lq$ zKChH@oBToB_-06WFCTnc5MmGnexvY4-B%*+RkA|nmW^|(RKJ$K$)OoObgyMZ)uxau z3YDTk0>%kuX1IimG+eF<-rIq1D5QX5(>9+4S&G-}arL?^vDp{C6DK>iGc=w3ey-LM ziJ0NBn0AIHy{)KtSE&_=3aJtF8eDkqHseJ+J-=MIR2fAAlq$4}H*)YO1cr%)yKAY8 zGgIx5QRNP^c>ysgM@5!3E!cCWCPP2BGM92#rf?nXVyA+YB~X>4UWhIH{t0Z+j=)*y z?Y5X4ipb}=8P9Q&hK*yFk%toC7Y$`Zm_tMWOAWi+iDgncH5W4i$2CC>(7g56utN;3FA25 z8)LMUMsa^GdwR0^Za7ih^!!F6yeo1JhFL*stQL=%Ph#uA%2SqC zlt{ojG`}=5mwW2LonC{R6+`ucY&qU}`LJ@ZL9x!$1c@A+?7W2V-~oK+v&3Wmq5aR= zCGjfN3Q8%}#C<}_)wVeV3w-5cgwCuzZS)Iou3ML_FBdo=n|hc=zz_Hr4kNpE{EOaK zIqzqy)t2J>LYs2T&u|B)%|iUjJZih}=GnnIN0%_z#kRLi8_RPFp%${-_T|fAv`d== zgdR$vI$bNw)9*Nv^B z{Sou~qmv5Sa(WzbManNjw9vW3v(hQE)kfw*r!dCvS{jvx zK99|5rW7_d$wp>d*ZX_juHSXLu7BP9_1^3Kemx(L=l%J3zG?!jzGOFErpK9Smq|wj zgKS^hG8X+47hX*z+*jCEoR}TmXL}mL$K|Hj`kxqThe6|p$S9YMi``SE zdLM`zNEH$aXKkFf;->AP=qP1U^`{gaPIBbMA$?ZTdGzh@TU!^$!{s}VYP;W- z?5-ZlUtm(5UQkx>MNTyWF$|$hr-&QXfR#=1wD{JrF_NCaqS$o_E+T51IO(^9CKw|X z``*zmx@5^qcG~ZkqhSE;L#eKMKaQPr`9vshDzA;=>hkp3)~G8b?wvLAJ7Bz)H$fg2 z!;8i(6cGQs`MNHBjid%9a23nnzxUCzK_VW<%oBgDhJZFt_k;p>>yW?uQYjkBv=-=X zHNd}A7ivJ|{NXtTFFQh8tZ;m4NzHlyZ(r5EMLM2#sf<+g6Pb|uuDAN~C0M2fvAh}< zfW~s%`RhhHQJXx|6_8zP{XMilqZNOznJE(F0e%Ml4iHMus`M_I{oepx;(Y)-`9JdS z!`IRVw2Az|%+*JTXpAnfA>?#`b*`fa#;vRKTx0HXjQ3|@m5Uw?rlRI@hZFEyV#X0x zP-Fw}ipBj+Y9c|vCX2_%ZE2|3X8EnW?f^W14KF#1(edH9ZfPxubzK?Hihb8^vF zr9#1Eazovi<)`t(HHb$WrGy%lbCsh;S33-zQWxf4h^^L(;a3c^wJr$4$>jX1+49Bf z@*Gj2)2dRD7AsV0bhq(?u%8gKxeiwFsn5)l_|qh$Z0htQc*5n*9O2&NabvuKdxqYt zy%&&ctwU0px^VQhOo%~8+v8pxZ*;DFXzm78H57SYXLH5nZp*;T=%N-uac;lGZ^x=# z4Vnf=U-b+V$t=m=kvIL1o$t(0y_JyFekY6=U;JPmFz#qm;~5(*1cMik6?;zkNnZDw zlOh+}sU1{!`^+D^<83lj$-kkkOmOLvYJ5-mYR$-j0Ht?w24ka*j`d#^ZnG*LlRsQ5 zF!nB9uuqV+%{{In~SIWmCQpewg`~9If8tCj;z8aeFvDS?Kv&#H@*uJOb6fJqMwKpA>K#=SDb5~3n zU;ke1L}!Aq2J`snw)0w@));QU7_@k&6@islDXB(wz0n~r(StA;Hl?*OX^ZRn}>)P-4yJ)*5ZdJ`_gM$ zc*3xQ0=q9@=7F&loOXG{PpAu1Yk_15OUT3aS9w;HcBK277@4@x?3Z6TlfMgr%YLD7 zfN4FTDpim?U5h~7kNGE(RjjL*a8f$p0OX29hKc)dm|CY6*N=2sCA8E_#vR*;XpWYW z0k1zOX-jua@ZG2XBDJNS4HXzdByGGyCH+pNZK)!bmdle#9H%lgENa8BHas{T)T3V> z$@`_4uv|5@ZMA&KKP{qA`^q9aR4@)0gFZ;0Ry$kmCVxE0U}J`=ef3Q6b5Xe<+`~+p zBsz9?4jJfGlGdvrsaDfb8Zpc)N!W z;5`3bOO3{+JAHa*RJad$=KCsQ0plVjub6|2yByFtS-nC3u#mX@2c}4wpP!U}FhK>X_6VK9ff7dl+C~{wYRkBe9};B%}Y-3XC5*7_Kc)Zr*k^SZgpT2qf1mx zZLPTT;d6Z!4=`|Z`hlW!k8(W#BEtRj7=_wRdb?}B$$cQpp$VByXzyj6+7 zw(YQFYbI&&?w-Cb{bPKxl>(7HK|tT@2F`_x>T(cLm*`4M(|z=b(5;Qoy5lgLL**qz zFaleL0Lcren0yFLdHJa0PoQzsMo{za|MtlDl0EF%$&I3O3r{dv5yKeHi}+9LWpSjL zsfh)x)_2pYayhd<6`jyR^{7&gO%mkFa_?mJJKtvO>OjO_D_pHG){kfV8z0Yb*U%{S zb$av0rMhpoh}3Mr-U5ZmFhX+rxW@6A*I%{+)r-WoY&?E(!OU>k@tJF4WBrxZBoK)9 zz|&q>^j+ZIAtJ)>+c}Lm2gu~S9S5&JeZf#lbdx5aLyN?kO3EP4Y6sLwQsxBI*Mn?u>79->NLqEZMxsBGmXvc7k;+p7owshhj907DY zr>p67Au9w&)o1jRLiC0MGYBoJ^A$6E9gX`umM?~&W_<=j5-r(xmrK~8u9Q@xpW>Q(lyY{Q zQ&ZT|u8RwoM?9AFu^#`LGw?s^PjJ2H6Qy5RAj{R+1AFYFu~jBm#(Y0g{qD6O))j0A z{x*O4B^pvrq56DA9xJ-z`7$+%OYh--qcxCwlk%ClAC;1Ptd1V?Dhd7ajBj|ruFK)@ z-oWyWV_rC8x~6UQK~9<1R>1?9d5rO1@7CCf#cPHs3D>jJD4IbBejAXS@Ay2`)o*Xq zjlkemPu?xs`(}3AGUDC1QQ=j1BI_(x5!AaU*2KKn2X#rfE*Kc~LF%caQPI&h}J9;O2dj~A?Jon9&?e%?JCeB&=;tNRoD znyMs+CU+4JNeP>p5_d}uU!tq19=U#q_9}{b- zWAH0h?^d8p!u1+E-*LPzm80bPlEedLuf_V#L?0P$VpIWR1)f? zrmu8H;<+%E!Q*)2^P@KQbDr*3gw=FP_O|W$4XuPr-zN6Kyu7JC);wT7WD#s6FDq7r zREtNrG1Ezl$XLnvBcvIoK;2*kF&c^nk48ada|Mw+ywmNkHRtM>XewN;>a&T43U-F4 zxBs8WzMFq;8T=7eaIZn5<-_#^MnUf`2C_HOrvxlvK=%OvR%#lrYTVeB4JysvgdbQ+ zo#>Pvp}T-LNJrZ?g!&7Sbc?3^#kQktDG>>WKvW>KHFh~-QN4Hd?$@$9Lfc4$Lu`PI zCdtIKhFvtxacSGC*bYDj=IvY7xj~p#q)d?I|8gz`#{_}WW7Ae6*bWckmK>To!=eh= z>2TuY?HaMO&&!^9J)WSZv{1%+LH3(XevD&X8q|udZ%yOJMxBxmj*YB!;Sl@2N{dFrCaE}dAG+F8{=T1Um;m{&sToiMHSA>IHDQ0XbzC>nv z21y}VYMQeyOVz0)G_sLI+N$v6+_K#zd29a+4v%&xaxX%Cto=zNX9?L~Le@j-8pibh ziTo1&Si00+lMjWWLn`!1o~UD2LYUwo`}^9{>g8=)d^&yOqA@;+d?jSk3g_$TpdS^73NG6jwsi!AR+n``NBj3796yM<{i31|NCF1O0^&ik1^b3OkuMJ-C z$Z9!`0nT2uGo}YJ*>2(JEJU*x^JZ#5mO=u{)tE=4hU&g62x8>}vhw4r#eaYT_gdeO6sS&id=+eVw)U45abj8*&OwCK>PK=;7c` ztpgkv@R;B$TvZB-r0rW)JWI381Vx7`pgk>84w9&N1aXB!XHtov3NF=PS@v%KQaUM4 zEpNx%+04%2E)uL|7RT1}=c0HZx1|B5&M%#PIv^wxN_@%Y+A2y^p>OyXrZzVeK zziJ5PPGd7>WS-5ZL}9p;aQN{y2Yo?(`)ozwNx_9_wAv;QaRw&P!Mx(+kXCrqKmb$jp#KeQRc0I@fdc-i61v%v+jyP~NmEF(dD z_wcx5!WrR;Cnv@EYf<6P8kY2NYEb6d1En3G_hnsdTMvY_sk=4lXq?{&o5jY3{O6k> zV){yo&|UveM6*`kX^eV*!abRHA=)Its&m*C-S!bs*hb;2zlQ{eZgid=e0I90NTS%U zt=K4K(pu{_g;nrDQ-chmAR32$@!qW_Kv$}9%<)D za2*X=tWE?Hzpa9^?BTFSD-Hid$^{5~7_-FB##^!O2mh(g!Gk<);2R+LC6iw+oqxV&JFiZ2V<`+rpDJs;R1#hgxDfS3QFBSzYM*d8n(mLOH77Im3uq5T3 z4VAy0Rg&_NgiA|-e&ZT3iJ#s_VU8V)^zvxfB zE?#op$mLOaX0*2ZPVt027vh3KsM$BG)zjThB%mB=ZgTxBKZ|V=E>`jJSK}Ic z^U!y>zZdE$KkO8Ih?#+iVZ;L8?M?E=bWpM9$67JSIgvf*OU_^$Y%&$@JY5tAD@>}Z)0qKgO}}Xefh!=N{dLsoc^Ajt z1MhxyNz244_n6U)*mo7vxhWN2BNib$_p0%q)uH+IM3c0Q27+pEjMTN^LdAVfI!7CH zi17{2DVmoxneL!w%wr$D0)lG9sN9jeUteFge?7;Tv;TbR#)}WcWOm@;ihB*0$`r($ ze7|eN2jxd;JN*-R?;f=psE9h%()2=d_xA$aTnP+^mr1lc5%%WG_WhrX$ z0TRl`bcwm}T$|{YWNBU@!8eTBn0W5XX!nP%yTl9EJ~eOJm>eKq@Dg3HQv>h(oYQ^k zvGNZ>*v#_pE6m=4Z*_O)zE44`i}nhoExUWh1{|W+er~Csg{=G&aWt;|&gBPqAN{4V zHMNHVeu6oRP`kubz8)@SW1h#5&=!p#M|=y1z8G%@oPLP5WTp=l}YDKd`|B2{4zY8eQ6VErDIhP_g;5gmJhG8!e+Cp!Efal2Kj0Ztd2Nt@OqE9?8dI=9(7=e8i`5h5_ zl5s&b(QE1NYP(7Lt``AwnlEloGr6r#+ z#^6GKWN~ebeB2BsgE+`x~bg1XSVVsK>E z=eB^eLw~Jb zQ#h~O0&6aef*x~Cu?PmlKI+wsF9^P2Fu5HE)H3`ZpHD*?stvEQ7WNLeFRPUnQKJ@R zuZ2$Mtvsu1WVJCI9NW@YuO{?L8kV^=>uCP08i3U+Ng85DgI4Lcy+zyew+*BuIvj?% zI^R!3IDGKvWuxREcMT;dBW){4@U#P*&H&Q^ksIiYHs8^IA{tWItMtl{aaq0z*n%j( z(Y6(^BlqB8C7JXjMbD`l(h|4Nd}|qYHk(t1J&W!v%x4?6tt$oWYe}9M zRn!D83m+b?s#0K5lk7cKUcJSkUKQjmNr2ZC>73V}NeKAkbww4cLJqUFTZkW53d`6@ zbphqKeBOS5;EQwa{@&81c``e#Xx9V~CCR!J?aqes(?HHaHf&yJHJn9UWbb$MU)aE45f*Y7rk}s%)wBtMq>Z8}HbKO=&JrlZ?l^ z4k~^4jdP9qL{7D$z5Tq9ZSCHbZNu-nbeSYwE^r>)_T81@_&~p<@c{TC5c!~oUrH4H zbq`6$A4A(!L&=Srk3d|{=CR#X7Gr^18_JX+yr=7Lg=lVK-8~=2(eH@;N|K&fztK|q z-?NM9Vtcdj5EC3+bnvL*Q>u*6J6Q0GB7pLelE^F+vsk_Bw#0A*-vvC-wzV%^kTj;& zto>HXWcKRC@$BcT&|D?#vDSm_bKHO-dJ593v@c|43{)xG^w*>^(0SsAv>*}z#13qz?7S#7Yp zh}?{0oA)7Sr;#vc@ruya__*7@-XB)N+O8^zn8%i!KPtz9=aBbfyeEJa&=#*3bH1Mh zXlmL24l79sKD>QBrBESt;Ep|vO!z1djm<(%7yx~M(yIZieDh~dlO^BgOOFb}*?#{- zPUrrS=dG(Al}YrkJCHKbc4&8%?o?x_xB=qH85t9~{& zoab1bSEux-jj#!-<0Y>tRKh_WXmhHp*vZ}c!O!4%UZpLrVBO7Y|79&2*!i5JELzF| z0dH^gMc$msvoB^F9=xtMHBqgpWR7_&{|21r8@DpHipql4{?6!p)ds!a_2WsR-Ad{G zdX}XA^CM-U&btxH>%Ldl19tm%eN{Awu7_DZUP9gG19ae5p6; zho%TdWtwTQZg=j(t~@4?wv_w5>CD;h`2j!tYFxqkT^DU)xkD@4p}fK~YnAJkM;6fM zMD}Z?)}!z=;rqVK&}!fq-c~Rv9@~yYUmKFVzfuA?yL(XJEOZbse6oSjO{IF4*ZNks z;j=}7&Cdla$?k5iDMgGnV3N9+fb~E@<}t4bY&!v+P1uPN&BYjX0Wy5fSaVFTfx$n_!qQIvkpxfOS+1OCA7=k-~u zCE3P9Wp}{_hgn8o9|G`-XLR|0$9|9?S1_6s!Wpl39(ZJ!cM2M5|9uPj18vXiR(A<* z%SG-+r)@tARSEsX^%#m+5P;|voYxz5{}Z7e&2hj`jztpFF~7g29UL2ctPa|VsduqWWa9hF9A$XW zA$hn#TYH-TB!FLr72RpcXW*&G)?_D#Z^!>ib1xymr4zG31$p$>z%|_xE8HhI3wvE7 z6X&GI-W>Oqj8Pw1yC_&)R?T@6ZadDd7G{oTZOl8n?t!(GMUXoO01EBwcC6>}B@0w> zn_5atla)rX_l6N}%li%Ke+0?{=doe`k!uPjoqKbB&p=|9+?IUG5EAPV;w2f;Kbo#_ z14b%-4T^1fW>y{frs0q=Ke03AFDO)Tg1=DW($Fzl)w*7;9Zia$Z}lu)?V0#qt2NKi z4?D9cJnp6dElJ23#4+Jr{wVn{>(*?XWgPnDY&UZwY7*>)C`lyr@RG(aH!9Hl%8biEw{%9nh1VHl z)Y*P@P3~K9o7)tz2lSn3l0V}uz>U9{ILO1$NVRkSb@h@i^sfvv@hxR&B~WMxp|PEJ zVH2a>0FX(Jyo6JN8r=JMN5q{vg=h9wuHrPeE`TCJVLDDr7RP8XTLg-&`BRMrH{|K| zA(#ARoFIL5MihI$B~nY#Bsx<7;=n@H^LE4~lm{792g3S-&;{6*AtV)=<@?j88i=lF zz7T-t9ChG%LIiU2(-uma2kX<%JjE2pr)R!TPjpXNguv!Q0bQbASF;|mebA(6Tz`rA z$c8aCUkfEe1B0wPU=!enalXP>1>Q>A2r!CjJ9@8VB(jQ;zN30mG5bAK93~l9*&)Sb z`#2E?;9~}}-*Q|}{2sLo4$}!rv>i!&O?7GWiA$w;v;5QJ4Wb_2jO_IF3Ty6Xm-Urx zpWSFITx>f#34%qHYmE^^o#lPC#>X(1d86r#q&< zt_v;UQr$M=svuS(hv@v=)2}P|Z^GUEOXCc0r4sYJuG4u1J|v9nRB335><`^=gw|#L zS2q=zu%9=vb+;LHsk;|wb-w4fFkEkkDOSZg<=NgY^SP%zIuCiFm!NM+<^Y9wbmU4+ z5QBv5KMc*_tNcgv$QhoSubl*HEdo7anD{vJ*qZmL=n0xAn?8WpaB>-0E#*7+s`;oB zDVo+q39L=uix}-0zimho1mGTyxLiAR`J`+?SBDl7@3AeJwh;?9lb4+OK_yaMZK#pp zf%!;G8eSWJWnH6+2QYeN1?62t;oPm30WM@4ORIS(YtJLWLW~U7L)I!?&!C(a8V%E# zkwFoE!Y>GnDBe&PCyT=6n#W~QA+t?w~Q{tk1>U-vAz6hd9YpVX93k132lJU>)k zzom)0$($yXfpb=WWZJ#-PJMj#1Ty(d5ROmY++DLKt>I?=k82m$rh%-5c)q(bF^0eV74v`G>IOyC?V| zB(3J&qeo?%rdNvvP~ zxaL%|2EzLBkB=9aR=FK8{&H398IXLu{lTioD_|}-*Cr=m$6iZ&H|7c~Gp|Wie1?xj z!u^Rj3*Fz~M_PW-745pf=~VTO89IMzSXYQY5gs$ciirLQlD+tcRiT<~zB63K9CV6$ zuij=+b34NVF~oZfuV5X`V8b4WWY;|tvmMx75{b}$zHs15^gY`}X_s;8WeV2CN zXS#MnW9#a%?PssFR~&1il;pd3Uv`Ty*m6DP`yH^K(ff}&+}40{)Gnl>TZ}g^|Mt=q zlg||R-0KS*=ulHV1Aq2Z($(+T?vg>N>GhSAAGfZLb~DP3t`yXKkZvdsWBhQ0lGJ|@ z0(Ld(f{uJB+M~~y%QC1UcMND`QJ&s98d}78GWbdD%y2G}NbCA@$li1;b5^BH*7=c$ zr8CAQif}K?Dm^{1outG5Q zVACAraNU5_b9E!tyT3PG?ldgCVtE^n{QPv~b~Nd{*3HUltfp%Si#UBDAQbCWJ{R^R zi&W?8+;vQNM~HX&C~)J&u`>V21r&^|@Her)qL^0j1%1%?tvI~OTLH|bt=o_LmXi?6 zwUyti)-5plt;bUp<~a_6Y&$zjh_^p?YTl4O8@RLl^hMXsXQDR`S!zAn2Mp?-|3?K3 z1c&v9Qrc%HMO!}=Bs}suX*1Fkw1{#|%%C9%USYQ+78jeVQw|vAg}wosmixP1qQtEz zHf6s|w!f%+G@o+9%c`H3;1!xlLI~nj;je#n{)wE-pY)&l;pH!#_!Jl;WWCz- zVkvximqMDcM69j7IMS1Vmf3fVRk3sH`R>gi#Gi3K*h2Omhyv3*)*b z0zwn4u~I>TV{09C8URw@OCM=a$!|!27ERnRNXanm_uF?GLZ&36OUO z_fD*`iYM)tiQM8|?Q)HPxi)0x%np!_f+ z>x5Yi%A$p}k=kmqKMDpzkf9jN!qF!RYWvDc+V0niBCXW| zK&IRzP6p5^cX5gTLz`tF+AMc&m)7v;y!ZQPcfT*p(cB5D0VugIs}9e_(%VBbw+KM_ zYC7BT`Q(zt3AK`hk&1&QQo+BajI&ul{l>96jJKP*a#ha3Yi8(YKXDxQldU**%|ZPu zlVqpT^%#0=&ruKO6TJNGjhj;!+iTfck!2S{Lm;SVcQ1sXGhm}epga{QI9mr4Oz6aL z8OB!41a=n;q{p#ea_+o8OlCSjxKqE4lWa#Pg^9S|B?s_+nWI1lAU%$nrE-!uB%=3{ ze#5AB721a4pDv^|J&MnGKZ7}sH!ndt85Iy$Fn9;3@<~ZTWo=|;cs#L+*tQ9?W1zuD z>sOW)LWD8a0bsvRblbE14tb6M#NYlWBJ;ZT+~=kGfsb5c!kUwH8GEvwNJ|617uYU> zGk|B2G~Qa}k|949ndZ;n9-5KDd6J%>5A)xqv!Db%K(hcuhD9EgSILxCu)2Kk6@(-q z61;$gdAJ&t0W~=|%8v>B$6aBCx4T_bhjZbCG3@6Yk#7fR2c|3pk&^k0`uf14>**>;fTmY8X*k`5C2iRq+C!LNbLg z>1G%UM)EyKKr5w3+rUow>cHZ4{F!PnOApunRLShPMW|q_1&6_Vp@@o{LBb=Przx z1o$tYA$aHVmzGCD*U2-rq{t#M(GJI)6S^1VE)ue-7rGn9?-AKk!^_U!KjJ|ABuD&- zz8BQ)Uor0mp0j2e64qFsLd}7?0OIJ?x#*qJZ)01|XAgo7g1_BZ`e2#BSh{}^W=4_F zM=3~beY)8@ONmuPubz~Z>e%{^s9l=Q1cl7KpR}x?sUEOBTl5?ZNLjmQ16r>#I+@#w z*T8+&7nK@55-Uxft^KjDdY0Yi%!bhCp0n?;yJxIK z_FQv6Q-&f|Kb)*u3c#L_G#6CxB=tBuA{Dnk8LQj$M&cfbnbZ4zhazu2T8q>Dq@N1 zKDYzj)^=~_@NJpCGpDomdctN^j>c0a9(nx}=^>nt2jCIcKP?LFX{QF!7e#7ihB#R* z5rHraYkZ$e5*Jq*eJx_5TfFgNXv-COPW2xbp;QH*SLA2kIS|Y%8onZ*p!zrnaXUnW zM%wx(V!H)Sw+4`{#o9cDMWa`3H1|3AN$oae%y$+S3b8@dVkFd}&r6J1W`)A^^Dg7uH;qCK*rVvxd@pPjPpXHIl!;cjl) zu7?ZRWiQs{>Z-(JL(XXm@jgaXB`zg62kM5go)4#7b7kc z?Un7HiuVC2fTs&%+!?o)6_iy9Tc*WLv|}FW9^lc0C?^T-Vjkya6drnK4B})n^ff=r zd~9bcg#}~yAh*j1o0w_O!An-o0?fynQkRz>zKkT3*)r7T9aL@ea;tV+A!Qq!lKJ0k z?n=1Dlcs|E_XWXU6%K1XC)7N%%6^l$3SE(u6nJbH1hM)|nAqDvGVJ#`Yo;8dp>K^W zIVuibzY!yl3*t%N^PJy5JlkaEWDd_;R@7*(O6yk>$je=Xr>4ZW=3$cfzrceRvtl%s z9nWUptXBxgq$j^_SH#Qd$Ui{vTql8XgHd4OS-Z^L={X@gsAXg_o;RSX`n9T^P=UKX z&qm8(o?%8mc~3igD+ffE2SvR&$WGC5Qp;m*x^*zo`ps_fMd#xFHB9GGBdSzyyhS5w~8pArTdLU&NnW#54IIL3_lxOZqcmOxpXuheo}gI z7k#cyZ+7)TD2AdK>){wABcskhn=NYEQ8O=;V$5dJ0(C~4)~9NNGmHzvj@5&UJv07^ z43E5Yk(3G+!c%l+C>Yg$BHY@kynxySKj*f?#dBzAE}_J-h{{>BilD4+Cr}LFnS#~= z?J_>0k{=Z_%Ig%jzfz@sEPw>MtTV>lJ)wv(qB?MlaOtZqbof2QSq>ZHR>?*dwR69DQb5 zQtUJ1F)R@T>c0)1X;(Pab{J3Nzf2Q7bVAd6Ea_BLM;d+R;j+f%#-Z^HL{InUZ@!lx z5uc#YaoRi|~|7K_C7 zAJVHgRsO4d9uUaflkioz%1y!E;&8q1zNG@D<;sm+qCruz#t< z`Y5{~^W`YdC%&hykycxmkRB%(4DFs8s`DYyLP?nCcn&NB_~Olrr$Sv~N7k&3D^G8) zgdi)j!PXJLdy`)o>1Wy378G>X;f>`?V9RXwJ=+D_hE-wd5u0tbVIvF>;^D4w3G|;J za0nF|lC@9XYoSiEHN{Y}pExK);%vUX2Lchj7Zz8$7?OhYXuKJHF>6+N;@kojo`4vs@Ak{5<cNAAd(}Gx6g~_`Y{oAdEIM1HbKS*AH)8XX{aeK%ezDA@OXEp zF+z?omRoe@BW3DgpwG*Bt-P6I6!gB9rc8Dy8pm*?k0VN5(poSE=f_SMzYD4w`yrcF z)iu=z@m!!#2xQqJuOBY(`mrncD+;ZPwWyp`*(SzWyX4vTMqo>QX&q@0^AXs&e7T|F z92Ftm)#WusVfjPdVXest50<*^ZsCqS{ZCcDGWdhM%FY922R<$oJ&$?L`^9x>xTSZ5 zvfuKN7!BN7-#RxdjXq?k23=J1(vBJlwul1id43>|w+BahCl&A zqu)s?(i74i!23d>m?oT?i4=5@BSvYSBb@B*w?c8)c|Vp~mT&y@!~YVkgRl!L^P%98 zsoWW7H&df?L1)v#Q|hgxM;=x*nq`$M#*e#DLlpZnT27OX*1x-NzEJu&Egb6X{AkV6 z!sqB3;EZ7*lEo_4vDxCvV2$uwK=z=7oTOemMFSDZPW)Z?@?CB@BiILFuL*)=pRw=<_h1L@d*Id;;gD=!NWI8&5ush7(M`JQSpwTE3x7n1@@Z^h zqwb^0n=|yLP003iqP^W7Lz}m&7BJWCa&X#!VS#(iVM^H!dDDk-C#~K99;o1(iA;NJ z%7S5xBcuFhh(x1>W#WptBl)c_3rJqV#im5ZELma<0Q?Ip3@deH{`O$bj+*q3L(N`* z29g77+ZanD1i-MvTUxmY6sDUhZR1L&)fXG`*7oEZeI_|ZZ+__PWUGa|W%6uTz;E=^ z&fbKedC)#)baVHgyCE8?U6m+ozWb{G8>0_3e-ccmr}@)2bmmG@MU+D4-ew2ppEl6;M#@E-p}$aOl-V(y#*6)_8@-7co5Ha>5$>EgUx zmYVoJ3v4=nd@Kx9Li#xouIajw7CJPH7+O#L2r9)r7z%f^&&@k%0dUvu^Xra6?X$Yv zw+t_+{Ad4{nwF=3emg}-FgN2>#gID!Lroh*V9*%FTc8Y*+-F@Ikv$`4cU%CXV`bG6 z?*p;1KzjWDQqE1<+0C)bA-vV3u`8Du-BlA?84DTkyvLYZXw_?mT|PT*y=pztbxSuG z^GD6RhQeqtmoBLx*PX+=7-v7ly!?pcAHx2AKwO38%!#U=!%Ss8|I#JiWT^_i0VE;| ztwn!jMm&(4H@5!)4(XY-c!~@dJJ=f=Yhq~o`kzRf*4c|>b?APhS0+Sp^TnZshJbs% zF7LVoxj!yKeZ}fBua3_au<3mI!f@w`i;0P+>H&;qDsB{wG_9G#PC@o?YiH_ zDP2LaXZw9w@e{P(fw(Vy#dck*N_s>vv~S7;;Cv+8d|>Wd^uegepdNg%J5#ggka_i%^nSNA5t~?@@iBRHFvP&OfO+OCTpFDc(bwHP|R=WRx*K4=M1w?ZMV2&ylXu|UCYMyb; zm-pzlL~S1v76!qSye*j)=VbqL0~ zV!VxOJR=m~CJg7J2OJ5FcQa(I2 z+X9dz80Cj`*}LU`qz-(qo>YwX0mAp+KltA))c?k2a6J|;ua&`h>**}qw#zlX@%(5IDZY(6S(*f+Lo{h0A$z!GINl6w@ ztS+j2hUWl3%{Rd=MuNW~ArhEiBs!!9`AWWunf2}bGx@VVidkW3_eP^@9W(;I@Ll!U zbkiGCBOTo~4dyo8ntQC5u{e!3uP?#!hyH>9Pi!RD8!12N4%nAO;ya2=EeY@e_BGM0 zV?px!G)j@pgL&y0!tAlC?jqS?e}8|3bhq^8eS{N>>HK(-utay3M1u*JMIDhh5v;q}_>TeDy)X!F+O2KoRO-cD5_>|gsuEGG@0Q>f-Eq*z7+I=;Y*3k89E=ya^?Xmco z|8bR_@hA4Dq@QGbyk(`-tlPs{TzLDzLUq>wxe|!l(~&j$MjI^9r9nTYs|zcOwsLPg zGdQ7nc#)s~q4XD#{i~U^zB_z^YDU|gZg^C-IeRy?VeR&C{ww2~x1d>G5r9E(K)m&V zOT%5xjFlQHgKk|g7#b&9G(y{ETf956E0<|xBA(MiRm?zdgU-eITgfww;fwik96KjVVwLjOgx0wG8_4w1ts59yaE; zecBv_#hN;MU*V<{OuM|QMigd+Xp_QuFHWEtBw>J1q=^lm=l@zIP5S}dfcP8ky{tZ7#7fyM zZ}Q654WHVkU}TJNEV4`(f%%i_Gs1Is*AQ=Z9b_fC&C|M^^hA&Qqh}C{==eHr(hN zWtHYmW`~fJkLf%ToSS09kphL(sE7AoMm|+;KjRL%JXlDo!;Cpbp(gA9$u-9M!8CwR(lgXQ5u97htu_ND1@fo55lKY5 zFQZDgwL0DW)8##k23kvLtvJrx!8NWGfuypF4r3gLi{rDUe24}!%uVcXBB0=3R0MyT zBr_)UFb<6!%cxsVDYHESg*fHuP;iBC&vFG*b@{R0qiowxa#z+W%ElJlL7&vdeqIJ7 zaUBthij1Hbnq|RA9XT`mW0n@09|$v(nivj#e~(I+2s~G0T*#~5KB(8Nq_m5{8!f|_ z2>xmKY^fE7BIwEY{3EfWXtsf4&!dT&$ z6KRY7t5o6URO66kcV4;kY&th4w_B%aO4WNKMhDS2b>)BH!>4<9BhN3NXDXN*l246rULKT0UYv-ARkaPp?$E;m$>rtlHnKMu*oFqC9WU6XIe}Hcq1CX`(m*h!?*H1xHNv+AleWIm#-``;Qk2>e>AFx8w>I+kVRWo7IT6J%M-G`oPOnd zoMvCyTAj)I%P@w))UpCeAZK_Ia|plExe+Ffj}P^LF==)lUAKFV*jxIfTooOy44SoBoP6m>-yRJVE1-?$G+9QI@y zCD5@A)2^MEujRO6+}-L%?V~XzujEy+=Ew6}YHRy)J}*Wg6C&E~oiKAeQ-D0BDPGY1 zPvj_jC`yZ_mnkl@FY94!URw06dK|n2{3WhHA0st=z7ki}zFIA70e&$Yw?c z`Yn(iP8PlmS%%+LCpr$ub&621(XOwvkBJ~kt{ELkv{XLzzugTtZ(R>dv_CW)jZ{Th zSqyJD9zGC{_lx88kpEQbhnBU6i7ZJ5zoU`aZaxC}5;O$vZk8$T;CMN%G1?-iBM<+G z&ujY*Zl7q0P?l>-b6!&of1x->&{*~~>kU2M)!D$kgK9Cbc_Cu)3IjLQJrD$Tyn5}3 z2kLOC0HDL+j8@(r1LxI#@KQOJ=3aEOUqB)|KkPW3Xuc37XRw616Wq|E58V0G%>R@x z70k%>zjEGrTmlACq!=(7Gvx>x8Y)GUenN5(KM;`I@vazsyfb4Gc3?Pmx4xX(tfOju zN{Zp3bE4N-MQ>@H)j7ncWN609(~*XO`LJ*ooqLlBKc>CR75!ZgM5J4U>^gm8>HU8t zkSuy&BAF8-8t4T{2oM|=`M)~*&Zs8SZfzVD1`!x_1QjqS2udB09xy6Mk)|M>0E&PR zst~FnA_I&dT|k5orG?%@35KW$sG*lo0)!@zgrbm80?B-jbG|ue=6&Zq-&)_FtY>AV z-uHg)z4x{EzAhM2ovM)v)QxUb-0NI=eiKA(?#_IX8LTvyhBBFyS#?EMmx;WAq|Co{ zNAI3Y;eS3c^wVPMYx|X^RWL$C_h1fgjCGZ>%$}Hdonfk(SA^B+Reem-HPJ{^i;D~# zye{RuRmv2V^__9-#g`9Txo{qM)uwZY^GHHL*IC`KaJV3~f z)oH%2VaT(~Z&0mxI0Kg(GGZ0f`Sr(RY`)X5#@Ia^^I{j$Iu-(#|SR$cW$P6hzc3E8Y!-`wrAWBB=I@^1?xDXus=lG1*gB z%5zo^*Ev3NzAMsHDOfi3LoYgt>i&3JlbmC>%`LckftEM@(kwbek>+$IFxy>rdOq&f zmGsGhPr;SXqP$}6-`$dGL-(w)z5VQ0?pa9xU#bK%BYXP;OoG17Wq z22||K{$%O>FF`frce$ZYgGQz%lSk_4fll0We7gBTkeBPzUW*&Go4@3Kp49a$d`!$W zg5Qfwm>j@Onlt=>cn3EZlbS>%V<*n`e$5d5HeEYtTp%-45EU4p)d|LV+^OIT@)zGu zcH7yC1Q<557;=u8A?AI{qt8r+XtzBjgcToeK$L#xTHX-hBy-5nD<0;NqQYx?s(Q`d z$7vq>3Xml*h^?S3tJvB5im_JG3ANU}o5>HWCA?g0-SqZYM`~ImDc2iZ7e-^}_?}8? z9XN8X+x2zNllj*xk%yh>P!Z{TZ9Yfew*lnj7kZf|7!P`Y&^(0AXC24@hr+N!w;^v% zWDY40j&>V;sRA(?Zr2qr>)wN>en2kVfqTZC{(A8lw@2!y2U;LC4n58CSc95aiPq_r z2CZPYmBos7Y_#<#x5mdO018VK?F%Vzr}W&(d&kJB;FznNztDdm^D70!WPd0%zZn4g z_`%J0VzrGFpIl=*Tkhc6)V6NC*@y&=oh6|w*0Qxu{i5DZ@({FB0+l9^08o*4(CY%qhE*_zx;L-sB!;BSJ(dVw)Ef`ju0? zuJqIO5n*(ZNV0&-l3v2gS%Sq|si0%Pq{~B**-9qwg!bOa>X@PBckkJF5?%UdgYlYB z_!{Io(H@w3vROjXR4l4;hH8|)jg!K6Pu|TAmJ(?|EbzbYGiM8LK;FxsEKBCy>pA}L z5L;SB=1kCRfww%^t2mW`(naQWe;NmBYL6>#(b>Lhm7z2-y$+Yvx9=WgpTIP*rH~## zf#{p8F%4}*JIQvd>cz*o)+<)nJ>E`Xl|5yu*AlKTB4><_bFGCRKH$Zw?f({uwMie* z>cD_iXGbm{`?bdPz^dO`IAE{39R7rXaGskwvc*HOd;BEpF?cdKnHho(d`Zd24B@Uw z@nG7h?_x;J_vh8fDhcvy}6Le6%wB%)LFH@1+MmKYh{oSTdrd|qzP8}>io@6y;-wgDm| zEUO-3*BQG&)f$yZUw6n3lz`vg81@^9(v$4Y7DjWLQymiCC(710qD;lZ5hOQ-!)G7OhwTuANhQ!m7 zI&E@cTLe~uPowfYw`|js=?_)zZ}Lzh3QfE{enxcc=~n(FhP8&^lF~FK|97sPo5M9e zA?CHrr3C&=vEGPJiXIz{Y>|@Rxne4R$#&HW&ksJJ>Sv$;YfRC7V!^xpXvF(0CNcAU zCt=jh!>^17Gy>4Sn^(lHc}}*sKgm=+enu(fy8a6JH7o({cQ4AV&d9p~Og()3<%v?h zLvu|U?UvY>P97P}DFdFOL1=n}=PyP9TGUb>pZ%p*rWg5)($f=%0?>%9BjdI?a@y*j z2>5%m7un^^)>2%7YqD8!J52Yj8xYKlM^Z4WEPkUGyGY0Iq3WU}4DVx@>(j~#znusN zuzKsJtX?neMcBA1;?}aJ{D-%-9W5<=;#%8+OCk;plvZGn5m37@dlqy#@2&H;P3WN( zyI^K+&Xp>*Q}f6mjf?425(ewWc9$8ifGcV#MqM4BH;iP(xh@6)d1BfPKu7B@3W4X`TyJM3 zyQ}FQem*%!JQXVM|0F)`W~g7)y*5;T$+CGD@{Xpd%3YgR{5k`P~UGQiEDB> z!uE_-)xkttq4C#((o=DPgnlxIk27gMC0OlX5|q2adRWqY`Aq+X;R%5*8yHe63;C;; zcZ|s19o2#HWrQ(?+53_cH~bhO6h*z_f*o36EKPR#aXdI=d#EBvg2seG3alb8WR1He zwe)$G5ifeDu+{zW=)5nz%(k3mRkn=);VREc-)q8*PXH&Fuvd;8;#@C}U~Q8`bM33^ z1C?Id%kUrg5Cw>@HnAm;@BJ76P_1g@pXMH3ANwNFqvsXr+bMe3HineqHz%c(wdL`#` zXq??eVx62>%6?p{^o6+%3Orl=_$9yH0UFZt1gHuTt^65x%(bfb;Mx7$SevH6q@JB# ze~`QHDB^+#I3M8xw}l$e&+3e^&yvTw2250HlK>=N*G83$yh0gNpi9se)vZ{0laO*Y zSgc`sODo5Fi>QnJtOjQ6ZK-djJ%9V^FvU$@l4jh!1l+i}W`N_pTnaaPwHIE=FK{4_ zHnEtA#R3W81#(*~lZ-u9 zVfn!eJ;Vm%Dr`^6(uvm1?FbU2br^vIO%hvT`$8A&A!KKp3Ez~VKeUXF1Xd+MfgCuF+nLX z%!)wkb<03P1~(SGr6&QX7g*>!Y{jn$q=x}AErquIvom^CB4AqQVU+u}2e$9^suVil zC>L;Fj^#fOg^MWvZ~)g72N zB&-+GgT39zNgvD$F|ec^qLDt8#^p`Zb7L`YrLVs4bU;53tmpF&0IewkbDEZr_d4bv zh_vP!m11*IRT*OXd|v2SC*23=hIZiz#L05pbK+VsK7)?y-KX64!EZ?b!>~7+t zpO9U~wl%&{ZLDphZJ{x14@IwryApzaV}eySw1CMSoA6i#wt`WSn;K`85%Gj1g*YzWl;w9NNOqggR9UC?Bxrf562hF7Rof)2x2@)#nC& zUsRO2`G;zu>~Y({FzdIx(iRnVTK_3P`cnf>IVjBnT{7{KrIZ2tdHP=z~2KTKvQK)MzcNPc42GPv(m-oZr9~KHP@U-u^FJaHtw3U zJL1%Z9I6b|@t}RdOM<0;(q#VvzFm$^GC#ilwHf#Z2XE zV+#p6hkipTjvCx#Yn`Dxf_~eiwp2Y?Ji!row_gklJ{fcj+AEd|sf8A|=NxQFiTJsH z@${jtCzEs(*Q{R;m0xWp#X0!m32Jh0t1$Zli0a7-swnI5E7Z`w(#o9@`Ze(8!wK6H z$A@~+>$huo_*Z_?^Yx2j&*a18`?Jqp>YNq0yB)f=M?IE88MMRlT8VHgi~<|xl` znMR#kA7Q_FPTF^Mt|sj-UQ{`5-sIft7qxLM(DA7M0f^*th7(p;t;?2$uEXaa#7o@v zXN;#>Lig8)7@jO-Udu{(fWVEm=XJ%+EVrdeo{cmGsV9e%U`7Ig9utNr9MY3$AShVO z_?%6OtPy*axMM3#B{x}-GD`;Lb*5 z)czENNfeSM9o=6kE2lw&qTjSMzmVH%Sr8)>xS*OVkef|}-6c+t%zr1 zEIMX;K#NZt&GkwiWX^icjLrFqBd=7lZ;eSDSe=}p`%h><^6P-jB15P;H)tyNtQ=x$ z)iU3O4R?XBv8O(Yi4+6O8MFYo8j73g1RYh5`WA@qov4<6f3gA#NgFqQHGWOYNV@Xx zVf_CZ=%*KEU%2>K?_~0@7Pow5<7DuWhmO2H&$jmFC$_JT8S&~F-WIBs?q>O2?d&R} zX)RuE8~5p0?+Z*WIlAXt4ovf&N{C@*K1+=hwDM_TOCRsMnbhLIXDoUpp&|mgIWLUN zinF#7y6uuVG1wK_9y>y`9Jm}=JvTX7X;~Bh zO*}{|^tG>=xgp52qc&Sdc^z5R0}I-2so=Qx?YKuibzWv$@1t+E)f&HC{yAMiZe@eg z>$TWcb+&CFV>sNPz)9*xlH9Uy(38I2vF(V9EtF<#ZFcgA7gNi4N_{h1d=lYOsod(iaooU6CFEcJ=Oi5LOrV0D;BDThjoo#%Ag^MlKb#1R*IfLF> z3G-+6hoxGi&Af_cYW?;YVzp=#sHx0Bas_%sQKTfeRRGr9(=r5QFxrNwrnDrkU?6 zG$w_v(-=-^`{4AXyP_>~I%nt`!9&!u`cjfILbnI#0$DHL$Br_RvYk!dSD%dYzfVkl z2oKQXbxV;vrOz{Duz35t7Qc~kbexld4qU~nGd3$k^2f=FLX_PPnQ|yVX259hyY2)q z&kbao|5N|GqeuL_@7BvGuZu_vKJS*y#Uoz;i5EiU_}h{!7`u70&h*hYqB0mYsW){Rq# z!eQ6yOnsR<5J#GZ6#XUrvXWAs&*XtBmI#NNvg6F~v-n773!dN^B{tYtP7FC4cl~0v zm>|0cZzIcZweD6g+CWGFWvxZ(l|jWV3?|6t%!<4El+(2bwW}}@4lg-F9brn>)Prj` z#`~XjaPX^H-NHr{O|#oFyvO&yl>QhxSSb))*LnF3q;R+$??1Dve*m48J`31>e@0UeIX0Uf78& zCNP}8!gMh~mWj;#&z#oU-R!EWrnw*H-K;g&CMHl#)Ib&h-(>5h{)Bv<(V9FEu)%7x zLP@-)Y>7rREkJ3gDj%OMfR&$RlI%ekytjOrQ{~FO)xErn5TFN!=S+TOB^->wMc~z% zLW&rTfa`@gu~5TWeGYG1h2q0~rBoe!K6>*>gfN1Y(>cjxHg;kpA^DaE#?l@pVte&C zPxMXUO9Q*EV{0!Dc6|8Es&=-gj@@A<$b}R-z=s=F1%fGy6(NIT;|?#zhVKnHseupQ z8Sei~_kFD5-yfeAbnpDdBiAI?fV#Tok$*EX6O`ohx}Y>8V<`)hv=^!QnDnPsJ?A@l zeq5_QDXeDEzid@)(Cf_fz*y{4nk>Y8(qIkg;-Gw>QL(J$Dr)JK3GbUj(5Ek;j;t?Sb@*8EnUZTB4L zXbubCJGvM4JJ&%E_F;T~pd$5jqh-31D^`-|x=kos(7rvBJr7GTj1#c8G%NQgxjTR| z>V6;SEV_ERIyfWVtuXE&CjJ~>Z)rsYpmXPfUauQ4Et8Vp`2t+OnENL>n>V#7Q`0LZ-@(Wa8+Up8R5T zxWC`_YWB|I9_F+Cq~;kV&!hQ?rb#+)oEUPb^lQU_E@^GHP0@|D`irNz>sLX?YaiNW z!4Jw-xv^AzUX};sfIuy`fW>{tMYF}Lu69xref=zIVso>2T^*6iv7jZ<1QgRjdxkt5 z9&1k5r7WYaa!fNL0o*4g>UA4UC>RD9)~)w-NvTPm@9*QfqPahcYV`qgoiJybDfE|l zwAqvJL`xd}z2l)h1Z0w9Kg_DmKw4AX@^ZWw2Vq0{D8ZH*Y`E~q8{ZVVJS;JbT#)xj zD!A|v=5@c+yjX|=#DIl;G2QBM8=qMG1bXxb^}eR%ru{FZ(HpASuDV7GzuE!<)=^Cp z4IeWkQIcQEfrtM&(*Crz|0|I72l4IS1qdyLRWz;*%PBMsR*m_!A9MMMvzG(LgGGQ2 z{!hpu2kLJF%Ap@R3D;kIj7w>!J?p4B(9+P{UQ$o3Wdn^J6z5%9wLJ^p3@&9@$Hft= z+I*QyY0w{%g5s1on6Cx^uq}&=D>{KT|sp9huIp!N>b_j^fzJ(Xu*XO5fPVOWCzmLvL*ucYA?dM10_g(ocf zMD-!bO$VcC@z6k7i(EOUvWu&1@r%M55L?a9B`s8N}9~RV-|e`@9jJsXMjx zEJCE2G@4v2?>RyA@&lTX4FC$JRB^wS-CKvNnTq-Dx0z46nBD4}A#7fi{Q5bjQZ37H z43X8ejC@zEN5xAEV{*{OSDP+yih8+tb?}v2*h=e5ABwYDR{f}=T<|!XpEN{Lhh~px z8!YM-0nlrjk>M8)Q2Awm~x*4|8SDtZi)Yrh6 zyL>{-4WOh_dpLe~tID__DBe%;V_&NTsG1xQLN;#1C!t+MZ2A$PwoygX7~>KK?sC9t zNdu4V`~IC_L)JCjbbk85cd~8b&4!6gL1AZSD&AP8H*3_}&2FcUAxqntX9%4gnOJ|- zLs_o4LuPtNNYfR}q#Tbz>T1ys1i)7XnCd`zeu!mk$x{gJXTecm@agPRTzFDugHTJ{ zlR(&#W&vDW_~YVlVasK1`5}TSH z=OAJ^iBLAcCIcK3^&I*FG8#Z`9x@t^=Gs5>La6^@XH@_j3l%TS-{CQ)k3&JK$$OXm zP9+DDp9TavKx_X|T(MZsmp|%C)|XcT$F;1&t|SEi&Q*+u zkSJxUb4$Xu#%IrxtFU^nB$)Mr*{0@9Gxhh|0;Xj7i-y`(D}BSwypTY75eCjcxLe}Rnb=Hz%d zvRj@nEiBYl{H(MzZs)@C0T2=Ik>q6kc~g^$u@H#s)k*Uuu;1W~>lz*3#N4K&pxjaS zsgi})|81fEc;eHLWD!8=^SlsPP-E%ux*|$u*%y=o@5|*i4V^|s`zjrrR@sTEdA0b% z@&5Qr+-NoW4pglze)7@hSa2!NP{ip&S`hW^?FzH>5pK7WjJ86k)nlLbPyREYuWDJy zXm(fiO*&f=e)Lw)tCpncw|Y-S;}m4+uiAZ2a9g>*Df`v6r4keXWZ}04qF$Fcd0i)? zZ7!SZx9o(u4j$SgHbZvfr{H2DC2m;k6{82(cj@K4@?Y6A&Au7KK)Xwqd9S}a)b!b- zI8ZT9>&g~*n@uRNW=Y#)1R*QqiV?a2(><#T#3q}jZA8a%s(s}YLtnWf93ki4SbU(m zeLL^V=lcjRV&~`9lh3NpeHpNdwuO9Re?cdwj%>;xd_SoKEgpA<7oXvYUGL+RiP@P5 zvD0Zxflr?y#>(%GY2%8K0DADO_Q-nvqcr!rmldb+ksEu{7hXJ5-hgCrV&XAm1$qlM zXjBKUeqf@pM(v}hDg_0bemdY^`wchs98QD!I{e0HLUk)jQ^9D)Dg?BfHa@oY z`bi_{97%&aMS4?>t+?>|gL$D~nO;!cm+##H_3wf}bp^@PB}b>o0_wCCTh!uc3W@nwxW-yK||_rK<5O z>1$FNT&4pk*Zx^`O0%H;H8Yh0%merRlmiR~^Y4OWlFu9D)?N=KRx&STPK*cs*pD4x zWV8LgX0+~h6uvy+cI?~@@sy37UB%)#^1bp&MEB@mZ9!V!)OO@Oklk_++^g5=978M7 zXW+xzP|!>rgaIW6mN9CxjAQ)CnqpY?`U3u*RivBRk=#+RxLBn>H*~J6ei=(UqogWt zpnp{lJN}kRV)UZor)x=PyBr7CYiF?UI(qMp`EZQm6taU~mis`{ z)L`rKGnrmwT+@V9@vyD~F6(k2!k}*#&HOO`YF%+kf2n4zowhVwVTK)`3_n!VL>McG zK{MW9n-@T?j_Zs>03`*8JQCPUDoXw6?5t(dc1}fQRCNo*XdyBp1qZqDo_cEWnLan4 zsCUg{?j_Jda(*@Dy=v(&`ki-CI6si0rISkk$qW5=JOg;Je^9zs4gzw#ziel*um!Ok z?suTaUp#uo<`{~jP}6Hye`3K zNutDMuNFyWOWwiV2ZHuuz}H!PzW~1XZ{P4=HA|^qsh6UuW$#CIUU$R=N}7PCz0v?Q z?w?PgKYzIi;83BGp9cT-GUE%pgGMHzZ*49!t6vFVl78eO+n%eRd}xo0;gc2g{`t-D zjzWkT0Yj;M8=Gb*)>*q|vrM1TW}{bibeg`9ka?3cXSue*D>)|B*8$3It8aC=`xT9w?;(m3cojRQ9 zwT*H#XFhj4o~rE-bbpS^vo*tc#NL~RY*2=_dJ4Fi4!lZIKiMCZA8J-~jO&)Ik?eJ& z!5e&k9Lj&L3$THIUy{E@w&s7+Z}`hA^pK literal 0 HcmV?d00001 From bd5b3dfb3a46ada11ebea8044f21558cdaa55e53 Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 14:01:33 +1000 Subject: [PATCH 11/15] ports/esp32/boards/ESP32_CAM/board.md: add instructions. Signed-off-by: Chris --- ports/esp32/boards/ESP32_CAM/board.md | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/ports/esp32/boards/ESP32_CAM/board.md b/ports/esp32/boards/ESP32_CAM/board.md index b2d2ec9782..f8e2771261 100644 --- a/ports/esp32/boards/ESP32_CAM/board.md +++ b/ports/esp32/boards/ESP32_CAM/board.md @@ -13,8 +13,13 @@ and run this command:- git clone https://github.com/lemariva/micropython-camera-driver.git git clone https://github.com/espressif/esp32-camera + make BOARD=ESP32_CAM submodules make USER_C_MODULES=../micropython-camera-driver/src/micropython.cmake BOARD=ESP32_CAM all -or- (for ota support):- make USER_C_MODULES=../micropython-camera-driver/src/micropython.cmake BOARD=ESP32_CAM MICROPY_BOARD_VARIANT=OTA + +then flash is like this:- + + esptool.py -p $PORT write_flash --flash_mode dio --flash_size 4MB --flash_freq 40m 0x1000 build-ESP32_CAM/bootloader/bootloader.bin 0x8000 build-ESP32_CAM/partition_table/partition-table.bin 0x10000 build-ESP32_CAM/micropython.bin From ec4fd3fa8818ffe8d019eb2c7c5023cf202d97d5 Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 14:04:21 +1000 Subject: [PATCH 12/15] ports/esp32/boards/ESP32_CAM/board.md: add instructions. Signed-off-by: Chris --- ports/esp32/boards/ESP32_CAM/board.md | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/ports/esp32/boards/ESP32_CAM/board.md b/ports/esp32/boards/ESP32_CAM/board.md index f8e2771261..431c990e33 100644 --- a/ports/esp32/boards/ESP32_CAM/board.md +++ b/ports/esp32/boards/ESP32_CAM/board.md @@ -23,3 +23,7 @@ and run this command:- then flash is like this:- esptool.py -p $PORT write_flash --flash_mode dio --flash_size 4MB --flash_freq 40m 0x1000 build-ESP32_CAM/bootloader/bootloader.bin 0x8000 build-ESP32_CAM/partition_table/partition-table.bin 0x10000 build-ESP32_CAM/micropython.bin + +Note that these boards wire RTS and DSR to reset and gpio0 pins, so you need the fixed pyboard.py that includes the --hard-reset to talk to them. For example:- + + pyboard.py --device $PORT --hard-reset -f ls From b16cf5c7726b401a9801a3dd6b1a46ad5df3cfb1 Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 15:07:33 +1000 Subject: [PATCH 13/15] tools/pyboard.py: fix infinite loop connection bug. Signed-off-by: Chris --- tools/pyboard.py | 39 ++++++++++++++++++++++++++++++++------- 1 file changed, 32 insertions(+), 7 deletions(-) diff --git a/tools/pyboard.py b/tools/pyboard.py index d908194714..916d2f9ba1 100755 --- a/tools/pyboard.py +++ b/tools/pyboard.py @@ -265,7 +265,14 @@ class ProcessPtyToTerminal: class Pyboard: def __init__( - self, device, baudrate=115200, user="micro", password="python", wait=0, exclusive=True, hard_reset=False + self, + device, + baudrate=115200, + user="micro", + password="python", + wait=0, + exclusive=True, + hard_reset=False ): self.in_raw_repl = False self.use_raw_paste = True @@ -305,10 +312,12 @@ class Pyboard: self.serial.rts = False # RTS False = EN High = MCU enabled if hard_reset: time.sleep(0.2) - self.serial.rts = True # this is reset (setting this "high" resets the MCU) + # this is reset (setting this "high" resets the MCU) + self.serial.rts = True time.sleep(0.2) self.serial.rts = False - time.sleep(2) # must wait for the reset, otherwise the ctrl-A gets lost + # must wait for the reset, otherwise the ctrl-A gets lost + time.sleep(2.0) break except (OSError, IOError): # Py2 and Py3 have different errors if wait == 0: @@ -333,7 +342,10 @@ class Pyboard: # if data_consumer is used then data is not accumulated and the ending must be 1 byte long assert data_consumer is None or len(ending) == 1 - data = self.serial.read(min_num_bytes) + if min_num_bytes>0: + data = self.serial.read(min_num_bytes) + else: + data = b'' if data_consumer: data_consumer(data) timeout_count = 0 @@ -364,10 +376,17 @@ class Pyboard: self.serial.read(n) n = self.serial.inWaiting() - self.serial.write(b"\r\x01") # ctrl-A: enter raw REPL + retry = 10 + while retry > 0: # resend every 1s (sends get lost while resetting) + retry = retry - 1 + self.serial.write(b"\r\x01") # ctrl-A: enter raw REPL + data = self.read_until(0, b"raw REPL; CTRL-B to exit\r\n>", timeout=1) + if data.endswith(b"raw REPL; CTRL-B to exit\r\n>"): + retry = 0 + else: + time.sleep(0.1) if soft_reset: - data = self.read_until(1, b"raw REPL; CTRL-B to exit\r\n>", timeout=1) if not data.endswith(b"raw REPL; CTRL-B to exit\r\n>"): print(data) raise PyboardError("could not enter raw repl") @@ -854,7 +873,13 @@ def main(): # open the connection to the pyboard try: pyb = Pyboard( - args.device, args.baudrate, args.user, args.password, args.wait, args.exclusive, hard_reset=args.hard_reset + args.device, + args.baudrate, + args.user, + args.password, + args.wait, + args.exclusive, + hard_reset=args.hard_reset ) except PyboardError as er: print(er) From 0bd0bd4f1032576fcb26f6147d3ad8b2e164bca2 Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 15:15:36 +1000 Subject: [PATCH 14/15] tools/pyboard.py: fix infinite loop connection bug and ruff. Signed-off-by: Chris --- tools/pyboard.py | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/tools/pyboard.py b/tools/pyboard.py index 916d2f9ba1..e89d5f42fb 100755 --- a/tools/pyboard.py +++ b/tools/pyboard.py @@ -272,7 +272,7 @@ class Pyboard: password="python", wait=0, exclusive=True, - hard_reset=False + hard_reset=False, ): self.in_raw_repl = False self.use_raw_paste = True @@ -342,10 +342,10 @@ class Pyboard: # if data_consumer is used then data is not accumulated and the ending must be 1 byte long assert data_consumer is None or len(ending) == 1 - if min_num_bytes>0: + if min_num_bytes > 0: data = self.serial.read(min_num_bytes) else: - data = b'' + data = b"" if data_consumer: data_consumer(data) timeout_count = 0 @@ -377,7 +377,7 @@ class Pyboard: n = self.serial.inWaiting() retry = 10 - while retry > 0: # resend every 1s (sends get lost while resetting) + while retry > 0: # resend every 1s (sends get lost while resetting) retry = retry - 1 self.serial.write(b"\r\x01") # ctrl-A: enter raw REPL data = self.read_until(0, b"raw REPL; CTRL-B to exit\r\n>", timeout=1) @@ -879,7 +879,7 @@ def main(): args.password, args.wait, args.exclusive, - hard_reset=args.hard_reset + hard_reset=args.hard_reset, ) except PyboardError as er: print(er) From f27593e960ff19e2b8374606658cda66765ffbcb Mon Sep 17 00:00:00 2001 From: Chris Date: Tue, 16 Jan 2024 18:55:27 +1000 Subject: [PATCH 15/15] tools/pyboard.py: fix unwanted RTS reset on connect bug. Signed-off-by: Chris --- tools/pyboard.py | 17 ++++++++++++++--- 1 file changed, 14 insertions(+), 3 deletions(-) diff --git a/tools/pyboard.py b/tools/pyboard.py index e89d5f42fb..4572d21ebf 100755 --- a/tools/pyboard.py +++ b/tools/pyboard.py @@ -288,7 +288,7 @@ class Pyboard: import serial.tools.list_ports # Set options, and exclusive if pyserial supports it - serial_kwargs = {"baudrate": baudrate, "interCharTimeout": 1} + serial_kwargs = {"baudrate": baudrate, "interCharTimeout": 1, "rtscts": 0, "dsrdtr": 0} if serial.__version__ >= "3.3": serial_kwargs["exclusive"] = exclusive @@ -307,9 +307,20 @@ class Pyboard: self.serial.rts = False # RTS False = EN High = MCU enabled self.serial.open() else: - self.serial = serial.Serial(device, **serial_kwargs) + #self.serial = serial.Serial(device, **serial_kwargs) + #Cannot do serial.Serial(device...) because below is only way to block a high-pulse on rts + self.serial = serial.Serial() self.serial.dtr = False # DTR False = gpio0 High = Normal boot self.serial.rts = False # RTS False = EN High = MCU enabled + self.serial.port = device + self.serial.baudrate = serial_kwargs["baudrate"] + self.serial.rtscts = 0 + self.serial.dsrdtr = 0 + self.serial.inter_byte_timeout = serial_kwargs["interCharTimeout"] + self.serial.exclusive = serial_kwargs["exclusive"] + self.serial.open() + + if hard_reset: time.sleep(0.2) # this is reset (setting this "high" resets the MCU) @@ -379,7 +390,7 @@ class Pyboard: retry = 10 while retry > 0: # resend every 1s (sends get lost while resetting) retry = retry - 1 - self.serial.write(b"\r\x01") # ctrl-A: enter raw REPL + self.serial.write(b"\r\x01\x01") # ctrl-A: enter raw REPL (needs 2) data = self.read_until(0, b"raw REPL; CTRL-B to exit\r\n>", timeout=1) if data.endswith(b"raw REPL; CTRL-B to exit\r\n>"): retry = 0