diff --git a/python-ecosys/cbor2/cbor2/__init__.py b/python-ecosys/cbor2/cbor2/__init__.py index 40114d8..7cd9873 100644 --- a/python-ecosys/cbor2/cbor2/__init__.py +++ b/python-ecosys/cbor2/cbor2/__init__.py @@ -24,5 +24,10 @@ THE SOFTWARE. """ -from . import decoder -from . import encoder +from ._decoder import CBORDecoder +from ._decoder import load +from ._decoder import loads + +from ._encoder import CBOREncoder +from ._encoder import dump +from ._encoder import dumps diff --git a/python-ecosys/cbor2/cbor2/decoder.py b/python-ecosys/cbor2/cbor2/_decoder.py similarity index 100% rename from python-ecosys/cbor2/cbor2/decoder.py rename to python-ecosys/cbor2/cbor2/_decoder.py diff --git a/python-ecosys/cbor2/cbor2/encoder.py b/python-ecosys/cbor2/cbor2/_encoder.py similarity index 100% rename from python-ecosys/cbor2/cbor2/encoder.py rename to python-ecosys/cbor2/cbor2/_encoder.py diff --git a/python-ecosys/cbor2/examples/cbor_test.py b/python-ecosys/cbor2/examples/cbor_test.py index 79ae608..b4f3517 100644 --- a/python-ecosys/cbor2/examples/cbor_test.py +++ b/python-ecosys/cbor2/examples/cbor_test.py @@ -24,16 +24,15 @@ THE SOFTWARE. """ -from cbor2 import encoder -from cbor2 import decoder +import cbor2 input = [ {"bn": "urn:dev:ow:10e2073a01080063", "u": "Cel", "t": 1.276020076e09, "v": 23.5}, {"u": "Cel", "t": 1.276020091e09, "v": 23.6}, ] -data = encoder.dumps(input) +data = cbor2.dumps(input) print(data) print(data.hex()) -text = decoder.loads(data) +text = cbor2.loads(data) print(text) diff --git a/python-ecosys/cbor2/manifest.py b/python-ecosys/cbor2/manifest.py index b94ecc8..aa4b770 100644 --- a/python-ecosys/cbor2/manifest.py +++ b/python-ecosys/cbor2/manifest.py @@ -1,3 +1,3 @@ -metadata(version="0.1.0", pypi="cbor2") +metadata(version="1.0.0", pypi="cbor2") package("cbor2")