From adbc6fc2db5b5f180cc3c4e9c931e7f8d8da2398 Mon Sep 17 00:00:00 2001 From: Rodrigo Mendez Date: Mon, 19 Sep 2022 17:10:00 -0500 Subject: [PATCH] Fix invalid baudrates, change linux esptool binary to latest 64bit version --- .gitignore | 10 +++++++++- mainwindow.cpp | 2 -- tools-linux/tool-esptool/esptool | Bin 34496 -> 32952 bytes 3 files changed, 9 insertions(+), 3 deletions(-) mode change 100644 => 100755 tools-linux/tool-esptool/esptool diff --git a/.gitignore b/.gitignore index 74faa00..bf2a2c2 100644 --- a/.gitignore +++ b/.gitignore @@ -65,4 +65,12 @@ $RECYCLE.BIN/ moc_*.cpp qrc_*.cpp Makefile -*-build-* \ No newline at end of file +*-build-* + +# CLI Build files + +.qmake.stash +esptool-gui +moc_predefs.h +tool-esptool +ui_mainwindow.h diff --git a/mainwindow.cpp b/mainwindow.cpp index 5057bb8..a391f4f 100644 --- a/mainwindow.cpp +++ b/mainwindow.cpp @@ -22,8 +22,6 @@ MainWindow::MainWindow(QWidget *parent) : ui->baudBox->addItem(QStringLiteral("38400"), QSerialPort::Baud38400); ui->baudBox->addItem(QStringLiteral("57600"), QSerialPort::Baud57600); ui->baudBox->addItem(QStringLiteral("115200"), QSerialPort::Baud115200); - ui->baudBox->addItem(QStringLiteral("256000"), QSerialPort::Baud256000); - ui->baudBox->addItem(QStringLiteral("460800"), QSerialPort::Baud460800); ui->baudBox->setCurrentIndex(7); // Default 115200 ui->filePathText->setDisabled(true); diff --git a/tools-linux/tool-esptool/esptool b/tools-linux/tool-esptool/esptool old mode 100644 new mode 100755 index 33536cf7323b17c1ae30bcf357b2d24b353cbaef..4d13591b45491f9422bd87a6111ccb93a4b8dff6 GIT binary patch literal 32952 zcmcJ23w#vS_5b9t5MH}Mi9`V%H6XMkWHBIMg1fN5L=y-kPy{q=HXE|$v2k}c1WP3} ziDg)#*4oy#wm*Kq+LyITtpXx03xNcDw1_VXR#8!BSr8OO3d;Py=gytYBoOQG_xb!s zvuE!2o^$TG_nv$1V`i5%vx;UXCnX8Sl`KpbDAj6pFi8ItgsrPsl4DbZG{GT^6h;XB zfLQS7U^ztfJA+2KYJ;Y6z7)_@x=-dnvO~Y&bflg_8Tw^dNR-O!z8w5kr)9F9G^ikm z3?MnOburIhEH7ucLB}#bTe>DC`N5a0ObcO*E#*NxwbTK6_x&}hwjZ z6{=8BrLe*)%f33VzpB!`N^r}5A4<~gmp#a1B{C!Zx{yZT155vs(lpd!%USv%O4AUFm9X@BO4HDa zIavCKlpaLsT$a9v(lnG}B1;D-O+zS_&eC^LnubnHVCf1<(~yasx&UDG5=zrhi5+3- z1(c>C65G$xw^EvhMl8zGGbl|%BDRU8r%`$sr8lzlL`u^Th&{;C-=Q?Qeyo|LucI`% ze5`?`uc9=$dTcpMUq)$i@mL8<52Q4?cFe)j$&@CSj^(oSIUmyG$}y3pKch6ca4emr zk5QUjHzu(3`;;b^jh*_M>VJsRBBhV8^Z`nfi^le|^ox`x*NjD3dKab1C1abAc9tz{ z_iNfeN(+_GrS?11)?6O>uE!zRHG5RucmtSz2+kekPU>hRCFLFEq&gXQkrJ@&Ain}< zBY?IO@)SM$PO!H{Lsv`6Kc(>Hkq5w7H10jSChh!pilpsSC2+^>O%ulLksguSM|Q+_ zLxm3}!twjtHTCedC~XwFU#(0FR9?MPQr?$Z zj-DzhE9{C!r#l3xYi9`~UC|*9L46IC{k-bItv|v-WucT!^PJRj)+#9THLU~+cXU+Im1CqMl)q3~`w3I9^Fj&~NXjW`r+SN&)G57sLC#2M8)Rs+ zT|;_o*SG|sxnMrpUkD9d2tlyk3)VZ5B;|B|r95WM;QR`?cuiUUE%M^{u>5Ly z!J2;gUO79SmVdW=dw!$55RJOX9*v~lf@0BSg8CF@d?}pL=}7W2k{op2&boBz9kEFW z8P3JH$pYu?pySd0k$lK9Unv`dK25PlJGPRhB}?z%V&7s3xqVYrs{#@HgLJkS=k-Ty4I)no7hDg6C3rBl5w zm0ZNu_6YFUz4*}C?#G=JzBkPk&c0A33hH?T58IZ5${yuibp@oAcbyQv8Fy_boXT!n z>r4m$)Lv0^Y1rJWu_Qbz*P5rR(Hsup!3_;vc-Yr!2s8@rfNI3?yOZ^RM z?i%+Y^1lPrsl+5@*DU3TOZhai=eF)a;YLfvj-WMM%17Yc3A2=UCFnYU=p`w~2<}qn zLm6_90D}%_A~gcqz!+Osk(ysXjXoN(5Q^KP+fW6;*7g&8##idi@FUz)GpMoin#Ooh zYuYo%_9AW&&%G;H{yyX@me;(Z5TDQB5(YOC>#q&ge1?6{ADCibV;OcmVIg2GFKY1& z`+@jSbvBGgRjhE4ZERF3`!Oz^cR26tKz<4smHi#_rLeVSuhzUO$zyB#5XQnMhV{3# z9>VQs0~k<}@zZ2r@+@Ws+7Q3eC3&@@H6KWlGAq$Tnt1Syr05@vKqZcfcftfrZi|FCZV2 z&~Kpv0dh)s$P36snEW(hT$kl(Ky-Cl9;Lf3%MYkTTxu;SvjuCn%ns$8QgAOgA`|8& z26U_tEK+#LT}-Xiw$Ii&FBxG3L2z3dRG{OW%Gae%}INLNRbsV!&Iw#%S*_H^mY&?)M9y<3WFao58Ob4nCDZ5{0}(7 zcc)5IPf5yW^5vvwyJ6}g8WP`6nzV$~dFrmlAyWA6G{?m8{Uj|KKk3vuV{Xwc%Tdn)a7kU3W4Naa9{dtWIAf=q`dImAWF4fK3#$JEv01H0<>8Qx zkZh7J`=lXz7@qb7C2Xy0p#-h|AW~C1f(2N}Ka5-DU((JGQ>B*GW77mVYex#0P{yIi zD=0&q$*i)}f=>#AL!J0JD%TO;3ayI(3i5==1u{k#Q!m$Rk&i63KUh(V@x+F!({csf zC58YCysWcg4`bC+s+_i;QP<-R0dYb&;|(ygx=t+N>ebCyZ{9p=J2HaVk=p??2iph~ zLjYla(hKFHu;X!*rPh#{QTb!d(hl~iqke?Xz`yjeJDg>O%2x|Z?T4js-oGAP;J~8l zjGSJie5}f+srXRpx`XjSlGY)$oKFoM+N7}&OEdr1^VJkY@4G}c<>%lk2W6#%eGNQe#%PhpoTFV!}Uq39LANP{u9xA-Ka0_ zHK?EGb)PbS5xT*8iFzVlO_Nu3o%D4?6CM? z`+=6TW90!)+gi?+$jMJ@>kxu@rC#b)sekB|ngyHCv~%-qJu4NR?-15oZiTIpPmKz+ z9I;WoC<`HP5G2=Uj0MNKgDvl$8nAzzWrVqEj%F=oD{H917#Ub8rhZK=)!~SqOl9rV z@-ftY%Q{FKC5mI7J7>$bEDMzK0}*rT@1!&?u?V_3}B zx}>CClCmF5Q{j|6kWB@#Ov(PD^yPl(+Wqm@I&51eHJ}Bm+RoTokAZ8xZHr|o0Q&)q z+>yAkJ#{K&(#^P0?_|9z4~%R=lf<3|GeXW8OLC3I@!12Ed! z)*+*%;2NmFU~fYL4t7PUF?yP?y9*a2Qvt7h3OP1|UXEmFKx8Vo>{@(oc+xx6X5oS` zi2Z>2Iv8m!8$jEIGdfw`5Wisw_+G=kdMC^XXZ(?Jr|y?+5g*frRYfcnWiCd?EwXJX zXrO%Myi}uQsznI{)&mUL^>W#SZq~3_~ zAaI^zcEneNhwK5XatJX}#ZB8jd8kzLStcfs_mGs7!MX9lQq7B*QdepktPrG{1DO;s zlJ`)Ng-@(2-QfOdkH|$$p1XlVy znuF>*3G#^8x$QHxh==&F#Sldgfwmx_X|UfX&=TQp=u9^`#Rm;AblTEK=T&SQqhDQhy7b%E5R)Ovx`X$Ds`kViWqE zN`Tsr7h;(<-_}Zd892eQ+sO$IB2ip(G!yPU0L9G+7l~)FZNMTgf}E+JI+ZV!e*{N3 zl~c^sY+K%Nj@ujgE{av{DA9$}6$KWt7}60Mi2TS3WX8up;Z~FYuPOwwAD9uA#ZziK zvtG_1zF&e5<5^QxoRc76V_RowVGrY)vjn|!(rtX!L z7iCu9J}U58*VJRdfy#buS7&O95dRdbL5v>O2zm>DzOZz@`T*?>&)Bw{RXWroxW}ZR zuYTK3*jl5gFa#gynN= zH!xV?g_HV2d$u5j;CWOxD-iDK>cAGZC<_yJF)V6 znowMlf;|h;6M0&Fg{sHqpX&j!Esd@9afA*`SWApLs$G{uG(1EA%eFkI(PQ@BrsaZq zJO%|VXY;Vps0Tk=2R;J!SO`ki7QDJh6xQIC4_liT>BvS>$rq>raM`wGbburBB;gcF z?NK%g)rETIA1Sk=J5wzH9?tj)!3Rz77zQ^Je8L3V7+gni^5R4Z>eB_;SI8SLQ_zRQ?^4Q2B z(#QMZ*ih=+f}bEuedlBJW|yUf*l>^hY(*bsON^WrHwqkx!|)s zB;vnVLzd>+Ki>ZHMLl*nftB>qebA2BR*AHsZ(y2fVEdhE=s42wkSWqGz-{ zgOXx{klS34&#aCZ26b1I&Lz>64 zqH@?~*&-)N8?+0tL->FNvydGby##0~)seg@YNH&>cabx-!`9-aWqdf}IwWxxGtXev zI&7PV;Ur7_G1%k(pyQ<7I8r&66dLbn{#ugU4T+(*g_r_0kG#9+t0a;eCt&OdvCXJqtRty(h2STUM z>LTkD!R>X>@g39)gyn$SPCj_mK%LtC8^n@u+VPA%h}{!K2;| zjvbYh_3>A5D?8OE3&|bPm2i|>(dzbn+X#pdu!9_1vHTJG)Lq~&=->Y`F?5r2dw<~e zef9(PGdncgs=rHQUggwY4jt{Ze6dR;8;^q|v6{xeqrGBl!{Z%Id&$-|i%N<{eno~+ zvv*o{lYsghGnnnfOCnDhW~1I4k+CH90!EwjdpMp__$WTJ5QkH5lsM;OKs!rZI5<~c zaw_|s%03)-eaga6WEa#x!=2C&$wXN@ghR_QfC^suD_lUC^dckKU5t$04WeZ4qR&xL ze5#YNl29zagLQO@X5Xi;JDhhq%eRrT2r1h!2zRlKILoHxh&{?4f54>Al}|BGenQnq z0f%~cuprE6ca0VbkVU<%cY+er>J9(Dn z9JdGSP?v3P+#ZD{_84MjyDJ;R@Lr2+Ts)qtb-a3BIb@IS{9tfoqoHx_9u11s0nkf% zk!?oC&JzRG(`XN6RNW>~*cl%fp(1Mz_h-$nWG@BkQbsQW>9Wklr;rLg`{6)qJJ!ML zpL=8)ge`eHMPcoEy5Gi3re=07w#|Hr4FtUtVmaqD?RjWVid#UMbxU4?B|DD|)A#*8 zSYp?l&uMT|=T>@KqZpWl zm@`VHy1bB%NE+X#lM|^&E9wknWMG?wVccWJkh0<}a zk1q0H#d00$qvm5-LLD{+@gvfnXq+DoK)v>Dg9I0$JJkke^;wL{PK!4pQhVbWqq+8u zXG_okat^i70oEX2P&z#_eK|D+8essMGkzrUB5N9?|BZq=EyGD$mt}7eS{MawN-}~% z2L&g1im~luUF$3%Z+QzUl-Hcf-_Xe5HY%En%vtsmotE!HI?1_3@BS`iK1U@|cY2VW_pUIcvlaTgw`C~uv9 z3?CSid(dm`rRny4dL3x0Q7ofjg>B0LHUA8&h8S6xsK$NZQ2&9dcUsnL7cuIxX>QRS z9u=8`iolJR-zo})+8%Z9I~YOqvIiTA9k)5qof3(uSA)?Woz-@RJ(#dP9A)L(_wB&5 zNLX+UOvP+55~R!W5J})tpTIf%rX)V&)1<(*{4@hZT45m;Myx@lwZ|VJ_CwGmVY81l z8m*^r{&Jmq=53s-O;O*4VYHo8c19k7B=f#MgFSw|zR`lnYGP@N7Am_#8IeC5O!tAw zemM3p^cRI|vvO%&dEj4+6FcA#o>fF%BHpOF44ibXyc;FPU&a$;+HH~pW6`Fr0fp7GKf^p!wZg_o4n&k)dK)R2wA8H-RS)UCoERLx1&CwIA-~!tQjDh{dK(1Q z8mhWEh~5Ln@Fbbo-c=h|tav&pbcHF5E++l|jlp0)ux|g-j#8{#YxUA>Y$!5Q)QRB2 zl5bQbfMSfL*C>EpmY@7dM0H@#h)CF!NiXsv?je&J^x|05f@cn0mY+!?$qMQWMs|3X`Q6}8(xE2{eiU8XjC6VG?SE37G!go(rp8gfhQ^Z(8A%2k7tWu z=z#0&f{;C`i`79)8TAtK5>gZzAoUX_Rs5VG^`1%UCMIP^o*{+3K0O3EAL);%sH?t= zS@f=YE$q{`_-PPePm4q4@Qug_7C_Zw$VXMO_0)Dqp^L9JggWHwX(WszCiwoYh=cf| z>cd?7`D8Tn8xb0$Y&fhYc|23bely}?thzO=P@w)E&qCUwtPkaKJ!sOJq093AA4Jre zdgpDrgU&9?n{)?lU6%bkSDg#_=V+hUz20CQuUP)HRTR_?cFr8SJhGSy*3@(|IvRTj zin}9pm*oqzHeFqolXS-li_u*sVIQ#EbcgyLynzQeRveGiw@^v9vyvhT%8`EtM?zTQ z;k+MH{7|BZ4Y+G96dYZaWC+rwj)XAc5e{vlk*(06H;@N*tRLmx0`|k9NyzFW55x&B6l?8|CXfw0uGMR+`*P5u{*$x6X8W5CHg|; zLVtlTS)7y?RSQr9RzWq0M+ngPHgTLIb1MKWf%tF|M~)3t*Rqv(Fs1}`I_LR45aNjg z)pN3tqjSHNz|!?1Isst)7Td{%HYXTP;@o?QZu@@&a`Gv!r~Ye()CgDjqhpbYx0v z&o?0_gttKAc!7%!HaoTwFP=+wWp0HsOtu=aNVXYWc$-3F&#B}TDcqk@@*pWZCk=xT ztNtSiAl(2Q>k2~8BD}1()Pv+-z9vnJuCr7S#Cl1G!;^Do$=MN^~ljZ)42 zvr^YPrBR&Xv_*8@C~=8BDfu4`)5d=%ZO18GS5k6QfUL zMHzi2Yd@pgvyOn`g)Cdd@~3BTK5%w*t>o#7l(-#u(PMR1=5rJbTh6AQ{vA6{kZkk1 zcAPCkW?06KEvlxziD{_4HH$(s0uCnNuGFk_$aJ}~QgfMZ7mhC5U0DtZ=BBHEg8;3^ z1$F8hYz8}p`=uSI-a*{x)yt*oO`+;JsCtro6IHLoHm)dZxoun-RrJxUX0Y4FJ;p2g z1h42byrSD#Me*v5Et0YSZ>ZS0)p=68oFz)_8+e_&U}73Kb+B%#oRvvTX;gl?nnrb7 zyPNFlSgQ#?!}BENysg!Os;;bDt3@w^0j+zHyK?keZTSGC+MLLXU~w<(*mP_;Hn`Bh zlCeT$tks%FlgN|EhrZiLAe{toaKHsDBtXenCKC`4e{HQh0Iak_Z_N&=LO{gE=TdWBu_e%ALMa*dM3NHPZz7bE@#08I0=?Rf;o-^N~N3SPIO5*o$9*b(H#75#ANH z90A4a01Ic?kJ4KR^r{3--bD#Zw)s#KF8KK^vblrfRn;B~Z~ko&h3WerY_TUsi!27k@CBAJW>?R*`0==cV) zm7?*v`8XcIQ>63C7i^A?M9?Q{0d|T|OHZe1S#kj~ZBgtyQShoOXi@VzxY$DEa&WRI z_K5z=^pmWQkf%?!XRsg+7aT7@rP%oT8T4Q+T#gP2TZZDmBXY{%#d_f>eZkme`4V?@ zby+^-cb%3`>2CB6NOoF|5@(lXD+u-4kWH9X70XT}QNJHjnb}P!6RT?~6$MgzqoFU0 zoHNW`Mti-(@g>YIaD4SDt6@iEXoW)vy`2zUJGu&LQLi;>jIm%xEP{pAeK?fhSo9{O z4KGfm(np=k7jd{s)0fd@Dv(Qd*-!SHx-3=ivh%&V%nu&kW#NL~K$HDU?0H}~Tl<0z zI}nU1FuK-T)*#che#k0DGW?8;@_|g<(>TqsKCgd!X_E3!NArbfXozcF>fn}+WY@aE z!{S#0I!w?o9U3_--p{cvZ?N(jO=`Q8cWB^!g~p$HP#(t4(`K?pP>0bzI~wn=UQMH3 zMffF4P;rc1`w6_g{uN$w!y~h`7c?vgRt{7pv}LSSz|qP2)baVzb*?NMcH-pnJMO{6 zuKpZ_w46FgyP6$WUm0USS=LuFd^~bvMf$Fc2 z#R3KS3Nyc_u%P~#yndgyH*}3{b6J+{uSw14qIja1HVdPx$x;TQ@@)9cF43rz3xpEi|E@89FyMFd}ct$OMe8V>kg4x~GTOJ7OYZ!9b= zoI6L%t`rMPMc1s71+!*4%VxR8iwjFIBU0*4N8fyLSO0n8o+u#j|RKmbwd=XK`pSM!1SS1!V zy6eR`0e?-kU#{^tP7|wTxgj`h!h{t*xjIyl!3w4hWd^*y`W*3l{y zxRQVuO-4ml`2uw->6Y2TyF_m-Xm-og4rnlMAPBc%zCxV|2NONjzJ?rKUC;L89i%Hn zv$FQ$U0y|v+N;bUyoi}oDX#L$Ijm|68{jr%IhDqS#7bXnt;oJaE8=Ut;z~Fsxq`Q@ zK}N6DxmS3_mDHblHw(3PN<4BLY5#50TbuM@wz$#xozE`|TWZyN$s0;e)SD+Fe!}nQd)QS0b`~0i# zMkQ8P;)cGYJY6@l6vmO^RkiM5HEUmeTvfR}sExnUneMLWu{=j6eR*c_wfDZAmB!9 zN3+A1%!9wD1LlaOdcX;y>o6cl#+~Sfp1elqc$?e^l+30eT!gp0TkojsilE`16uP~l zx5?`X$$EgRXh48N>**~so7@|zONglrwA#v zUUyJt_UPI%1Ih3ka>yKUVSTL+!4Sh{JPLw9rQaK@zd_bV6)&5jAWaC&^ZgAJfkh9Z zL4}uG5OLQ}m4e@U&CZ%|c8vVQxJL`t`t+X=pja6&*SG{B93xsU)Q?{!SV>jny@>Yn zi**=`zJ^*@4>#9q)6+Thy8TCM8)|8cCp4ov+-p3j0OGGZ;PVE@i{2cxP+kqXP@J4s z!`!a-RM5)@XdqLtVs+pV4_(iKGwiSQ1(}*g)|`d)9{l71hH9fXP~i{y5Ls)zjaYE- zd6WXNUKM90CN0DmvGE4h4n9K?oeYV3mdWkSZfqPc;-iu5y_*%}@&(-$m{sfi-5r5A zh@Wa89uM5E(%b0saK|h4*3wi@aV6*t_}sN(gFhgPIt%pz`W*9mfH`ugp7o|Vwjny{ zR-2;d1V~SiFQVV78BYr_J0-v8tFQF0M2j1IIiX+$FC5v$ZjU&xl-XJ- z=2!ULfl4EKBuqtPBc3B9S7Y8Z8Od;&9EmE#WOeIiCYBh%Py^Kvj;xEbkvR=hCoP>k zHREwt>85uzCG!<0H;k#Q3&HiU z@bGvm^}&(tsWKuVGXfJ%ckG)LpkZMSSL5}?2=!xPO=nb`jNr4s2TQD4_X?&lzzSZ# z{DM|b)H;3&;hb4_aB?8D7IPEc|4dq+m~}(+%JZSpZ6(DktQ`=7@%tq*Mxim`VEp!q z3n-Y1)5vx#mgu|9r_09 zvYzzgK5(HIU+?seUUG}lb-k^<@IUhO4|slWJ^v~F8kfgHmbpF!9?UfQ`Y`BSL3d@x$b`{#6su1rhqwN>tOT~Qo|<5&j;$k@?8L?2PK&-p>2?5CQ`wItwmyUrozWP~sW7SZ zC1bVh@p%??4q$SG(2R*qJa{nOA* zFm>AKCgw1peXtqs1e>u8W3vF_9>plqh9D^T>aiQ~8T%R9N9oJbY2xT0xgVR0`27MZPX3=-4Xf-q)1>GK23B8LD`5c15;1g*Yz-~vt z1j`L%OHh*05B&tE%e7#d5lFMe(!wRPOv!n(XP3?@GXZn4>t)02+f*bmakF|{y0YLg znvT|}v=imSm>mX+-C+LQd2?q?7lPI021d-_=2ILp(xUqig z;m*Ac?CI6Id$Gk6+oiCT55*MPe;bC-@h%_5Mzx7g(5GuNMByl*M+j61`j#SLHxtv# z?CGoe!iQIvnUj#gghuy#X*BOP!E5qAz0>j*1FXXtI-Q;vUCcn73Iyq-#Q>)er@m~`{m%Rpzf;YlWw8gSUEdsq*!9&4lSf|#AbOK}FPFUg5` zTwmE_*a`8K0Xi2otsRZFyY}L>O^@z3PaXe})f65DIxaTiF7>y7hb;88q?~^2vYbCR znTA+c&t?$gK??8XZ^zu=UPUudAF2^)znOuy$-YK1(inf_!!$E8fD$dO9gQI6782y$o`5Qa1(pO2lN8TdF!35HJeFYlU)F0hv@$flg zG0P5>1Z=j515w1|udheNy3Jfw?-8rq@U_Z>_n8!RH=+5u^!1a_+$XDIOFo7@9aiZ(@J9jRa>9 zJ1=TOeRsglY$&^6bY=03gz5Uz)pBf*5|BOz=nbr&UG*3{EN z6q-Z9SiNan^S(E?Xr9wmK4aFL!nuhiqFvAbPTu7#b0);arQ+y`m7_HAig!=o7;_EBFVxmXW~m}KxX!i1SzrW?aiB0FgbcxgBb7iC~?h|1}CeB;ZG z(oGJDW-)9^92@J|?Bs+kef2G~vGZoRy2aF#-1dYLIvgh)ibM7Eun(sNgs~nlrTHPZ zfG$dOw>~1-7`YTSO}x}lFq+nAbd!>4}5WpNht8hez=g)^RrgZ;7&N65n?h^xA(* zJUxd~{pkdij+iHx8=W_;`w$$V66a{E@bmyD#CYW)(b4Spt>GHzTw`8gZlN#N5nwTs zk&xTtp(B>j!GZVW+XEB+KJRqEjd3Q=S=&hFgDCX^|X9}@3K`)P=6Xu zr%PBT8bXGp%otNg@aemJW-h!P=V{mvW9q@N0ai~idoE7@d%i7$=bu>2WLLA2>3y9X z@;3GY#Q*J`pu{|E6hzMs^{4ahDtcQ0eLOaicm3$fapZJKBT{are}thN_fPx*-$TOv zSAWv9qj+&Q`naZzMEdDJYnlwY=m|}G9kl96O&f-9bKLoqri}+Z0O|t$`zG*#F8Qmb zZ2%2|J_h>6-=GilUC^&UZ+!-O@!EbfXc6dVp!B-`cb^46=t9u#ps#=)1^p28E6}9P zC>JmIuLR8peE_tKzQF)m3%YF!$_4#wtETM+o%9^!K|8l;S}NWQ{5$AK(C!1U7 zqdW}i>p(|>?f|U?{b?uoD7_c;0p0l`^x?Jl_g;b>pnkm2_5|o>pf7{|8}umX7`%&? ziWkV2fo6d|1nL4E^LN+*I_DqwN*L(lppSt*1G*jbdC=EE&w(BXy&A7`rsDnWQqYm0 z4WN0TPk|PJz6M$eYQamW8$btvJ_ag+ZU@Z)eI4``(Bq&bps9H6dok!p&>GM@(Cwf_ zppW8>SQ#`Q?@2!l`ugjd_6+Db(APne-hdv^2SG<#1>v`#d7%FQEdqTPv=Vgao2VbC z8}td#-EX0vK!+Vf`S8CR4T z+N?n{ka8wc&K{`}Yg$L=Osm+Ea$hn^GT8E=lJwpK{pn;!tu_7rpuUOM({N0*H^*f5cTQUC6f(lM+dh!_^{|d?P zP&_d3fHiGA?&)V0?gLKw!Yph02CC0}RG*gA zUk1Dr_?3k7i|Qi-?*d*y^(jl#XHKF%E>@o@$*2z+?xOlU1Nj)@7KT&e8i~7?fgc85 zLnw@FNlx||c2d2LBY(_Onl_p8XHdOdR4*shtEOkQ^!|r^XDU-I0iZTVvsN=AvcTUW|ADnU-I#b<(5J2QOt**_f;Oreb7^m?5FQ= zE`Crj#Z3 ztT5L=_HKZD|7|_}kH#&nBM85N+TWdsM{L}t2umCP(kaK+pzw4lk7qU_a_8#?oZH{QVLHWTWIpAS%Z?7B2JqSGKboa);q6a9rGP( zKj`Ce9_`2DydD=b^cFN319r0KWyn7t(X?bP&+7;Ii|RMW>PUGn$vOodcv01$NwICM?S3wbH5>f82o59@*gJsy4?>M z3aI=%7n&)9`N4!X}!C& zmwqZM5BLh;6SdcQ^RpmWhkXlYy55&q$#9xZMDJ8E`BI6BnyL@CCq! zCQzN9*59{X0)HL23plEqxJb`&;8f4c6R55y6&*qCGT($#Jx2ns27ZKa<_B}E&B@7c zvT2JjT;vZf@QHggZ6g75tVfcPA3@9N7v)zXehl4b ze*>*A`Fv19Bu{4XwOeo8M-cHp!7-ww^}r>Bh=wJuptW2mreA~z7O!!BdZZH`@(fu$w8 zq{iip8f~F+t+%xNd5Z*uw(r)N0rH`u{cUcu=YPA79Zo6|*{ zR&m3y93jMLw9x|!2XPT%D8BTmn7+HVLipVKj%PUdtrr;9kP;r@!ZP zGpD`VE43F8>y_@E?2lg;T=*X@0Y52VaXWg8vUFx2_$ZFAxlxyka4K^AeV!hkr{|C1 zcpgs&InCjCJ?C%Y^zgq9zYX8j=FFTqO&sg;R`~FRki49!ITN$(x#RRZp;zXVoV;v1 z<>3Y=S;1<&4uDs)gdF|7bs-0*-rgMNjKXXjY4g;I`cMvgS1Q|ADdbS98ei8Eaw=EV z2UpeU6#ob%%i(WK^hlH=53g0aNr2xq)XG$(51+EP37_~TnGbn>_JlphTU}m7U+yZe zu7s?S(k05>fdKwpN+x04-{k=tw7ctk9u$oSLG+QsO3^h|1cL&7sgvGSzGNrk2j_P1{yf)IbmY~>W$d62RAceV;10qXxfAse2YmsG^&rfzR=6o)n z#ZuiLz2)Hm!WqOmqx|K`4j>fg8DZ-Ny0hrX#C*V$_*brRHi|z(-iZI}IPhSK&PnqF zwUZH-jW|3T7_k`g#(a{=Clq7;01LZ}_-v%-;)Y_kA#cR%Tls`g#2F3y4L*Y|MLx|1 zM%tJU9^vvLm+x&qhlRUvLv3ov8}kqSh7euG{9}~gyZ+>eBtL_gaT)WO%H@sukK}2N z?=62XFlrOtT!JzGOMJpL=5?^Jt9Spe0&13T;PXWTmuF|UT$YHjMlon>FM0Ym=IDy@ z1&C;8$;5{tX8`MQV=muVuN)!A!ZkoAiO=5lKZqQXH`-sg#i8@E%^035Wa&xcljj;q z;St=K<#&5@4&&bqPv!n=2=p%h_r2uzR_XG4t8|GC#zKrMF#3-tg-y7z;?F3?Sf4HC z5vn(T@AP)a+D!7s`fd5Ql-~1u9bM0k)Le(U%2>FB;?cPH^l_UHD(4S9AZZptHGy3Eul SThGxypX249HG?KO1O9)P%nFwP literal 34496 zcmeHwdtg-6wfCOP1V$K`pg>Wf9u*X77?Kz$kDz%?0C^3Wknl9*G2u)|GI8b%4+|1H z2{IYe(q8e}d-Yxr+t2S)TeMz5v>J#K?L!e!sbHlR<;0;aDk2qhzTevWoHJ)au=akB zzdCxd&t9*+_S$Q&z4kt5hK=qyB^HZ?>7O)aWmLG8Lm7!j?$!4eP3e@Q$9KifS!5Dby>RihhUBu5a1pdNZj9nO< zZl`NR8e^MO)(|1!qzKW4Mj zbpZnD((wy`2l+)GU4AL>X8mkvi(lZN{!M7`S5IiD9pB(@3a@pA0(~sjCl*W zZ0ZNe9D$449*T=>NBEC-Uh!hO|KsDc*|p#K{bzemyk9uwR-(TV7q!7{bv=`)J{H%w zQTL2|eD=%Ta!&S5Q)YT(A0-z33PBsz26?zPnfbre5@4^ulAZ zC;uJ7u4GrCJocMCpGRje-1>}z65O>MBs-vktd)T|;*WnGoOfi;Jf8o4USg1)MThCmGq zDM2OBz-sD3KE)qu_Ccyr6Y@3HR)b;{_&W*=vREK4$alFl|DuBH~Io~ zwN>jNy3yBI)4Yy%t4ay@(YWZaQUgM+1lKzt^hQ@mItu6<2!fvsr&+O)tbs;+0I!8j z6gFedtiqzo99OP!mu=kLY=V+Eq#6HN5p_f@-GC*rbVt!B2@%v*6C{ntA`9eDY@>@l zL;e0i6rt+iO9UIj>o(S`)s-v#mr$lid>Y%V`QB;7^nM765&?AtmHinTNu?cfs6>mSsT_cKN##JSaa1}O%c2q*=TbSC zvB^}zb@Ql12lA;Lf;EInOtP6&VnLfjC4#nx%3)aVs2tANA}YVd*fJ{7j}=s2$yhCw zm>@EhS2NZ?Sv8_~& zVeDQiZ(z(DImOpRwsce1x3(ZAL@p!}iMCE9UG0DwBQM&QcEPIrlbCo8)=rGMfWHJt zjA4)>({0aja3#jrs7|<^gS>91It^=2C$BrGPD@zNE?&=|xS(7>MMACG}UKP-NWl+sqUeAKCfp|eG%2Ocs+~iE2u8S1PNOR~Q=RcTjk3I!>ZiW~U`#&MTd01V*NdsXh3W@+eJ0hnQoWPc=TQBAs_)`; z57oC*eLJt0QT-9BZ{>CJ4tW>VTX>zkMBYR7W?o-G^-ij<;PqOnzf5%xugg?FNcDVP zZ=m`Ss%PMGSUc%8gUK23GT>*QtfIjW!jlKS65b(+^b$9cV#>UOFh zszTVQGGkF-%IteRNsnv$DGl&$cfX5J1#7Yeo*dR5INqCIu<1&2W&GpW-M4B=OQPoXKF5{e!Lb0^%nIBxb>37rmFD8;SbqGiz zFJ`nIRleo%086Vg)jO4&$8~k&ZjOJT=3mPg>VrrQQy-ByfqDefmLM7G8+H!K?u-vp z_Z!e(325ZyM2GLp;-$AQ@2I-amHp@2qkn|*p0ZN81#6s~fjq#qUG>74XSQzL z^DP9e@k8+^a<1PROBW3+wSN}fjhq_MZ5vIv#KBj(I-Vxf9;$Nsedp4m`}cixjpg9$ z=fajQT3A`zA)PT=Jldu+A9|%LdbZ;^ij1sf`e+DX;VZa&ySg4jcp#l%mRCOQs@uB5 zhKY^#uhA75ZYV++DAKbIEL(d*^mpslh1s1Lmsr^DjV*V?u4tJ$-r2T|G&$>R?LgUH zXvwQpMs9TERV&3Cy?M7PE8?s3WMxU-YGq}fFI*oVRaz<^hhZ>kK?J3k6$5f0-{Ol| z59FtXqRSo8u)V`~4mRyMk6@kx$UzG!fI*<}c;pe!agS(+JV@JvQD*V9>`o!33q7hR z_huiBoEjMmJ7Np%v3@OUE!EDpmq6Hd$l3ZUlwcRE`s@6Bs=wzUv~ycu$S5Jsu~C<77J>q)G(sSjci>Y1-07omhp z5qvuq6X)*J(cIBd_i=Ry82If8^$%E8VhfLxiyn%0J6lH!o^n;ias(RnS0Dg3+7-7H zo$a!QznF4<^)!#iTdw{LGBtsnx)evLcief8noDK`^FhFuEcR9;!Y4fP!2>pXJjd&; zC|6f$tX=A6F5cm-@TdtiO-%m|P&98e=NZ^dv+7xhW9rjbU?ML{>O;hG%HdI`p|eme z9zreqC}z%2u;fxtI-T3P;>+6(-L+Wj{*m*E#FE7xkZb`-JR7K6G*nhU03-m$YkFt%vX{-(Jv|^MocK-|Nl6yXc5~DmX zqE_WOop=8Ws?Mi76QkR5(Jc`CU=twJO30?>V!7qID}g=K-FSW5*}Ev#5V8=+_#Xkl zLdpSt0vrUOPy@KJdV{^SGyVdgnHuy?U1<1G^+BxTt)1bAfTqwxV*f%?+6}MO=9;%O zw$KqO3#TTOUQe0tl_yGlq>(58++lA_tD z^Yep|<7x5C*>{cecoIQ7p(Ds?%xG(Tka`lsNF&t=lf;%^c%_TxI=5v8&Gfdj;SA@~ z={pds7kFd^28(C!cnr0+&hR9(>Q%4*TOz?Nwv)i&a*f)vs?(8Zw#nPbb(q?6EpHmp zbVF&W^XXwEuyEBON2y0WbS_1}uwDXwO>O>4V?m3&_1IiHn4=Ep#zn3AwZrmqbdfFE zZ1*OzN@d&2S~Ge zL6PWb#5!_Z^eX1Id*r>GmTRHT7i6!PgIK%UvBiCI2Wf&KYrPQ;>ZwOOmA3<;PW_zQ zF>=nXV94b+g_`{#XHjAz@u>HoO(eS0d7q(4&k3k36eG=^|0@E0_R$`iErNd4d0}j7 z!g9`|{vPbyeeB9*utWb>Fffz&a0vPc@1)&w4&z>LNi^Hl{~zRut$~8HIf6ZO%7nsK|M$3kiOu3q-pD^*n-u=Mp!+X!dxxci;*R1+f*fg>} z%gz)D=J1j{t6B+pi-a+iEW7%3)jI1>}e#Oi%uyT}Ql zW=foloXWuPgzf4M$<_l$GMSqVF zOx-RJ@d*ev+!8WHU-d0vj(lZUf)C{s&KP`$&lUvmv*u~Q<9GH^NXUI~=x=TIa&?Aa zN35}JITE)QMb6v8$9Ez1qAY=C1_H5!vG=OK!U#d-yhL~yZ2GO=aHR$cr4V%HRrKW`OhQgE7Z865l@RA((70fm_a733D_ylsCMfRL&&{X8zT-Bov_1T8pGxmdQF@_Jx*YAHF(^HI%l^)fY|f_- zC33fsbi}%y&;Uy9`^cuj22iOXS>D z%9R`YKbaOeH#F|ZJ`_1OR=Mm+XXKnmNqaJ}1?i~S%Fm`K{4YY`pNcgP3VZUL+?>M7 zL$?(}X$tn5*3b!B6Hxvyp>;i2Q?)+bht_*&h@u~~FSNIO!N=)P%*IEMyFk0!zPwX~ znL1;(HZoJ!LNkMtlpzLccX^k{W3haA@SEOME=N5EB&Kg`{E7AylvEuv`DY~b`ralC2pTfsKoGskRgU{(!^prpNF!{J z23m(v7o$V7;{CgAS!k7UR8edgS;}%cav&q>vBicp6P3pv8%8#WdeFyo>MgA;WpMbJ zP+Zv@{3M(#2MrB525JgF$p3 zsl$3cCA*N_!0WW8lgYeDMMy$_?73ePN_XPeD)J&$Iii$R0en;k$^^8$#=Cc)4s0Oc zRRXw42a>Jjn+32z2hwoJa|EzL2hw23J^?J$f$Ir)mjI5}fh`35R{xn5x~FTKn@lYfl(Wb=m$KcR2ZX4nb`(=Jj>(Zqgs*3&4Smce?6to?qS{v`F$bq zK?sZPG7wrlUckVu0Q7%tA zNJFij$5|xlr|`~D%upuVw21Lry-N!G|7>Zw+ytI@4yV*2hcX!_E?)H$P{xMQBqQ^c zO?6B;sEh)Sr(AxJw}aH~M_Nk*4%n_>h0_)~f>`NITQ%4ra%wC#<+K>JHbQ^{*iH7oLE8EAPa#LWgowBFA8Q3uisp_o}Pn&}!sp zwFsq91{$z9?ddAic^dKtl)P<7EJxGwz}I2vH1$VxVDzFCb00SbpUzKk1W|{`bY157Wz60*}P3W+YndwI8@|>yi7|3?agk;UHwFE0NJrgkIYma<>4U#&JE5XJj7vm;fHtfpZA> zu>c;>fgS?(JH(rMLI;)+@M-~k06?g<2q3OjmH@X2@Dd&D7T_iUUZ#VW2yle})8fd5 z1Ozx=fXQn(xK)712{0ul9Q+djz8qk+1bZ3v7O{Wrc>+_4?=03d~lp8TbKPPgl z`X@ZYK#DbExa1)a6LLcI}O@xj2|A$qq{;M94P;ouPLY<;?#h0H;HgolBQ$OhPZnEGRKMC=s9 z_UHlC&Al>1Ee8*U_$GupXhGq_=Sra`5$1sqUiJyV$VJ1Kt3!o^Haa%$ zu>xy!q{1WrSnCLK7=CM0&*H#m$2oAr5Loi5L63U-hkVqXyC;%duEXq;bXZsJ*-5nO zhkOYILzr^bE=cV;hW02aAr9nv@;PeCrrt;Af-fSnam17Z&d3s&!o!<%K5fe?@yOR5 z=9CSBGJY7FdFo}JB|Ns}d_u8L9`!M*;j3e#&Y(o9@*Tl2O)x}{#P7tef@mr#y!Dn+ zkL)1=i+U9&km8G5rauHM3tv`VF8>rbI`9-Xxp0hbf=Gt7_rf`$vCcCP{$hQTGsakOSy&2cR8!Jfau2w0T8byHqnO{aCvr$=5z{CG4Tlgs@Y<+X>iX$T*b4P0IWiuLOP_WC8FU2>C__&w4Wp3F2HYpo=9;3 z1(do5r@81xMTNXfP&hz=M+5Zcg1Q4w`qWOEw@d0r%e$!AX&9Dg@RX44r78$<%XYE| z_PBH&q<$YPkT<=5|I4ND)E994%ANZaoZTGSL#HO{3Nyus`QhV2Nzvm2>C}5meC#}D zn~bJrJKJtWfpKW!#?@%mI-$17uyi1nA%9dbP+xp25^(-EMw(x0krMiLk8i!O=XU#I9!%&b5=OxycXUwEHww zP8jE|)9TIJ8UTnh&&%VDpD&%)KnOZ5%W<(&6cY4mN`{uXsz9S&^A&|5KbQ{K9(-?*=$pU2ZX z?y|g|D6f#eEl56v=KD@MKoY-cLG--^7#=))W^hoMp3BShCK3KH3zqLFw}OO7(dgOK zm4Lrh$aO-@V-SP%YhQdobUHWZC^BbvI#~}-{@G5lEBq~kn_T^k@RnytbJ+9#spv(D zJ?Xri+tdC90)|JPOwCwSH=2Q}DGtK($Duf~lGg}bBoL`F(E@@L&4PBqFtr~r@mYz9 z55OC}@_z{6VgT{9@YjO*Pk8pf_;!s%%@N}cDWf3e2$5LSPLQb8;#@4dvnL0(D#tEC z9`H6d`VOF>BTjwE=V=Rk3Z25qo8>NMR&Cz|XWrrq^n{0-ZL4fa$Ba%Vu}swbNT^xcq&Xf zg4DIBpWvm3XTT$v_RyWison#6bsY>T`oek!V$ymLv@68J*K5#I^(@+eNp@Mf)9(i{`p=A9n6K%PQ=|0(jD<7cxy!(zc4O?pMq>z( z+87oB6JK49vv<;;ssDz0bmAirdwXSc)B`BH)3@U<-auh+HvxE<0GPaLDMWIw+shH= zK!?XrO#O*C^rZo(=p;<^8U{kW1IFd9*F)QJ#NPi9!~GHvHpMV%fqpqUFFr(Gy z=?@~0v*gpgk3o};66?56qur5{7oyWijp!g!_!)Z756=S0Nj4mdzTKV9wT2X&gp>aG zeEO)|yaMjVUEt045{X6FRxGiE?PD%r+InP?t~TK*%thTpyz7{mv4%*= zoz@i~nDgGwoz(xBd+1KGz#bTYsl$b8yDX_LvguSSun4E}F=6;A(6+<9b@A;Uulf-t z2lo`^I&~h*La9}j`Y<#^@I6iROuY>B*pkF=#F|dq9It94O7gGhf$#{J!Fq%f9)}uY zr0=5^H#`Sv73vJpUD|_y$L6VOWr4+sl!S|@nM}b}bOz>Tqk~vg z8jNEIk?1w{x0FGJ;Z^bkqG0MlP+);55Vh|>topuA9PLuBhmE}IX%4{#v`mm$K}KTt z10Fw&a3Lb18iz16!wqqfN{fU_m7>8_sCm^NzN0y*G8BW=#9`mlVPQviI!`2MFmr$p zar{yp&v{mJ*bE&O9wK;Xn7JBpdXl*gMCj`Y(Xnjt{1=G~rC;rYv#Kuxr`~KlhM|O* zoGo69y@^=Ylb+R`PU{)P;A9SvT+`Iwz>P#0rUek|4Q=#9zDX;;dif_>r}v)5AXYCz z7>`ih>8TC2&SLq=pr)1!F}p1K^K<0*SZ99;712?$x_SK61Wjg5WSL1?r->^MaQK^v>wAKWw|k$U>_30!igi7O9qoy+^|F$9oOJX8$Z6E~|9-_~cAGt%YQblw3s3crtMS835B zVruRodM%{ksr>Q|k0ZNt(-%bOEZk3B1QhA8Eym*M{7Dl(GZw%Bv3whF*5!%gsZin& zQ1Lv8BtP^Bf=<_V`U|OfS8VK;&q17%XSi4;?XeAx_CZ7wcg>8Ayt`%E`0$vP?^*5$ zUv?m!z<6y2J5l$k_Tnon9l1ja@xIlWnEO=pW2_CYZsDAZmL$)g>4B-+?tFe2@lM&l zes;%(6VFe^1GZ<#jFEG;Pk*`>p@=!>9V|~M+fK;8rL%sEdVw3x(c#X3CF#w(X>aji zLORIQ7lc)DUZ=f^gZS=zo~t*_ZC`&mxJorP8`${R2s?NeATA?g!m8_ReGjtMOxbk4 zlTNi-@1fe@P3Ko2*>kp4V#MRVP3K$25bkc^z%`pNZeV&k1fbOlDpmz4`ogmJVBVKtU3A1h)wVzD65hAf)HNrA}iIia!Z%G9F!o7Z8$y+uSYICf_-sp?y-<-+}l6#C-{lxhJ!Cq`FY}n z5!hW>-3O!Y!_k+q_prJTPfI5qg8zmLFZntfmLbeE3R|MNt6(f1(!HL@bW(sR^xl{A zX>MSI=LT$Ck*IUs8ihU{oXwwtXbn088biyr&W#`P1ZFBYNNalUi${6;4&ugmh-1MU zg%lv^sRZWgFpfT?j01bAY6m(tia?koXE^54Ka<^>FBy>%y1bf@pZU!akM?9%SEiE)6tEVjcm z*5sDzDzqp}lAIY{^`Y0bK~jd%{631FF*P5g7%41NOR<$99lYvK^bX>guSdQLgz-1A z9R#kPc{hzAbH*wFwzqH(56JG^vh7XW#*5pB_HX&3Gwj@Q&!`hb$Eo8ZTD~|MzNUQ} zk#D(I!w>^1AE1bIrN!+Uq9`9vS;M>WUTsGkiSVcjh8De;azq(cfk*uE95EbEh*On# zy803b@bJ%f^DwUTX?&OBj@J-1E_&w6UqRkr-}F@i*~ywA3l@}Ds9%Zs*VBUjw%e}B z>%{AQ;cH4u>(gZFuXM`Mi zBml<;B;eca?vqf*E%49j_+dD8-zOB=f=#OM+f1T87VdYTDA5@op7$=lI~sT3$mKZ6 zI<%!IQBpK5>aqYdbAR9|DQ`CE*5gl5~g?tO{0wuCYcueQ+x85S!ZJvo{=8n5Zwahpr8>nHC0C6PuB zSf+dxelPOkNS>X=2bo&iy7kkp*vQc)9WWjvAYiom0a!P6RX_(M$yiXBCR!rV>X_eA z4@NBQ0=@BFpPNWw?aMvHVZk14p?B828PfP#X_i+ic6&Y zyk+i!VwY4hYY|Zv&7V8BU|uovg_@N>pg~%l<;rz^R~j#ktr;ifWM$_{MY2np-QaI- z_BBX(HLLQg6kmhi7aYGzz+APyTL!qjp2<0rCQU$jbB0xVa%vRV&H9 zhGt(-q6P-c#h2L>T)tYVdYv?DbybrzBN$jE2b5KT)l(%|QJOwPtfL=>4)b5$_pll%&oTT@ko_CkS1pA@L$ZTp+*0>MW9?L(<95QY|99NG-} z{7o*YA`n~^f}#!UBtPeX9{!Nj6yPmU6H-k$7(}N)L0^va)p89(QXamJsNhSDx0rMl z!b|aGMBcctf<`CR1p|#7Onu;z$taCgt9(+G6s%e!)rK3J$(#XB#r4E@6Kni+ehd{c zxL}$Z4lklFM{=^>+8KJ2n$>1Q3gtXOA52C~*7<{tYv`8iq78|#79>|GWCs|`7YxB| zxUbM)!oj2(+28CE;!^FW4UiBBvvPZBLtaNl?bT)oUc}X@mDc$b7w_7_X1EP$POb47 zvBuxfAn~uWO89=Lv<8kzuHb8IRxoOfRV#hc8X8YAjKoIY*A)867z;Q)x`J<>Vm7#> zGT?*0P`E+SeFSO-xrcDtI1%b^a!NQFWcfzqE>3 z7xFi)L?>!=-{*tTDCOPZ53IifomgLs8~V2EEkaE%lq1FK8mdAvx38F2byYR!O`z82 zl8SwGRirdpTQF zco)ejg&O$6>m6ZVQ_VVIw9@%=rRqRHp@2ediT-PW2VF*NBXO&%8p1x&D=`P*sD_3i zV&l^uLo2On3apW2T;%dq4Xb#WVg+uuJEgpd**UY<=S-Y5dwurY^*M9b=gwU}dG7k` zNpsiVoHJJo5q;Q@I+bEMlEY=zNqMvJZp&&gUpIqMeQ^F9O^Cdeek=gzz{MOtpw zTrSKM47ea803@gH*9U z5L`sFe3cle@zo*SJt=hiB;Q(JO;`~DuDTfk4%QQv@gShVGcot@b!!EluXhI{+^c1+ z16t^V1a7(Nb&4;q7mq!$cuJwmjk(kxIPqUh8@WpTV*J@v| zIuPCf<>JaA@+7%h= zVkq29Jw(_M?A)EEBHq(_U$ZI`ceVI3emE1JTjvjOJ*uHcRT#fBpj>P<9igd_3DX&| zFFSi;PF5BdQ6=S7)z(74kmw8snJVP`B7or+00M}9)ESWmQ4eaXlq!HkA9(!Kgi!P} zk{R>`ZYN^`)nWz4uMnh6{H(QE+J`HGU_uVc(-rP73zN<1p360INgh2`(;UPaOExF1 z$bOj;bsVXHqNPciVq9cn7_NuavIal0z&Xa()alcgDm2O1lN4e#V(pYUA#$|Hg%{ey?7{H(;G|~gY7>vClWvv~PHF=Rl z0Yty&%S|(g$<+n^8?qv=zUqfI`(?OR;3~jXf$Jt*cYK3O{ejJn%!3a*O*$-zVnGl2 zR#LJmT|dUpYO0!UL<&hiY60u(I`gQ-XX-z|#KX+r;nZzrC_uwuv_V>GL$7PXt!FYP zTT@LsBN$O$oaCf@^|~>k>$uVstYK{|_|@<(+%XDzG)?g<7vUNuOu3)gBAk;q1-oG4 zq$MZ5koJN@BPLb!F`+?8waF#9`M_3V;8yX@ z{5K@%UP*(74rOJTT=<*y0K1NwRiSVrHdLDTQIl=6y9a;h{YM4rc2AT6vD zlJBMvZ7@I}Naf~&dHCvsncF*{4*-*a8C~sxib6M_O zHhC^X(#nvuvfM0|Gl}J7vB_END89Az9PUQ#NF(L31S4=IeFlaPuRVNxxm zw{LKB^CTAzVHS~|!)h=c#jg~tSnnnzEf~W^{Sw7fzc0kFP-zPCG=_M@X$LDPE-sy_ zF9+i#@2n+mQ+0kxiPv3b0?b3Y&z=99bi`Nyc|ZE@ENFDoQJzC*GTP+gryx=im^W|! zJohauBvg44<-LYfg6wq!E~LYy<{))E;J@^jx>j5O018y!uKg3wC4biR^} zuwXTQ=S!cSNw8=$)mKFh!PW%nXv36H=snhh0@qXr z(#k>i8vXp)%x7{Hmf|L8h!bnMtK)96$-@O93_^2}w4@^$hhz8It>?M5u16U90N}_KQ-Bl;Jlo z9e39ds&%viT|zV>?bj2~ zR?EMdl+@=R`7q7VOGt!UDw5#B#vf<%dIMj_q|w((NTK*7pDHnt)k&9V23uU5kYbak zh10%`AtpktAM)vtdV*n6O}Hp<;;|Md%(Nv)fnTC&et?UEPN^vC5)}H>B-14i{tcDC zcKmAnwX_M-EvS#V&dg})(r_ftAmMU)X2{Pa7&a|Lfgn;7Xlg>ol4`DNs*&h74Dl0% zy7QR?C5@<5@+1@aEe=(&`^C7V{?BMK0-iNVB)v#yMUCMoE2O}KYj6Zx$pbzR_(ep@ z=5=rlok|>zp`Xbfp=!5r=YZ8u6LZ?N1SMf5MvBg z4MT{N5c+XM10_f;@a>8B?rjzUEvviJ&Y`@9JYF06RDvBCEB*nDC z?~h^`z_U4uceuL@FY2*tvCkW)?e%g|-i%9}@X~M~k|>q>x#`s8K_UVWo`$W%2`o-q z@T}8hCImP{emoSW-%Q0Ii(xXVTCk)iVg{3mRFB5o7etPWScmveEmhUj&@O*WXaIh7 zRsXfsky97suZCS6mmjA`_= zMX$0b3!aH19c&`83Sh5|lmlwd3-o@r?vfTF1sE^$mg(ufi@cmaF8y!4K!A4_uC`7) zhxf&Ahp)+xCK5yOLc@{A6Ny^q5Ku z8sRaNttd~Ud>Cc)0O(LYit-%F_q!8`v3Tw3OO!KF-v2V>qqP1yk=TXu#os_5lqd00 zNd~@tX~m08`6xS3u0Z*(C|gkONBJIUeuV-HS4W@+p)LqC8A^lxGN!_XvmL%dsm^PDWXeauLcFlxtCLLwP^SM^Sd7 zd=aIJ@^zGUypHt&%CRV?;SJU@lsi%0kMg%DUq%^w8{;$_zes!p{XsbdWeds$D4#;P z6y;%*CsB^Y7ogei(LTz>C?7_-8s%?M{ufFczIR}M2jhjZ_9*D_t?b<>n^C@o@&S~; zI0pStR=o@TuflJ9qdbN3JAVK@`7g@tC{>ilP=18+G|F=*ZTNvFsLVf>J4=~mz1?!P z!)DupcM&B(fo5|aL3{LFioy1h!H(OU0~Om^cI#EszLPWhx;X&n!`i7Df47RtW z6%R@;*laD1*wR)E=qfl+@De1t2i|sx``dLAu%E!S71slhcZ%MJ@t^0A6ak_aC*f< z4Wxl_7MHm+6^M2hYi2Y1+$pSIWmv}$29tIu($y~^uPL~~>S8N^9)UG&Dro7;0*1{n zc9_><(!gZG9B`3TMQM&24pgLfUf6eqK9so-yB=*ULmM}n+kkHt>1|*_3IE{Jhfkhj z&^`se3h-@A;d416Rxvq+(;6xSO~#prsmo^vwSNI}egHX>Qsng2eub_!|F=DIv98|( zzV#`5mu%nbvX$u;LHiZF{n6lE4>_HXGtn%^n8h_j$J=`tbiV`LCNo{}CEHunS9=u8 zPeD$_2LRX|MbP}v& zgl2XMZ08{3Pmn?XE`lM$9kC)Fnup>WYLAgIBD%4x>dKqL!~f5FR?KIb$YWIG(2dC1 zk@9N&orOF_`1VYI?8u=P1HZ(;^K}wgM@b}ISQSo%h&Nkb6h-%thNP_R_kzC+vQ|PC z|4v8m97bd>1u4rW$%WbAU6)!8;Wn7?!f~-W8g7F12df@@8jOf7=Jf}fm6F$p72qD| zbqadD4ZS`y_Yu7k*%gFik#XzN;4va2LO+F8a1Fg(%671hi3Jx}7-g;ryGTzc%2Qo% zMp7S&A?vX}$@?YpR6`%ci|S;&c>wt0ufabD{2btKH1k&_`Hunb1s;Vq=7@LNm}kQ8 zF90ze_%w4~Al4rv&Y*M4QX&lcI<(L=qR=(c?V@RA$_8i&M+S;upu0YXG-)#;=2JYGe2TQ}Px>DC{n3Fp$}NuWOl z`p2J6B(B4~&=>wSD`Lg8;8Y}&VQ>2=X!yTf<)!@#=6#7KbA%;DCi!d@=%2(M9$ToS zj$Y9y`LPH1$ASNMGhUOI)}9ncdHs6Oj@*+-tTM-7z3p2q+*i7_{S(hXM1|+Td%!#U znM7h=U%XGH>P`JrL4OQq1=pKxr?)jc)pkTrKl}a~&JaeL<siJAYdB>p+zcLV<&Grlf~KL-3h z;8WJLv~r{U3&5YhMEeqCj>I|55S;&{_~#EGg2I7X&PH@EgYHj%hmQ0;4Z6di!*np|LlIfT zAUcyGn++v=i53rL3p~y5Ea3kO_;SMQF>sD{K2elh-|~T82HN*PE1PM(bdrJnnz30$ zT*p1ueq@2zY!>S)w%F@#hYTz1b3=*@9$p0tRu#<*Y%=`tAm}fk%@@o*iM%dtE9Vg# zT|{53tfYsNP0y+Qs))GGspp*;tY^ze(nwSpaPT zJ_>xkf#>I5R`V`}5)mO$`08`u*#@4}xhH(p13AaE{@jx)lb@xDZ9n$QNZmvxB9>&s zHIVoqgG_TLU7D{uS(q5R(I$=@ll~6_K_2`OMoAtcu$drP0s8HrUu>qIO*W;Y3(=-9 z98z2uPMFo$`0K;NXpTPyS&u{3D6_2jdU`5mK`wBILH7{oZZ+tz?$63Dw1fl;4KCi)tF~DIJPOS8N2K-2~jdTiC@*%R3FgrT}-ZIE}3UZd2=OFS* z{s{ zGTJ$VHoriddFD2afG`Imo@6I!B4fchKj^bCV8ok$DPCjK2&W}m(DVW=@iu_B;m3)@ zbHrQhu&@~!>1ko+c3Q(qzl?rq;q@8TwD8)rpY8*$fGqV=5pfgmBj7!VcB_b&ue$|< z9d}y`24`%x6$~C4NuM`3EA6(yLjmXl<^V{#3kKU>!g9|}fY*lSRh0VDhhXOb`vmex zH~LU+;6PA21y~jVARv-fG+3%1Y=<12rvLNvPYe9h0{^tYKP~W23;g32I3Bav@vjNl ziIdVoT7zgWaQJQ;{hl=IeAZ^CoSOa(dOBO8bqSC0aVU~*r?rXdpM8}GJcfT`9*=R@ zidLH)k5bq{)G7bp3ZZz-gUjW6Y24#+A3FnnTKBSmM_SCVJ!Dw^*~6P{cDlb8B|Q%$ zeXw0%q~KSHL;xuW{h&yIbUlsobeqr})qm(?NBcU%@GUm`4Y(%ZD#2Bbs}9#{T$^#- zhwEp!p2l?m*IT$g#B~-|zo^YV9M=uFCgCcAfyuX&Ys3zkV7?`VeT~laXqbTvum2-^t!hcGo5;(jgPxRuZxj~@@{rIc+ z*5WUI8p01$fWJBJ^2wET^lJ^3axFOZn&7Cc3I_2nmvavNzP<)z5MI^juR+6jL6iQt zcq>9;btuH>S6b-1th(*LNlEjB{F>%P8RkzJD&+h6hj8>E7#@>xIvI2_5EAP8N6#Iv z1wg0U0y=tsX0%R(Qm3Q6DSizoK<&~zeoUjI`Ai?8qw5x2q$APM{5}Y}t*Fp^$1SI! z`AX-4G{=dK;=u|qQykDg`t3XbXf6{S&EwN(uoU-ty9B3eDK47(bWiiY7!7)GZ*CV= zR*wshk~j~=k9$Et`snhg4>TDr(SF}VNB>@OGXkV1TO&e2y3%J0E?pkQ-_B`_>9LdWdb>Z+ z=*TWdKz9UmFG#3pAD!+#)JY!E-H%^?x_=qsIaRcePWLeCI^DhR!G4uE>*EJ1f>5XX zIjT6S=3`9j!iBq%IuWH#w-@&~%H?#lUNF2Eq2tVS2T-AQ$!F*vWVT&`?l-{GSP&h> zZ#(Ez4Ti!Px5K#C<(&rI=|1F<9=|uy(Ry?SbPofje~5;z_i^d+?#C~t-rs^}B!KB3 zqM;Azf}!X7N%+CCp_>4^gD~PFY2}|$lDsUfPIO-Fo(jV07xh*DtOVUzy@HZx=<~k; DDBldz