From cfd84269e70a5a48b258635975b2e4d525a9b85e Mon Sep 17 00:00:00 2001 From: Linda Date: Thu, 24 Aug 2023 15:39:32 +0800 Subject: [PATCH] docs: add troubleshooting notes to establish-serial-connection.rst --- .../esp32-devkitc-in-device-manager.png | Bin 27727 -> 42166 bytes .../esp32-wrover-kit-in-device-manager.png | Bin 27435 -> 38125 bytes .../establish-serial-connection.rst | 16 +++++++++++++++- .../establish-serial-connection.rst | 16 +++++++++++++++- 4 files changed, 30 insertions(+), 2 deletions(-) diff --git a/docs/_static/esp32-devkitc-in-device-manager.png b/docs/_static/esp32-devkitc-in-device-manager.png index 640fc8e3b6334b2c0bb4f8ed89c88b5d89c74faf..26d63cfcb75c43b4d4c90740bedcfa3a8388605a 100644 GIT binary patch literal 42166 zcmY(r1ymee(>02_2X}XOm*50V zlLMgz9>ah@f}(+d1CKy~e;}Z^AaBxuM<6nwc>gJK~0{gEuXnz*?|BmZ{twFZOLt20zuntmM&LAL2-EVKuL^>oqpfoK@ zRZSO7Iayv4ds{{$Q+s1GMh{zux4S_2J$QkKwq`Cy#2&UbcFw#W0;KOPc!9@nmzhY3 z-yj-!P(Q!#mIxf&YA45kpJX}nK_#{Svt5_+S?Jo$u%;z zcXbgUC4KAYf3Lsebg}&We?8eb|C<(Yf=qAUFtIQ)GyP9C@K*k}tGo(MmS(`7-{cFj z@V~eG|6Tjn4?oje=l{=O{?7FMDsZZTaQsaFTQ)&B9Q}1@5D;MyX)zI15747@SVPsB z>(`F>${^xL#xJmj2qhb=s1Oh=B($1v+4aAKY%Q#HCbh3_HI7biOZH9+<~c1Hel$+I zsGyM0k_TkNP~s{I!=SQ)iouwgA)BTSzotE|rh2j^GoTp8rOnB&db{)7E{_3toKMHd=&Qa}vOI=gYm<`y4M+slq1+hbm4#YgS?ceUv|&J#0&~CdI=xTu zeKBxBSz<1jME-vNH2@1N(wLY|2B}j?XOl#KDXVX?7?Jk|cO#an;cutXSsSvD7qg(07}mr6>QT^HFG13_x>kUR5Zp>6K{ zHiNHUie)l@&){R0IO?6(u3!YACxO@XiQmG5p0aLK`gFPLljcnRUX~-w6-XLX92b1! zIAFa{)KdC;@k`e_k)ge~4n6)0?TU_~r22)isX?KE zU6WvqaUI3nfX*e!K-YG+2*NeKwk3AoOn>zu;7jKS6m%E*W*~cHCS%!YwjXkLdFpPs zwW;tYDg&`lqoS~U?x*D0avJKj(-3D{s8BihN%NlD!S^2!z-Oop7g))xx#zrq)W!f1 zE_MqVC-I)5w0R|^%1SY@Z-bou{^2?4GA{_X&GbWlY5Ej%wN}o#q|Z6cVdV^s_fZ)a zfY*q$TId;l$yv9VhTx=8bRnG?vj-|32^vSib*y34MKQ1S)e;?COGW2XN3bU9q_C^H zWu70&%p#A%VPgwi+AQ*v-({}dxSL5&{MhDxoaA{c7GO(%Zb+}9Y3^d$L5wMnq950j^~i;eIHTquu8(6 zvYMME5UD)kM;NN{%1ZepHUihWbMEre?{)%X8@qJA4JGt?UGKvmEvkHxj+Zs+xH;+` zt>Q8ozR96%V~SUvi~vqzBm@MnWN01_!0hEW#+8p5Xo~a9acKC9$$wiKZxkal5yxaH zYas;Bz6HYuU8D6njI?)m!P&p~;%p4U>#QaqbNT#+c?=&7~sDXij#@*G&!bV6A4i5Re;p@ng z8rRxNt=*h4>WG5|s3uR?(5U>!I7BJGb8vi>WUloPCOOdoq72bGUM ztd4tEBAX{UC@-P&%%8aumf>fxiE2nh7jlE5+*L%iBi%4M9Kn$;mIsz)3E$tbSze z(#IBi)DYc}Y2uREI|Kb$weXs0$DA)Bt~#c>b)Pw%`{9*fT9c1>Dk4^ ziI&&EkeQ|w1Vd>vhDr5u@rJ|)n$zdDP;SK1+Q|QB|-VT?|S7TV|$m@YG z*E??TO4A_^_m2<0e{^|(wj729>}kzKll%8?z%y;V*`|ce(CCd$Fk7Ei8Mc4H1M8U< zXG9yywO)2AtSiAXzlEn~lgdd&rf09`bBKB7Hy)<(wBo_r{2&^+5nd~@(!uO=W;z90 z`JX-o)rd9-NiX+W1xP}VJ#5qdtWoZ9sClZK!B0YC0I4bur!)FJN21z5e(!4Z36s8T zxkhmU3Pgi+bP}k(_op7~yZ!9d_W0mK2`t!;4FvO-XBJ}dN{f0fO!;9XwxyWM~$tG)4-OOC3-vF1>i!GeDY z5kHO~6n|Eg*|+MK20GptN|a&$3LE?pXPb83Za{P(LhiYEztB-Sv|h?d+CT=Dnwr`u zIcL}oAPp;mzWgvBeC^VhqoG6A6gy8qUcb)V05Nb<>K-TCP}Pn@}7;I`vo#F)bQ zcLI&>q66{FZ}k~MJl!(TcD0ZKB#Gu-9t-dR4Ce-WNh3!V4=gCbX4f{{BasVcTJImh zLps{Z`4`?dPM(q17?TdHV7qK|_qYZ`dEfZjsLQ@O_pVF&KgIT;P%~5bF}&P6!g|F| z(BM8&<1qX%i|b>h`PP?Qr9?GG1q-%`Ct{N~{k)b75#cN%OOn~ZkICyh z03IXEq-v}i@|skZ zMt&^h*wK8l*0E;a&c+r%KdMf&>mL6iSFpyn?a@?NUanrm#Q!SRrc0(^1`#I?_tTa6 z>$liIQ$kbdYta@(w@779|3*}un(D4&ykmj;>F|RLItSjhOZQy@Q*t%3gcB0^ZD&ux zgC#PCmCFj2#{yah3WH20_if`#bDnwoM@&G)z687rCvk{6*72Pcv=fcJ{VG?jFqMIC zcF2wB>d7%REv;+*Oj3z!XQI4l#OovBgWv^G=w|`1TM+{rz(XX(wabCP1!aoMP#lV7Tgl$T(+Tn&?5i7_f-UT*o6`b>+PnnJ zGjyvzML#czJ_@Y(%owe}tpR#v)Ej+Z1 z_FGb2@fQf@d*@L+q9t` z|JE@%PLZAQyj3p!OfoC@s&WB-j~%eD@QhKu-^t>!SA^rSfB)LMX{`#XoqF-%X&pKT zlrz8s+4}JX0$Hh`R@60>5r-Y8p33h_He`7-m*9m4Kl@~~tX`O%lM9avE>6h)bJ0Li zuR~c8!Klvdng_{u1dG>HM-zXB=s-Lt_G{T&!NVB4=;a85ju#al@w&$qwxG}ao`J}> zeMzg7O+GXsZ)i?I_|eah<=F4xE+ACVVZilsXqUWxcDTUq&c(FORR*O}iQ1_!ZB` zYt(`zO%v^5{;_SawtOy%3+eb6cc!*by*>VG){bLO3Y<3G6`6Pb`a?3=$Y4E(m0L|Y zq0b*Yrv<~Ls)3`Ei|$;!73#YEEZ@IvhBX zj2qwv_}v?`i=`K0bVDttHu32!8bo^21 zdUN>nT`|fW$^ws5+eW|f^#(aIF}O2=iQnzLW^_SIMkMH*?F~8BqDa3Pk(3XCNCb;Y z4k1og$0fee`cdr!eCl98{78sNFibgp`@sRJ>PPyNe)E-{s?$4{`S`~eHch}8$-t)_ ze0NMkzqByxu)%%}sbQ59D!qI%e?Sa6GKeWafcGnxPv}e%vozLUCiMqKcczJ~XDknr zLgNgD;7iaczOgG_En<0j0@`~EP?B*QSZ>7J$J);|#}zKJ|JahSB7}Akike>-(xH1| zrtZN18neS5@p<(YY}nwX6XsSZx3a7If9uvj{nsB*S}qL4Wxk{#xb%Ack?UxZd{bcElsF=e=`Ssm`!1a05o1GaeXKpblRxb_q4wWBOknup zkx9nivSs7JQs&+(zkO0q5A+L-2_w_oJ6G%_>8xiMN1Yd*1S9ZP6fJFC zy;gs+JM5Ff->{mE%3Kes&Q$uCej%on*R0*{me@qD0j=Nr$@>LdsPlCLV@Z`Oy|tkM z6yE!Zh!pX;g5~hItv63T-Q(8(Papzb74DJE!6rw=CbXk}@N-HLW%=k4okQ2y$`adk zqMbU+Pu86aCx*9Wv%m*)b&X`{mRa-bVwjxhIGWBTG$4S< zYEaJ9Np>lx|5HOLNr9_k#QPIIKR(yXv#-zHq^hj?JzmWD?Q3UklE->N1Dpow2o{&Y z{%3-q|98G9U%_$i1p1v5>$v?`f6BR*C_(a#W|rq(>>&AkT|@MR6&2JC34!)Z;JMOx zGCY`?FAAeFw0t3AUe&$+Qh~hjtmY=`StZ1~NjRT*2g0}e1Tn|GP0%g0yZjZ)%>s7K z-B$XDWBl>f1zq2RG4ccR!#>k_G(^%| z0UFbm!rTCGJz!g3U(h0U>NyMIR~k;)1d%5Z)%hZZBG1Y1h=?TAXa zj&PSpKPp=%ExsIorMa_xaq=PBJR?6;8MCM?S0Iav$ez3g>>7Gs**#6s#6Rev6x@=5 z@?}1<)nkw7O?xs^c1H#sXZ4y5g;?IbsMW+kU8YYaOw;kwL=m|hz#oBR4Dpgh(&Run7GmtzCEgFQTC<{)I1 z3CZ?ZLZR$-Z2+JJfw_J{#dwTXN00pg5_A1CFK;@}k!~E}UN4`JAnpVqB+>ajZ^Cv> zB;2rxdq`ER`3ob$e1V-f@f)-$N~D_vm%!VTF}>$dMImk%$M&m|IottHrQ54RmU0bE zw0D!2lpx$G&YM*0%Dz)s{A*v*%YaTN;DlFEpI- zT%-Eu&Z`Ky%D?f34*R|Hn?pz)i2b2<1U{8`zFwG{wLT9uqqkk;XTIe0SUW!j1+eCO zjU@KJy>zM|$ZUZd!k>o4pb4W7j>zWTUJzzQ2l1?wr2CPjzeFiR2 zR;U{UuP+nYM|dC*R;Jv&jx)r!_1_fD;XAA@4>)Yft;(hB*8aZp53-E&T_p&~FT48^ zbB`D10A~5jXuOD!Bqqr%=W@5Yrb(KgVt{-rq`C~kl=6DX>w7g0_eD+kFtFS35G~26 zr@~Vbr8W8)6b1$f)`N>STOPy)&c!n;!)Mg18p4qNFPT=agwtuK+a~z3Ou26n0EX}Y z3PHiu^M_RXb&ouN^;k>2^|#5*-L@U+Y!nNAu-cfurp2c7+%WQYtIGu@&{Ee2>-7n+ zG*Olg89kgDPPZrGrSfU=ucH!%`3eOLy!|@I7lZ$6rh)8D!vn)QbOOb-oEX#(Yi5Zr zLqn0mQX5D(Fy(~#`!S#I@-E-h6O`mncmTGPfhoD(U+b^U4iv@kaQ+=|>nWngJfTIG#s!aBxKLJ7YAa2}8 zihQ6`kq?%nCM=bE#e!12%T+S5 zYcjRfrRj3j^X5)%-^~}am>K+{zO@+=qP6!yf`~YxoFGeq;B2gJjeCge8$4&!Qv2ez zD#!50XX_QXzi&AhuFS28ZYK*l@S|zmv`~}MpBRBpsBswrcSP9kaYMysxe`~e z*`+PlcfQRUFA85UYth0^Vp3@{tnj1T7X&C2wqi2Mm1&V{cMU%bw;hd~#^tCKZFqW) z#H57lJhY4$2aNG1F0B`RkD~!8A0|R-K19`+iHhuz>*&nFp7fQ5-*yEMZ|offOe0h{ z2fIIQOsI>Bs68ZvFfIIc)xdk81x@JLu2icpg$HU%NC; zUla0hSCvVhDv$rRFPxPyRKsQ4<0+t}WL2e3A{x=IG4UEMcPYWLO2qOaiE9mDa(NQu z_+sIkZ?Mo+Yg^UA|B=v-Ucj!edK>!ezMy2HZq8wP+O>Ncc8;XQEsgeL&%SYUn_~t;=wgYGF&7eW zEo1x;OPFMgbN2Jfg*s6*w*9g_Va`(jEf6Gc2K`g!=qYV9&+-@=#!2XQlrV z)#eFi*Y28~gwJ4kh?QFD=-WKz!|GU^9v6q^<3B6gTH{nl%jb1e=6B$LdE0e&$M|rN zTH<4#;?!86JIjrHGIToavAbn8r_s(h4-n4CA)YlqB4Dw&^M$cr0~ZaBNfqy#$T2HC z)FnFa4x#b(s;whsF)V?E4Pb8(T*h#9XVT@)9MTdpKiHVCFP3_PxJ1vQ27FRn) zWDwyR`m9e6q3I2@5rV@NQuLYBE0 z^j8gn%LkjZkFr=A#Rj{hO~H|#W~i@xMY;YTf}qp7t{%OK?RxdFUG?m3p7T-aYaqER z%@M<_?R$;^hl+Y?1TL?#dWr8D9AQH+k*6CZ3t~%}(1&c!h8yvlKKV^eUEL%0D5KiR zxQ^)s;c6p(@0-#k^YJW^6lAPUnRFg!iJ4taElfP^1}1G8E(8ZZKS8^O)v(gBc`5Bb zV^Y-(J!Ve?sX{|HNyl7c9Y>wEC3!_&@NOZHS2_W~Y7C(`E0~*h7C~|b_`la)5(AM| z>;qONL5-rq0-jmW+m)X;{K40qZ?dl2*tzsBGOal5lqPUw8|(1Nj8A$Rwl&rrJTaQx zk0YZ5Xq7Z$0-vc!uZXm2^|?APSs$p(-#mb@C`_d-ybBPd(*rI)9c*5o8r|G>0ORCG z>*rMb{JJ;{H<$}QtNQxQ+bc>-Rf#xVd^`r(=muzO8v1*G2#DWcYt>I6&6(v45CKw` zYs`^9``S~gx2Hz2aIJpE+-=?Q>g`M3+ujIqK5@+_WTfrOOk(H@f4yciPpJskP=4L1 zF_38SxpDuhqw5@_#v#lBRJns)0+S`9r4zK=Cs)WtwmPflBmX$)7y%IOi+LcvTtRxEZFpp8 z>pv1~LmKatF~w27IT#qJ&eyrBV#0CZY6P$A(c?zGm&f_Db;(*QSETmm8=Y&4UWph) z(#!>KcK--=P7C0)oj=HP=_Vo4^S9SX)`8iI#n!lJ5?4S2x;7KNmD} z#+ny|v`R%hWqM7C%)uy&d2;w_6*m>JDP{(X>B9omy+kHwu|_Av7hbwlNvtQEE%~Lq;1fa z?5n{@{`8tzN48JgbXX;HDsEoqZ=>$l&m&8VfKI%f$ybT-Gn$=S9gM`5tVlTi{*Yya4-g)hOXD0O?Tr9D=?>OqD6CS#$0p*c@q!1?!<^oMCW3ioBl5HF&*T>{C-iB>an~x{>V#>-(7!9(@5R&Zs%@)i2NW_-dnaf-83k1g1-vA=aUFv!kb=aV#XK z#kQ&H*yiaGzZGQm)Ixcut7CJ01bym@ob>#MGw@HfodvEpXY4ZoBMk~p7}<2ruSrxZ zg%>{csA3(B<>!EY5hx)pE+Y3{!BD@s)d*{A1yCM-P%A-kqy zB<*dlkgt4}8#87^^F7;p1Pw5)veIrcd6UMT`H!2B$frjP;d*@-8*V*@MDiVK7d z8Wr1`c3TUxBX?-G^@(+bmON*J;b*RcJ1eqzzw_*pi5$riNS$SV_fDra+$D|4JVLJOd>-+zZ^u9 zOnFl7UQz*G;Z2&Y#)gv14X~g6y#sf8^kh5A3DCYVHQ2dvqVm`zOg0rNE=ksxUUAFE zgKh_fOxY81VUD$(k!JX9z}mbXutl_ffAt+8c{5@bx?o**n4pN{C0j#5%+qb#v#_=H z7IZeN)k+kQwD!|2<2Asfi7a4`m|s!@?+6z91U~8Zidc`;!mn0Nq1CbF5PmIfw`HxX z?l{1@ye@2q*m@wyMf2$HYKX0nN1?av6Z?^oz%&w@>(v8v+Y#E?!q02A@>kv$vdziE zg}shgPnPF{8F%K56Fi$xr;LioX-pVBynR_G{i_2md`tEY0zAIWFAuxuD7EX*Z zE_{)cbIK&8F~qfkFY0Ys-|N1H38A*CR%4_QR36#Mab@|_aT?JC#n8awfVFkr@)4)=q4cl3`t>1}Pu8{lTm1+35gpt0y$pq?70` zwoM8Ps5s?t_6|fOPy9Sa_tRu}KD8_JljpMgYY-NBMoZ`O*<8}2`SaNqQ|MxJ*(LhT zQ~M{Dm_qo$j>+d{;=H`LH?!~u4GpHZRBK~%lc1vG9y4mHJ##gxJ$-3?dO_P>>#sWj zHp`Mm+nX%Hh)Q>B<1suD5MryqVPlm657{6ZTd@0Sv*No&EAl1fq#D zF7Z1I;^eo>pg@0|LKmsJVF)XIVx6YK0aC+Wk#HTfzG0z3x?iL-e- z0qQ_9M7MDL@GfH;=3nU`z;h@N@`b-L$5~+^bbC@VGFDj!q$>W(%vwN`l9KAIs#z2q zZ|B7s7k27NOT#y~rufI~z6!^ao=vMLK<3#iLd8)q=ryxb2mV*g@l}}m1Gm$bl;Q!T zEpbrXZ2!0F8X@;y6qoO__1%Zl`?Q*64NY;|GXGILGBg-VaL2(zLMMVl6CF>)FSkdB4jM zuDg!cMq=3T1ioQ>u^t&@(uc+p2?wjeMi%YD12Gi}oi_%udqDzYg2UDrW ze8Ki@WTEVd*`)bMl)`@C@wsIsb?AW5I==)FQz-^52iaa5B`0#XnS5T?>~OnD#!`cF z<-RZQQ`^JwY*OO)D^&osgkcxy1umHf=l8qYo1`@UL-VEi6vx_LKb@PbAr5djl= zdp2RBnOucx-_Zg3>fz2nDgR0cB_1ih>P|t;qY+-4Xg%R74Dlc)W^#1b;&5e3v8wq( z7?sA2T#h%b6cep$&5CJ778@~e(Ga(qL*1BHEX}+QRDC+-!O-4`Omtt9i@y#a=<6vqvI)jZw7ND(P_u z*mD%IsO8m2X(k%I|2wZ|ND(gSJ!xCuzHrED2#VazwCGP5i_c$+-m5a1pj^&Y&%fBf z^DZO!n=4mTQ3(&LF&_x$51+a!Dl8N>GoyH^aze~_*p6=FnCPsvp|uyUuU2lx6Yr%Q zs_G2KXEJL`fD`<_3t4o9@Nj3trfEs3RU9VRYQ?52N+`pDqq8kXIj(r{QOq(vR$FwC zTGAv~iD7;xFYV0=X5pY(s3NmsZ}uO}bEk|oEj4Tgao~8E_t2Jl-3r(&JmCmFyTEhW zF3M((ReCyOwlBVLRM$Aiw0K9eCfKkC33|vg@WFn%`j!ky6D|;}X&J@H0FM(|BBY^N z5gVLPrlF=Kp@46s`?W$QoBn{jSUq2!v0v)X7qI9RqRd%@e8g*;Gi(=CMU zwL)lj0#>PGq%JesBT@I|FQZsm|41e7G|kYfcp6mKCKk;uST0sp6d%$IP2YTBCwE?J zLdA`$H@lye`X2XYJ75Ao%Xgv?5uJKGk2E;6PCkyZ^AMFOPw+F&eBQ2TuY=R8XxBDs z-^@q7>xw1<3LY2mgHAGsFZ_t@FVVyz3q#le!$GpeiPrT3 zhRZs|Kl~XPghs#)X#2Jb&~vpm?;^Y0$p)?&{LF){Yh7_Og*997%>lyZs!B$zfw}vi zG`*5dt$+3bI68Kyz!>Hjvpln6rc}2RutgV9$JqMUVsmJ&ZG%-qSuhMgE{c=t@H~kw3 zM4@^9p@S(hlndt=tZ?=tE4c8Pe6T&YD1(9wT4fL46 zE9t7|t{$yIs`u3B((^<8#}KzIfegAl4Bno34aJ~pWxgnkFM?%?E~-ml%XYWxB2Z!V zT`5gHjxuKsUuvB1FzUwlaB_hePC&@bZ$2rCp;ThnM)XouXe z7wl!t6x%M=ikPWkH0!E`FvH_WKWc3e6?RXU)Nw1ThLH6j;Zv7FAy=p$K6ZK80&u@c zRp6va1ydD&|LY4I)sj@ZlcQE9#?O)4VmZSq6)8@qoW^6bd<$Ybux*LI%IpG0Vo&Tn zdE?AxKH5E)NM}^D@Fdt6RbYua+Esz7hE_0mE;Ex&${K;mhtn@}K|HqJ*|2+NYlIjT zW5n;$9r%UJJdxy_4>~y=I+LN~&+q)Z2==RHphKd)sh<4uTP1Hl+|$^c+Jw{F>+3AC zYvw1=@JPGl!P$6|1Xu_4hdn|H?=r_N1Kz}6nm;6#K)e59;Hau?+8;HH;~eZ zIGRW(YI`Wj9>ygy!yIh%Y7{^;_C) z`A2y#Dxa!m#CFZqAxo)<=966*dd*zKNL&>k+RUAMWe*#6W9n>6Oy(7As=TY7BFgAV zJ{*BFA|H>*%LA$k@6kOj${Z+Ay;vFKTL}J^&c==Z~H6q z7GLy77WI2r5m>YvC)|%GHFk@ax~&o;|Cike_oD(89>=L$jO3r=L46}_p?&n}=?#8*!A zOnKrh_sKWo>G9!Wette+f`51{j?4p}`;K8WvqB75D8U1NyjU-?~-=s0X~An2B)NkL=ryGhY(0#o`DDWr;mwO^FS{=Dt3;po3&i?~{yvFu*srzF z1H4XKk;!c4#@mC5l?yhYM9UL7;7aJD)Py#eiQs4RE54GR7;)&zu6V;%GP zgHGweMCxKy7;HqbN|pJ&G$y9K0GQ0&QHFAszuM@xI2(gooWXb2;{toL_GEDe*EV95>fE2yN z$q{?}m6(%yl4o~bL>{F0>XkKrq}Y1|B&!L<0tmbA&NnsNeRy_8(+@x6-;-eT9iQEw z_ht49+;$uN$pQ^+UR3}wecs>Ju>1D>XNH=Au5Zb{11~qw1u?|S8A{8g`wRU%O3+uI z6hzf;{ot}gzq2VYFBon6FA(mqfy8maQ8TVd7-#xRLJuu-wRNbP#{3YC@q-Pq5$ulu zgnC>BGe{}IxJqs_5@Ug-;sOH`TpK1hnZE=4jt1OdTI%Y-S642>DI9c#JpER1mOXv# zPdR=>1J)HUICZHM(~1a=x~k3{5#jq7 zYeA-K=Kkgx{x-!=_vsp?qbeUP@b zlnGka;{KfLJu#*w{g%rG!oN;2;w{9j-blO|eA7yi__0hO`-6h!bd%Qv1-I2j;eq$%O;Xzy-bKAXR)L@lYUS2I-yPY|aT-PC`>V?Vgpwz&VKu z(TSRPn!hm+8V)D?OX4%50z)i37URSbxEycNiZ<~I#OTZkxRZQlG`Qkrl^;T^>-Jdn-c=TO8L_*bTeDfSk| z5X}VaO>q4gPM(@?{iYEK<&EE)D;?kE`Zur&w7rHX7V1!O22(H(YKTDrY5zs9JV;P3 z@F33~Bmei~A7GaI<7yE5sxtzVtfv->OxQ?;y8{GLSw6rhq&XMjT4*#&A=NFN#itRW z0t%op_#PP3MhlGLib3?mw!v@ktsBy!h;SQ(g+~_E)WkmiG4*3~-9r3!y{y)Gj8M;E z?;TQi{|BiNz(xjzanog_8sYYq@nB9NYY&3@2s_}`!l4J{!7*Hg)fgO}#28MFCGzzPT8Gku2hU*AxF8v@UzC&(QFwf^{tUNlq{Eq&ZGE{B%!k7 zE#SGxP;wTlDB<-RGT=WtP0^A<)msWMO#n;z0>gn$@SnBcf`#(r&hqs5Kg0m?=go~XVG2J$ zOHJHU^y(-2Pbkl8)3N4eLk@`2?VjANRPD{SnYNkpJf?!aiO&aKY0{!J@jl^Z?JqeZ z@htv+2t7Gl?Do3Lss2X4XG5M3KDxxj*Y$)Wwf~hJdxFx^X zr&X#rwAN9rUdBxlU0ZA}7FU+UUy=P-6r^f3-Nos{SE}TUtdd|8DHR4uQv-_Plq4w| z%GJy4VJLCM7#jX4;T6SIOt|#M0Vn!};shdG1iu-9L<)Yhv*dCHB$d zC#kUFS^rj~0PA$#ko?V2QMPBPZW9`3DxFILSJV;eg*8FQZQ@4EF@)97P{0MCkdC3J zTu*%dZlK}LWk|%QwhF|vNu2!m7v5q(--X)YG|!!ox|q0ELR3WduQ~3pdkbt>vdjpX z6uW7QQ70v1&Wl#pZ*8L6X4M7xL{)4td-$UxVqSKvm|pN=`(<__f=*@S7eI#=8^}hm zdQf;od~J3gEIHt%QdnVQ-bW3!a|KhzlBp}(+@JSm1Bts~zP1LM>f;|+A=wJQ%<9;$ zcYpt22NRj@b~oH^*zF2l<-MCeUuVnTzRD9T$THt#f_-E6y*J;zyyr(EIB>)AoFa*= zwFfafZBcW1imafQ_Jkc-Ak1{Urn^pmA-I`FOZdemL6UxdkPUL*bV8%eirCc~Xe?FD z^;xufhWbPJw}GZXwoT!|rkaA(4XFb&kDpW4dZNKe&f~5=dxz))j!dq|abtruE}yNi zL>$ix$r|1v3!QA%42GRh;m$i{K+pS+GUyPP6c7j!3HqR{`QDa!HN2lkQTmmtltIXq)jG~jI@6To)V3F#lQc%Vi1O}%dH9J4) zB|6G=la3A6^PB4AWTh#qL7~HF@sZ|Fsr^Qy|FyJWnW3JH<~tLK9kzGGO+TOuQm1`6q$ou#Lv0~D*3Fk8>k zi%EDzSvITSb2~5_8&ewn{>sNdO58FK>l;iUGe zpCSN&bS$|-Q{Q5kzKp}o()~ktlfPioPxyhq#Wl4sn*YncXm}Zv#$8U(Zf$KD?oZ|= z={W=Wi0wwcY;tz67GAcnqhl3o*v%rGm)aJ;*VSI6!FDb%0o2^kw-?7aUulKdJdFL# zx4z9|yUKL9>GdR-9o1Aze_}x5dSf+l3!`A4%?BHZw_wXPH}~*#b(G&T84mZb_&iP! z;7|yitH0Uw@lIz)zew(khy(cm5-vMTjzr|gz9@n=x8DXETx&jM)pT?v4mYxp+I4ng z6#~VZ_eI}54gjiG58nk#4{W<4gw~VzqIcl2rZ&=Q&5~M-EZ=`kI_?Anqk*;BBbyP3 z-clc^R@FLwbCoz|{Xr+xeBx_pmA5T-Y(Y-P^Ydp$lU{hT5?6oj;rW$QXh8}AL>v}w zZ?2t_^Y{unvj?dUI|9e1D=G*{Z0d(g$RK@aqyHmh>kewE+tZ6-8%`fF-IRBX77{&7 zwaX@Y3$`zwBzWw*7#K(}hCyN0GZy4y#pS-eQOmC{=!_#zIgGmUf7!zL7R=WtTJ=qV zK1xY-^nXbwN;%>!0V&|M-mItNhP5vmOc+V%0FhIvn=CyqC?x#Q!}6ALmhNzbzc9o? z;!QVg=x1BpPu1}i9SfE113G_uo zAS|=`;0rSGhi}$OTC16Hv{8A29rNjayFQ#VT5IZh^&uo?6GBY@(b)(-Pvk& zj##wp_19~FN_UM=y+u(fN6|oxu?*cPBqa1LY)~k*Y`{Ay@Xow?xTdv4M9+25EWxfo zl}V#5g#WRblLnW%O*W<%y8KSq7~umkjfg*4j8++({<^FFggCh9DmIpp&f+$WxF`4r zH{nNSpshJ998F>MsVMS!F6Z{BFuQ$OK3@1d&i8y6%oGTWIIG}utB}*?@|%8a#!>NV z)O=B#aH%Z@R$H(Ps8Y3aswQ=2R=vqOIu(Mt=QPxoSf>T@fN{Qj+YMSDYa&y50*3w~zZcG@ zz7r_~gc7yKV5g_w_5`*LePwzMQ*v{^l?uMK!O<%(`A=JY%~JlAHaQ^4h6E>_m;zJa z%N{qgkW(Jpmm1G^t3_dwoYmvtvUHk=&<_UK!M%POaG~3D1d!BHD`K4H$?N=#cg)9* z$N9V|c50CCxI9Ra?*}?Dp_ux!MS4h9(#km7I$EPa_Ak?C*8?N5B}OLT&BdHeNJ=t5 zH_|U#^p`S6Xs$<=K|1YA;=9R8^yYQH2$e ztXMi+yD_xYyk>%(e+{QSRb{o3Q;Z-!&Yrdav0*fG3{Z14f(xi1XX^!Pn9#j(Fj4ML zm@Qh{4-+U>}mCiT}YXsklXQU zP|6}Neg)6>bjh3SwYp~qxz~)nsRBC6-enMPPelEvIVi6gz&vYKJN+vS>QBL?Fx?RX zvPY>^&7e{|WF?6f?=*>dx>rOl<~j6Oa$hKXEiVxB9~(6SW1XY;BciWHo!9e?%3o2) z7+I;Bh)l0kuh47@xg%u?nlbt%>{M}kI@l$-NKRc*#fggqVs(L|E$A((nvRbygsMrCjLo;Hoo9Z7tSsDiGLuNuvxZ(5OZj9m)Lf|0SOsT?#^Z` zw?LoM&4|?r%X(FJ2MrfaJ$MLZ%aO5PvYZ2_ciO7^i#VZZmHP0_XFX4tdFgaIjqm9*y9dugvs2SB#U)2E1j)czngw6eBZ%`&H@NIHV{>|>EZ;U(uV35xJ|MB&f zVO2$4yRe{$gdozL(jna?(p{VG?(PQZ?vRk~?(RmqySuyj7V7i7@A=MkoxjH3YpuQJ z9OJGrtvZT>dnDLhmut+}?N8=?z!%(OP~x?F!2OBRHhRll@2rPX*iqFQ?HPg9 zI&0)V63+e1a9mFU_ZIRa&*YWvEjZR)tcn)5BcsURz}fY}iS}Y$Ihe6!jmhlj))f%d z{e&L>&ZOe^rQR{wa3(b-zH)N39-6YeDyDXoRD@2&yOOq{P4t}ECaTG^h`qV2c$e$V z3@Rw0#9W*BzwX<6!u%DD?j+mzoVK7u z%ibY5&cEq8C(XNDM>?-dY0p#3AVATL+`;yFhjSce=d({vr0}PE!-J}Vu2@8-0R5K{ zfO&ejfzK1PlaD2jt~aloso?W7)JQIEzBh>JDdoH6w&-#@yK$j95SjG5ijwnYlH0p` z8q(MWk*{ulEI4mDFb=kd zZBv6cujvf&VLz&12oj+0P|nc2Ayi`TrT$K5cFi@3=0Zq&d*;0(CSwE8#cJy2YpcD$%K;m#N3EEiAbg{3A2#oLlOUjc8 zCq9(s1nAkm{{HsyY*9{Y>L)7rd_P}bt$`Ra;{-;j;6q*sG>dKnrL?ul{Al%HhdpaX zoToFI)A(x1jor>cEyqS=0fq>+ z%%R`r2{x%YewMb)*a#kaow=y-_A zEvTo5EHDl|%dt@V5dmNCKWqr;D;KeiO9{Sz=y*6Yg6D7oW{DJ@T)eO){a3yN+PJS? z5xClBLgD8vbD_&A1*e;(?%wAcxsk0FxHaR?MHY`f9bE5Nh!>e)5fEma$oOe4RZs|n zYRauvSb7v1P!Zz41a^Ms|JF?nYCKNJuw&4FAg`l43byH=*DSFzm*#eSXrXktZNCX% zwopLe;YiasCCJJGHaMzip2~B)aMv4b`<-nq%~o|Z6xL5^9%o-9Cc@Zmx|hHO&GJX+ z1#f2`?^=kTX*{pxeh>UAUNod=ssj9fp;E9ia-Q9OwmUhP@qK?M4+`hV zVEQuh3oWLqdeQfor-X?Pbps8_{*SJP`N}QK&CTWI^@byX{-DSd*G~44f*p+Vx!mos;^BLk!|6qZ|m=#RP z^t10Jj{52wLdJC4Bh0pTQ}njiB{U{od@Us{XuqX;Q?MxG z?vOCQ1a#69DR81Is|+(K62U|CS}uyD_)Msn2dHxmyicz{0`!budx)?6g(HB;Uipj6 zva+vuN0@@&W%3Gn3siT8Q;a06KA`u8B{;2cvRG$DfD=l}q!(vcpk)jro+R+t#P(W^ zTsDqnx)^Yu+v#)&4BlC#kzB|oR^&Zv3~S=jXv+U)X`GZ_bOkQIDVr}+BwBsR>lJD6z6Cev0;{3?W% z_vF4pE3hkQj!7J+U+(vs`JaDYqZunRs0gxIW!=n~*oO%v9e5$8JKhP+^49H$gDnO`6 z0O;J8(S3oo|I#@h{^&lcT})=;3Kt_$Xhhu4YmlrY-I-sYJqcrHdyQSM-xpX$fJg-B zKkndwVYnA--ZS}cn{br=hb`aCSJpK!YtJxvhJ=Z7_qmyIy+%r8!sCZR&TR2-lVNiOQL;P)wzR6627K5Mmt~jh%{eq;&b57zn4?$y zm)_iYgjwh}A_b{siBU$H63s5D@6kQM;x_5LP?y=ITn37g%MjI_dX39hnOkx&zawf?muHl)h-U3}8%>7VJU_t+vQf8A-#6tjks(Ci&m;7{;bdSU9R=^qT=FwO zHL-NBAOxca-ZvE}&t()NL9|Mw`-$ee)gsey>E2B$xNLoIPE8-2=z>@XEBRS4c=8Lw zCZWh57u__We>7KMWHiKxvl=rm-llHByN?K0pjI6->Xomw!Pg874|iyK-d15z-#eYp zO%4a)laN4)##3JCU3|s;m80P-a~oT37=cY&cd(o)!Rp*XR~m zw9!(ZN?&^N=?%V`+^gNP9Ec)~xfch94|lfvtgMG_ooGJf*tIj@>-~esP_JIj5AR3& z)?R51m;a;&BbJ2?J{1dL^FQRoYjoxQa??}3)H zI0e}o=H_3bR?-7DVA5?9``^0wDy;!*1|~z#?nSzNMr?86iW9s`>zwc7(?hvaCuG6l zi2E7KdkvS5=mUg?i27$r8g&0IYYXAS9cy2YBhih-&j9+|k&c>|H_vzX6cUjml+K?u z%t!dAQ8m_9j4W6tw*F*-U!S0mOGN0MsJ;mcrr~{Y$kglfOz0R9Ednt$)k*>Hr|lI_ceszKYhbDs#rG z!`AWZaD@2qsPMfx>pdK;z$}S4tUt(llk6ObGMb z^0?R$8vEY6nN$OhP`sg>uhKy(Z~7D{e_k=$GHGbg`etbXmF!ypPbFi7eIs^__tR{( z3w+C?aqa>MGqdED6}wgVtgMulme$(En7rE^BRHI^L}gWJ{kAY&OonD{@g26M=V&5rTv^t0 z^Pdn3!(QdaOG7m8);^EicId0v_h3?b)oN3aYYujBF0eBetQp3HToz_PnDd}{AV8`3 zqw5gaP}4z-s{b!ZZ2JLtQ~tO0CjhY9VK+J)@p_qYiv)`TmLqf1J4(nj^XJ8*N~b~8 z+xr7fQVKFzy?VHr=3kxk^KMe#pnn~1Tz)V_Af0|ctGY+%C?QN zSW1&q(nvIuV)P--&mkqV1&eEtVevq0hi8xjDM`C)plX*EgH>(i7w0&d_4L4S8ZIAZ z>B!7F-+j!%c;0fX3btBUiN9z~xcej`F%N-9}oDIJ2P>YH-(dcbs!!IRII*KP8aR}pmrw0)eMWtDS3Hv8;xh_+XqV3+m}<< zVb`Whe^jgUTc|}W`sLbDPgdtD7x`gEl3X|5nbM+wmTdg1t|0jHE<{GdFpZQ&x#8+_T#@$BhN|ji&YS2YKn(WG%L|q z6TKR)UrET1L=#8L&FsLPyoK|yTknEmUIhSp&)wtQh5232?A_Gw#OHv8G){V9`Fi(+Zf@qWocJu#4*>;3x zhgCYsOLUy(+dxznEv;4*CZaxvVp_xPUF&a`g-qSD+Md%CoFlFTk2^L~ zp&X%`J+I_G9=kb37s_GYUu@5|EeQ=RoBK93!Ll4E#Qd=Q3=r)0bgS~Uul}R>CZ0W1 z0tH~knjo$jKV_dc)m)J33UzUKQWPa{Wu&k6t5>mHz7V7Zxa|T$LM{ib0pR8M6E@#W z1MGVH$npURo4sg1b!a)5q$JTV`T;I~E*M8=CEL4M0E74OG*7&;yl6_5QqPY0&mIM zD5Psm?~+k2v5FNgr&W5_G^#cJU!s8XRgwg_Qzh-4BAOvw*`BQs!yg!|`uTrbmK5%m z=9NzSn(9C7St|Iv|Jd=@9w}Iwaw>+!Y)*N*ad11++A5NWFx z`x*V)-sY8VqQ=n&{HgEAz`hG);fY=Y5XRL^Y~ybsa!=dVSL*wZCbuzaUH+H9>3u~x zuH?PXh_=5DY!7UWW-l*fhSAx6Ivotb@k-cM;WVSY4Z$BV0g!ILdZFmy-LZx`8Tvb` z>e%iueDiP=cX^gR`H}puCXW53@}GJH4$43LXO7ILcdrGoy=evQJ9l=#-$MDp>;0>R zY3|pu&})(U^WQ_i^T6s7dLhyNEsLW~9{@|zEyo^&tHGobb&)^Ic}=B=j~+ykWh4ZL zU8|6l%%6P8sP_kkLHVLan;XrYW5PTeMR2YG1ZLQtS?TB6GJ2T)uF^Af|){1qHq5HQ1>0cySL2!4Z330nV(--L_NDZpK=BchTH80&h-{0+@oj zfMm8d7Urc`R@v*t|04U7K-nF|2U^7UTU%Sa-)nq7~m^} zKsNtqUo#%{-SPR}spaN}(XD;zy!2oF8y2k}3qh^n4+hHz7msU#X__656x!xE-rn9% zTv^t1{J}yZ{ObfGnr*tjfa`)pp%k$V9%@HmRJ9YH2GCun+FTTwj5Rm|W`9N0r<8QT z^4zAyIy6rB0JWT4S;vR2$?jp>X)0#&(2RMTO&AAsBSl`YtV=>0sHhD3ykz3uiDAs{ zO<<>ZwLxIKz6=0;+XbXE%B{CVW=)^{ze$3scpqx8KViFZa?Mpi+;sI4JUub0kDRzg zcm+BvmJHbKEkZdxG(##+f0dS6g>~@B9(UtU-3hoEe$)4goqE3QaIF(jh%;9qSvny2 z8q2ir$5P5Z^4Z`pZ^IUMX=M%hlXY+o{!)wl)ta`@&6Uzr~eiw z5{Z+GFzMU7VG{1&u8zSRxUWj_s%Ik*D2P;{dCrt>1@z>e>NI-zpFOi>kn4ir(l;GTXcA z<9#zY6~m=c-J>@n4bzm6XuOCnjhC9r*HOW#wc7gC!5s{d};u{5iTf zuW}}%WE!rqIjrR913ZlXmt>TDsW)GTBwB1i(iju=6owvXfsA^o*(yFxO%MDHkgO zf;RMn{NI!rbyQmeg4d}ofWLjMr?0CdT@){ivv0I}Te9*4 zWuLXCS1Uo(zoE+blCOUikxK&oNA>x^Q~BjjzM!>yjtgur;dP4w=CTmIvVM%q7EPa= zAFhu&fnm>6a2!1#yT<|{qUJbS0Hgud-@|WRBG`YoUqir?zwFaAY7k+u5H4#__*JU| zTj-&e`)px_%ZHsY)y$NZpgEU_=-||}&4j^F zdpII)dw|Mu*WE=di{-8ghV#cBpUnuF?N74DS*!wC-5G*QQt3IW2rP@D>c{NU588G{ zu;`(qCoo&i=~v#MJAzwP>m~2wA4q@&whhut-vrO)>d=d(bzb=A&$Ms74c3d62TO(% z^~@dQqWwInfV|E=Rw*cntH=>uT(+IWCx(8Ps2G)HhMp@k>9?w6*l-p@vktSuV@)>9 zYjZWqz3UwRZSM^opHl6c0@0dJhGehuxW0iwW=6)kcPjtS=`|Mj46JH~uB3#7fLnHp z9(a#S9ge5V2^OdGcVRdb>CMVMv%gd=IN6e}ahRFVnHhlC73< z_VM|{Y5h)OB&DIT___;_Sig!MS>Ukm;eg?}0cqBl`pb5OQ8tH$2nFO6?Rwsv87S1> zY{x&#=26Vk8dylyJU!L?by5J#xVyCSOOWX_QBLY!N9Phn?0u-S#=f!wO>X6m z_G~z(s<;Y9FDAseMyun*=U7uWD>C&aWqA%a0j44G0+UQj6|i}mE4~S%*TV z9{OL;#hO??RoT=jwwCmIPH7Goru)jk4scYy4Os7K6o@Y?!_n|%L zF=NkDHwRwy-)@JsFY^^%2mh=gZI(#-bAj|{&zC;8M?{oX#!wQgqiE``}C|V zq+;c20eL&|vh_SwN`0c74&<<)Z}M79iv&vb!W%T@LPZH?6PbQ!KjS7)2`%^x9$t=8 zU~J`f>`qV1;Fv`lxKH8VD zIBAw&h%Icakea3?0h5X1UJ$K2-Z6!jTaD)32Mw=2n~G zAqV~8rY<3@(Cagdw3(ZulLvQ$gyQDblS6E#lG<-up;hu*)Aorsoy9S(N)9Jc;0Lq1 z)5YKMGOCo!Kf1%kUl9|#I~Qiw$>UD_)NK0FEa41!_2EPARW1_y-h5X>R+(M3GAz!}C0|Nja$nS8nOn%ZBN4M4#_Zwfm>b`8XQ2wJFr> zF063~gKzUniofe@it3XaT}av1gyAilUV3ybj%Ka=qiwS$Hn%5(6fI*+y1Bu+Q!e#l>uR@ z@ZSqSn>I$`!F2x=N{2|7VXshqfm5u7E;LC|Yb|i2A0Z0Pt}mM(c$3_qStW9$M60K> zAj$&gAqF>;@T_uvSwp|C0RG;YKCBmNU$282L1)TCrp?stU?et?u_htapQ%dfjmrT# ze?!8aL$}I14;?7eWuJhrtiZO2r}9p<6wm2bu<)4KQUh}Gb3tk82$MaFYPwv7@Ie2dwBFHRea%w@@USaaZ;Y@R1#xVC97(Sn^W zu?_fv=9~bMJ_7+Hz@oytK(UnO9S02ymA%?u@F2X%((zneT*_T;%mF)s&RU0W4Zc#O zeG%u%V>`S@SmVWo<;l8}qwDXD1a1#5z&;%e0fVwy(s-OPf_-}`%eBaOA<=Z!S!;S8 zl_rIEu8eCeLTY7IfXfETdG2w%F`Cquhw#@i3ro4CWIn?-a`)OKP`6u#LYIF8rEl+ zxPGKNCeQXV$}c*GU~pxa?aQe?A#ePYEoq}?d`#uMRD(b(H&jv5#!PUE>S0MP7{kgf?JRTUFdk-@ zXR@(6j?cvN4^F()C`|=x{1Fm;DwXOlz;MsRI@E8?P4`&xeendx(d09!nwz6Fuzk1H zeQ*>J740l-y2o&a><9y&UzrWQzP3MHte-f0{;sYYPfk(u5tE6O*`&ejX)XQ*MqN?m z$L};U`M6AV3r|of5NwevHvNcm)Nx?;;x%*Alz|$#0Y%6Iqr_oH3SIxGCT(x!!Y*}C z*Js$V8lVZRTjD?-2M1<-rwJFE#s*hr$J=ODl=?goK(`Muu6X6vdG0%mq)&+8G$bp0|MCx1EOlN|hpI&DX zh_QmR=HuOwEjp~Y?O%+G(umjl6JYgymtis}M=Jl#%ACRKd(Svzt`VR zY%|8Y3TG$&`H@-`Mz$-ZiC-66hGW`E^c0e2#dn8`i^r-|l=IxG4RuXY4j_>XHh zD7f9by9x4Y*MIvZymyOFIGc`XlFP6%_Bt{7I`7@|;a9h-G0~a@z#v`e&+jlU0#;qj3wSf(Odj>A@h%* zUWcl4kCbqYk5+?Ngt8R4!C*JW=b2 zDMGTo$T1@Cr&GwQ7+KI5)1;tAY9+OPORZkKfiL9%olStupZsft8h8mP!i&dqS&b;o zFi8bX0BamF{&(BC-liZn(MJ|B+!5p^hkIyuH|=){rG8fRG$HCD&>exi)K}$O2}9lt z7&mvM%a>kg^yS46p|P=0+Nn8nMr8l8Q6)sH#t=^Do5F`Ht!Ys>xu|f%e1*~%98L-J z%;DfM6Qh28s#pY6EY1iDG^y>4y$_^8!yki6=!Nii{EWIy5~4`p3u8-kpwx4N0T zf7S-w_aJB`&(}Ce5C3W1zu_^0DNqONm zC+55w2UVxeORd&`^pn}52cTu;PS4~Bw`W*~QyTr+y?7n@C;yZ2riXn31Kn@)8#lS=WaxhC2mg;Q2742Sz)L;E~z$vG8`Qr_oh;* z$%Do27wBZtTq7Jj8(tVhAij|HjU>DwqmY;%6DX0h8R_kDYvDlQ+}7@|SwEWPRjLDl zdh-Pf^mJo2cCvlxMBa~l!4Zq#=aMGmm{Icn93?F~SFnxmFs7=nOvgImDx67{Aj`yh zMJwHC{cqfgEjW&d5SIJa)XXUR>Y=u&hYrpB!a}*tjzsfv7FMNb><$vM>1+gOd~+gq zr8i%eL{1LNy)-g&BP1+T`$w2ln3b;WceRZTX_=s=uOwocTCw|5PVjnYz5o;sXOLiFw`F(>VV3j=G$FxK5c$cmNvAAz0`ksI8fVldw0!(%y< zM8puD`&A)dooznq)6uL2!rjG= zQTi|>68;VwG^x{h@|=xTV#eE$NPb||KafXOthB=U`mXz7k!a`t*%SJVUiX{YZ_i5( z9{C0EqX#%oGbNcX_u@$gSn@WZrb@%ftcYH1ywVZ1;7a>{0JL=i&oFskxW^;2&24%A z=-)N0nE_Sz%m{z*)D!p~|NK98o85P+7QD(Qtotd$mySReBV6dZO`j(0tYQ8ainm=7 z0GNSa|rtkxySlx8dUvzBm2Sre*YzC#Kj8!&$)fVGG&JpL&nN8-go`qC>Jb0c5 zGh~Q@ewY;9Q<60s?Y+)t^+~=TuBi9`0r7Ev-8!2nyx9eqeKO%+yWEnuO)!C=0m2lw ziMLzUMqywLPXWYM${P*+#>}K#eb#nvxg0awO(> z5aWQYo6x;TB!QTFUV~4-7FNwr5%nm|w{04o^-pei@%`&7IHdD*e>Gccf$Qw-Jl@j@ zect{c_?6HV#h_uO7v5vuzt*SowtE4q$Q6mkqB-#8aZdL3(STkmV$%7n1axam{;8C3 zPjF=+q#_~`pJ)+!lF_j|7>>LhopYD%PCuAgK4WaacWT-jkAK{ROOtf5s$arNf zrI?WVsTlr54(~7bss?Y`Gl+veREZ9L?hwg&7t_L5jR;fV7*7`bFrjF0y|OHUm?WGi z$h^bmnug?#J^CGNxpR`46ep&eNWZ9uMLSW^L)B2nuuw2GydtiQ<6d{WBkWos?9bac zM-05_^|pI5rpry}K#qu)6iLM7vFP0cFb<$m|5~T$g%S>XKd?^j4(H{QOn*b)vSbr2?4gGH8i~3tHy=%aCLX&JTj!M~PgXPQ&+5)0+0EU_xuuhBi9(ca&4mL0W#5r(*IPXnHdAVKNZO}I#!cQ z!0Mz4jH7NBgIc30Ak0B>ZL;6I+R%US)Fph{e`I2r1vg8%3{l1Bf<`$G<0wTey(5&A zQ1pmL0aMvqZ&q<=2m6B^_OC))iF?`$DjxaAVdzBP@}IKk@r$()1A2)Sp;ZUA>GTO= z%4}iEFnJkO}by*$wb)6U4w}zwusQUu)kS10i~v-VR?FGgqK~O{ZE^ct2hr zqmJF*lZ@Uk!EdN&Xn40SaJ_xGkTf8L*t@EruxV1kFZelol&_@YOR+|{P(;0agE1k5 z&r~s{DD4k`&Wes(j)1s(I3`^2!l4K~CvBznDMn)yy^!yqFgKU{=AoH^~q|>dA0Z~o#|%9KM)Kr7ihcdVm))Ksu&&z3QN-+ z@D68tubHninwzoN9gc0AxFb>LvXkQD{r|wZ1ILB)$J21Hhn+QF=7%$Vy(hbF_C`0sF9+yP;o2B%AFaE3EH_-F&TV z#*I}qCn_~IT+#zk*A`TdZx@;kQXPlvsY(8Vfv?R_bqc&C=MnTYl}CvQ>%&at^1BDq zUWfE}WhP&cmv{Sr`5^&_3lHCGqu(R@^K>+3G(hjzL_~k%#rW@B1HQIql&mS~JD5QN z{8x};?fb^5PuGSUY(^#G{vq_ZmyGk@AOY3?U?#*}3v9NK+GVyM5HQ<1z&w*W>n&)m zTTkx_jCg05(7 z#RbN7<+SZI*9o0~N)*rV+#fg6NFj`-`m3nO{`qk0Me%ZxHg{T2`LC8YM?4;hxx}W| z0PEsu%8W*DxlRg;!!g!-NXR|60e&DwUJs4ehTzZxsvxHW_mDE#**;JsH}p)Vx3?E zN4#yn%V#(FAF}5~=(sjo`U$*3@)*nq1Ld_-YpPT+Y;fMLREB?oW~sXbSrr+D)S9Hi$zv%W`?*0B-J?Inn-CcY-9pfyz>Sng~WY2C^tuDA31XYM|c zze!F}#;UZbsP${=Wv zNS1+d%uwjD$|`EegJIftVxa6PAh(VrcfdDz8GReSpq4%648pC#igYDY+78jZVeO9N z{=g|LFm|mh@>oP64l2=gGGEbU#A)4G4CkWXtXBL`Bok&T9%Ze|&&omP{qwC4 ziwKOvJUnhYuKY7^mO2|GIaSQcz><)NlwQ?WwbV_Ik8!&f=3wuFljOX&EfW@_;ua#r z+iILAZLC)1t8j3|*Y{yTbEr09j$?Z(UWlV!8F?p9wrIF85TSygYN zeJDI|))l3MLt6JkZlhI2BV_KbjW<_ojsj=P%XavgxxojIt0|iGazWNQ!-C&ckA0sv zW5kLf3qSgfP6guS2a6AM3)9UGWL$!<_5X46 zL>eUQrPP!X~FbvZn=} zDtvG%ZhtgiycQ#$^=Dv$X7Vd{X%6n~d*$iRpY_>&(k~uWdm;-C4QB`BiFS>BYZT6!5y#re|oL&`9D+An0 z-wMW=NcQEpkLMko*c7L%Dz?1;paAyQYn-zd%fdqULN@B1XV-#y@53S{9Mjmhe!ykd zk>7V)Qa%{2kCIs;BEuA##GmLl*|G0aMK>M(i%U3fzgtAGD4Lqlx-@2g3d^;mP3S)RVd68ea2`bhLLs4D+OQ2d;({6P+zX?rEC}mn@cj{

b3F*HL;Csh$UKA+Ug14(AayW(z2+!<(yj;T-->HU=BUFCUv0?7-DX8tL;>vncB^ zjh3xa;!*bUWK7YqZKVWUqj#P}q`v8ykQ*Ia$-*>8jTsJUaeq`qn$G}{%$X4KFFlnraRgVss3Ddtj!dnW7jdPV1d!)8cmh)cN zLYBNt&52)-eG0Ifz$lTEX*a$&`7lO-x!vz*Z`@)dYputHQ!n%96o^%xHd$q5jKApj z7uCrmbWLkH>e12BY`smcz1?THV#Ax-gx?!i%xbZ>rA5}wo6)jx1_}_>2d1~&f|5iXxaQh_h{Si{B$g*5C+LPxoOza-?>Tct2TLt zDzWjPr)lF8$L-NoUDDUKBg*qYt*9i6DKKT7Rm5Py{WJTTcaB^PHtHo`!)tSOi63!+ zvU%wgLnrgvY zFCkeM?|DGgr+dPzA7s~5vCL+RX3=c-0S0AApDzh ziuV(^Y`mvpgmCK8@-%Kq1Z}vWEdS(lgj<~Sd86JCx z@lkAYM2Mr&2m|`W%-UL1jl2CcJnk22*Ox{r;m==545g_5cqD#!7JdkTNL;mg>|}ny z3C4B&)XL(F1%pDZ)Wu%IrZEoXsyW&P*T7I#3|0%bMgvSS}U2*Q#mEZIea#jyx9uejM1G#!Ch8S zRrG5PJGzqUmZ!l~QHO8enZ$icnz+?2VdWHrZZJ4$gskD(7Y{jL$$=N~n_v_{k>x*J z$B?z9v#BVbkguly-X}7n1P|z|S(lyoNE#9cocH@l%7Fv5N?o1_9m5v$B)RX9 z^pKsv!?_wTd@25~egU#1+HwOIHWs;4BwYT7L|A44cs%>2f|xC*)?3+4zx%bzjLhjx z9{ht2@n3CwpqI?{A+ji3WAcz9LPK^QC(_?+#aQuiv8i{2v)*;OBWfR!BV)AFv3X+@ zTU9~y8%re4VIt~PCt9)3L&CHM8mzN^-<}F#WX=yXu`$*^yO=x$f6d|vXe=^F&(_P_ zu^y#`O(!N?L(3P8zI)21RbYt6*X19Uyu#MW27P0d;+wAHBQ?41A!Xox41-;eDg{Tt zoNR1bAT&DYV9KGa>{vseO^r*gO8`)uE|WrPm>>v@Oej{;IwqPX9HaNqr{ptj_!xIc zdEZ8}%kg4g`3A2k2=*QD%8$idi2WYlEdCC$g2}7_idz{sxQ*)^h!c@+x{MxAo7s=`Gk!fwqeej z%Z2h3?K>t4OIpLCi4S}S9W2+iMj_>#j6J3hOTb-aKG?OPXXGV?UO&e!;LKqzC z?MEU^N(V|DOosL)3QiO=9?12QpWu@+j;c@RVu&deDmZF;pLz8!g}=NhT|^RBY;)4^ zNeN8jJ(Sv9lz*+8y*gK42sm(*faA(x*}@#scOIQWLj69%M8#~N8TGD3A}nH|bxAAN zO}O8Fc+NYA|IBEnzN6deN8!JNSG>}L6g#x=*m=xvqeQwsOiTIo9^o7VMJa(wW$S$0 zUG>(#PA6L0e~}w(f%|%rYC+W^v|%u8bGp2D!Z7;rmmfvogytQo3Y11}_-~P_q(MBr z*!%Xt2LuunQ(t1y^P+j{J|E$Fo&xxqByQ)fFtue6xM`sW*-d|HX8!FhJY*a;r00RL zxtJaI6usD7x*^!}S*}g*;4Z%jajcig#9qs#1l*SnbxIdYvxO#~9 zvttJZew!GttlhdW5DX&ELr-=;dF@4zFibg6uDNlpv4+Q1p6-$zF|@dze6eMgu)kSFa+4U{ znaP#dc(8azJF)jWRnT}Mz;UtF%gCud$PtwytALHkx>K+)>*_TO(iII#=KNXY5xrSZ zR6O|-IpG=^`_YMmy1EbiU5)c)=q6L5lE5X?9nE%6R6;#gGY)p{V|&2!8y6E-E9jvQ zs;Lqg`i+dAjv&a$?$fDg85A!|pA5Yi!aj2fy1AEpuxt1dBV;^akdUYSXxCUcCX@)$ zu{le8$~;-QRU7W_*;SY}Q5}it#uXnbR^0)m%iWklCz_swMaa{ias7EFzYjD;XqH>v zwzKKrG!-xJ!P8$fws{~~W3cOSs;CA3J}_2`|GVZ`aSCY=97RD54LJDS#Z;q zZa+6))4rAD*zcYm|9Csuo9a_~s z$b&4oBvN!89ij#6%`g|Z+3Ic-?~3<)_J#3{*ZtSQASZV}ttNv<7HMvl(|^pX2>x%XjT8cLr(*xiO% zI#oErSDmfr=0!Q|=hH&wzi4f1F0p}Pg3XCuYs~8tFa(}}3khqx<|qGfEMC+nP5U&q zH94X)#(PMVD>z_K#3TQ5*VYyLHupZuO;nP~1QHxs^{Z82Qcu^ph(hjO#}+KW zXi1<*k-SGq=-VYEPuaxw$_9I0$rf@R?KJ&WBYs7YyoDe;vB`8{sobP_H|~5fNlg)W zWIg^wwMdDC^1KMWUbzZB=)Nzg8Z#ke&@cS(qHje6Dov}v z{VX{nvTxqn%D+FmwX?K;8pD8M*Z^=`9-w3E)AjItq|^b`4;TBzVAAz-Y9rn>*X|d% z6~)Hwv+FO-q&2UB6FUU^2zm`ZNXg1{MmP2&+V>70kRQ~2FnXq_4Hc0v2gnf$p~3ma zr@Rmxj+e-t(@R;&u>&m0^woBMx&zReh^=FBH$(DD8Dl%0GfDYn_$A&*3V-q2PBub^ z^t}@K6Ogl03$gukudw=Ib)HJ}RvtfP_d@ww#?y0GWqwH42LAWluGoIDyV4he`acok zDS!7yxG)TV8TyTKLboGAg+hrtYgiws)0i{tWc7~KX-^Pz<^KSjf5c?+EU0p;P2E6N zWZn^`8Ay&QU!>adj`xaBG34m+-oKL|WnC>xv8Y^@{fjQ^cXfQBdo0GVeWhKBFU4MG zO>s&RoL!e`S{3fq)eIfDH*beMNQutnPH(Gc42zDl7jx-*6!8zInV!F{?|^uj604zO zcJ88asF~C#D$Hz}8N?ZJv!OVnnrknqVUYjhD=tzg5H*bCJk+i&9hk%8J z15Ak-d$4OATP^v~o=%@}egb2J%@f}=UN>Xsg<6%8#17i+h8Gd5v3@E3iq5R$R3O2x zAz6`Rw|4S#s(P>Ni_rC<2^v&%`lg-64?!e({YkBdlKyCEVa z&|X%EQpbls3dIluwpgbs^c^>dn-OEuehl_#SIo}15~ph!5tzKok*Az4l1AhOI(Oa3 zH!8{uTRaDWA)%;S2^3kyqDF^K%L{YxaROUVq#5dwNpI>2tj|9HyKaXyzZgz*7M0sT z)1ZspMYqGqQ-K#Q6&dEriEZFUarvh~Jshg8_)bf*#lC&-sCP?{dh%Zj>W> zKN>)lYFDcdCgh9=`TaQ^^b>fkm!}JI78D`kmf|yeYcy@g%=29ueF-7*f8YR=m?-K2 z8U!Y8I!gf`A0Jw{%3pPwJC7_NQgxqs~@iY|j= zO^|bT_wJA{jaLZoUq%ryDJb*42M1Gab?0oEF;Yd(;s^z?)PdCy?dd3n`CawZV+r;J zZNggwQVF@})WF_ct62YY39x<#>?eTTFdCT5!%eNxl1yvO$BF^k_@or(XJVIdt;<=V z%}^}JwM|tIk(lRX-&(@Q;KLTXkr1JeXGWM%qdtQ(%kmj{5Y#*HbyaS9Pq~6g=OO-A z%Q8RfU%LqyKkx-nE_Lot&W8)N@SL2SMx1o&0iA_%gw#{`GEtFEz4+N^PF8RhaT%K% zwp4w$us6={?FjY4N~ea@aw|-W=w(&QtvzKf{&^8yV>phgk+BFFD zClyqg9%`xl6kmnZQdFA{H0AgHd%3ri&eqs%J(4^#s#1mS%ju(i-c_ zO+M|8P>H;ZXJ==RHrAkZ)w?o?ew)aqFSU4?!L}WVS*zt3BJ~b^2@(TWcuGm)F|0J} z1$GT_GBu!8(1A(DUk*9q;9brS@57^`?&GCKX?685Ul`Q+)WBDUr1CRX`bgOwx&M}c z-XNWQI=^1|48+#UXVCKcdLqf z;l-l;tNCDgPaT5MN!z6CJ*jun#=8Fqbm}%h7icra`HPIj+Kz{x{=SM{)&HeaOvB{< zON;503URcpX=BFLy(gqj_#mL0MI#tx@-^v$?)vXc{zR*_{ftCN6W%=4o#H3<%VtRl z7KJZ5eJ|yJ>Wu$p{Dcy)QMroAyKOut4c^8nS&8n$)O$dCbUPMpg$xLF#B)3>0Xdxi!M z+Ao}1C|)8?)W&0XE?35!VCVke9CoD-MzeOiekysxaYd~Uh5*4@_P*cTo)`09?ZW8> z#-su{c5u@yQiL{RbH?<*mxp@xuTnAp2ty2l%N9Kz_#X`OBv#MF{`q|Ux2@v*CD0}9 zK%63ozr!Zw11%4FuC39{$CNkBif$FsQn=_d??f4B^MwIeIxg&gCce=9A%v38s*kPZ zB?LQ72_FQa9kLKtW-`&0_H(7Cp@vpzh8Sn&j7`5y#ie=|oYK=z=aCw3 zY?&x}Y6uQBw*mn8p(s=wjOTJ(R9U5Y3+s&0vlu_yQ*PPdk;TQAu^phR;0zic#lwJZ zG=mc}=*mw`2Ea(biMoP`d=Hd_1_$zYg*9k|(>MVrQk?T1+tIwx0G|mTi6m0TW5s{i z7%2?3q_ksMT3m3J{bgUPo?P4kglMUmpVHs{4t`zxEPb+hm8uUJmLQP^6-a%){;@5q zCdPime5~JlSdZLtI?R<-b?(>k*OD*Ig1Oo2@K|)IL~_Y{x7fvm&?@`2u|LxMsgMcC z@Nw%Qqioe^n}oTQHdg1KFe;+grJVQE3k$3LSx?@&*k_b~8eK8yeWd3eY71;Xa92w-j(>DCY%z`ddKZI>8(8|mRHz( zjrk8ksR$Shew>cKn@!o2u6thZIP9Eh-F_(W+kQWPTPycI1i>&+$D)9N07o%i!@meF zV*GdD3=dI}-{eir^o?P3;lpQLRd?oQk^Q8za?IG&E8;C&Zci)w~~izD#xiDw)38fnN4!SN^*QS1WQn(q*`CO znqQwPbz8U$39CWhb8)Mbkx$E5@HVtFvcjYG)+yRjVW5#btG$#IJJ&HI`uu5{Poj zV~~PSz`?-jI5qiCP9C`o+q+QhQMo{B#KUXmyB7_B$Z{Y7ov=W{I3_qaxM?(>oAK0K zJLqXbok7*;K&iME3$)BvQPa@ZgwPE)$v&ctZqTNR7l9jA3{_Jja9@|Fc>GS%k;R`C{+fv@-y@_xiO+aI4(i&OG+vv5NP>*=Oud7sC z&;cl8qt#RRw;u+2cvRM@{3t_5Z#Bc2-(0+l-tVZp0v0g*^eh)`Nt{}V2vJLMVTXpO zWN~^!sIsB)SiM|G$8pw(vYvzZ`bzncaR2DO%R6eV1xNnLp0P;Rqu06vqBPMHuB~4L zUKsciKR8xp&7YtcBNV}u!zciLLR{kbRJ~7`Wfz5KeeAbvxXcpUr}KKQKP$y z(Uqm&uW^mOL<(Chgx!vJnXma#Sv8xuG>t>P7PRX*MAIXKcE~zn7TA0}rL*fP9`fUZ zh>#M*wD1LicCCu8M4U}es&hSlg8ZsH1u8%2;Cwq;xL`m5#aiKeV&6CP*5!yUGFUG% z#XMWG2+7pZn%BWI6-5h>+DFe^o05}oQejeQYZj+;`n3qKq&mNQJx%S1@yy_#`%veB z`7Ee*8*)^xm5`M5-qdSfV2?=i zz$~QrG_wA+qhni7h8XRJ`WlOS!2%ln5-_Tr-D%2pFtQ^^=81U2QFq34BJ7{SHlV91 zv1os=RH?d1ZL%`t?u#USFdSS?5vt}1*#HMj7ETfUDnvfqSj*hQwD3H^C6>M+2a6C95^@|CvGLp> z7$8Aen1W?hfB1_1wy({rhaXd&h!t!sr|roBc{dsWY`s^6+SK2C92FvF{E<)yd+%@jwT1=R1)(Oe%6DDOXO5PS} zK?<)REdLDL!8lOT6u8xXL_2zIDOG0otK-W(9wKD$cW#LD`d`zSg52ok+H6w=Ni`|b zZ&;gxT1k=C-*Au%5p?oP5mw166+W=915e*2=C+4%=3*H^UDDa>81;eOERby)qF}a0 z15o7Cv1Tk$F)`h#cA#WKGv-=L&hZy?(=tT=G5;fit%*R7Cl!zE-0#a;$n+o}x9^qC z&-_j#Yf8U?D{Swr32xB9XBOOz)e)$<3T-fm99Mfho5rj2vs2D~XVDaq`XSUm>*q~X zji;s0CUD6b*3ME>GBk$gBB7N}7R94H24!TXBE)~NI zekP~m9Rp_iuF4-QZW4}tw@T5!z}9^Au^=$UE7Ynk+9Gbzq6TcEeKXdLQy3BJQ(hEU z(M>(4oYJKcUsduYo~lo9{bRpg`B|G$ZQ0yobZf`_Dqg3pNvtg9;Wj;c0Dzqx#3QAq z(Y_}K99@sde+9m~=iD~s-|{d`=k}njZWK~f`iOoJCGlST4o647pKF6q0H3vDpRdF1 z$;B4WQfs9eeG&Dd-PV^=PuT4Q%T4a9^#2?t>2Q-jF3TZF{6=<@i`!0YB)XeXLq*B* zoFSP@G0f&&l6n}g#INg5SG-xMIGB`hEVuYNbs05v99b2IeY$pRr|ksh1-=-n#-o2x zXqu>&XB?M8b@6+l3*|BWlKUM>SrI)Zr6j_#a0@?gE!x*8hsW58ce!d6??FhEomZg;q zeW^3NMTSIXzWLPDsm}JB@=2EF&;l5HiYEXyLrRKV%i($L*BY}rB7{1JL6s3(E8?Nm z{C*F69pM@#?68u^+zypr6kRSWjZ~#aQi-TCUdc8K#?<8qlVqN@3vc`$=5t!OL+|ml z4i_6YFP9#B{w{z6l|-JsdK8YGW0~fD#dCYxP+`iImUgsi6MFE^^!LZue(u*5qtd_d zzsPgmu&8n|Wz~?*oH?tz_%ZVQVHwxs4DV79lhw%1^~QTKbTcr$%W zrSH6w8WNLwFgoZUcUhn6K>fdY?Cs?u11KtofE)aM%hm6?Z z`eZ(Ccf*{^fG*r>Z*out!gZO{$jIm`U?Ih~nrZ$F{1Gp=?b@r=D={J!qV(DB}( zss6|x#Mz8oXOuA@_-$cPu3A}wz7O;2m8aPH(j^3x7#=^~$WU(3QZk%Z)tue`{C;&% zZi?Gwq-1xX&MK0_z@&<*!&PI)2()bSG+I02j8?22sbXYoA2!D5{|F@g>vQW!xJlI0 za=V(uR{C{omTt%fr#b^fNA>4PCIy+|jEfc~@SnE*7vpVK&1UX1e%AWJMILSrbaE@J zVg(SN!Zp^(uPcwF7sl9z!AkaxJIblZZDX zvTM7D4sgl7kxKzVmfulV)$%co_|ZJoHz}v-YY4<^G`bkPS16nDO?XjnUdiO*i4!}~ zUe~WRIQ8>aXo{loqF(GZ{hZx?kbD;0?2$kNXYBnbQ4|Gy-tGENoSDU!8E@q&-f5t}}6;7nm%2EN3qW=8DoGczR2L<)3Jxt_lUv1xKs#%lGt zGg-z5p<9ffe$HzzgjZX%sgKZP7ba*{F=@HPojGUI^SSBqcPGiBGPrXDKFZne0^(5e zPy?4qijI%YMw+Disb?ploG5V5*GQaB88g7*IUhgL0aaK9y)}?lpP1hVqtTHw35`f>z; z9DshsJq#e*K&wMD0FVbp?AD&!P=7an`Oka^s_i~HgeEJyol_8Ga`Ix;W9xVoMVCCm zVa)L>FrX1vA>WM(heI3$Tg#4C#u0baE2s@8V5@htxeyj(;s67sL?qa7fpEVOK})CO zN5nF|*+xds%+crd63`g{ro{lso2CD82iuP~CRy1Bsa zoc59)H^PLt6hSniaw0lO*YZ~}0Bdt=HCVNWx@ovPG+0do3VmfaMQ$-$p5}+{X@KJO z=waLU_jzrN?Nn$nxz_UGXMOH930{T}JF)0-pk)W;c#7*;?myMmts|=J(_$>exlKDa zHL}2aBAQ)z=rJElv5KLNHOle%_Aubw#4BJ_J`R{^BOT4#^vUd02k_&Ay>waqe1f)U zkD1Xjbyrs!#jT~mEC6b-iRY&MHTJh=gqNaHoYUFF4k5Hb7AaZ@a96_EFp*fQ z(0{BOzNMT+g0>Oi?b~LaZ}rQf0`w~id;6S{$eB++J5=#g7~0@+j(jPu0M`Q*xZxFq zTzWCPCF-2TAfj?pQJC{_mKSTi{FYD>gKb9I029< znplite{ahNTgWL%@zd*VTdT_%1c*Dz-W|M6#nnnbu^lu9cM^w6Z*eU0B? z{ot2~TCihJgxiWZ`EmiVl7g8Ed;8SXi?ykGYM^%vCn`&l0aJiHvRUl8^x%2DH(^WK zetXh9(0+b+m5BF)Hw(5eCf~yd>wi?DBQJnO5GUaPS!;O7K;6AXH)3BsqsO^kgzc)t3e-C_*i2v3!PbP~P<$(-dccs0L z#%sE0E-9&MFW$S$(Zo*;cmAp#!#Tcr|!YA45bYVEO-S@JgYSLHch*F3n}UcqM=a8O``VIi#tTapRP=UBhCz=OXt-+L=%S3I z9c&>Gswy{)_1~5g_@7#PQ;Y>#e>hmM=zLRz)W8wyY?wH56cb1C0&yfOD#1K*9hwe^ zvX5f1#dC6UX7pe964u{%+2;OVN%pFM*A^I8BM2V-JON9EyKPK(J=60Id$EaYez0bE zpOOwabXIi#%dY=Xp8t<>%R_5U?aoW7w~37H-~4ynCI3A!S!SRQGjLYjl$r8C)&HrG zI^{gu+>j6+>o1TdliYdaw+OM!q@iu3xHS0;*blJ)WPJKCZ->&TMXAoTYQt-5F-PN! zqWqlXGI+XZb2k zfE$R%7^5xYH%E<1`J5{cySzc%z;VTCA*quSWonHAhQ@!;JZ$6)LVvBknmksUcL~e7 zy9!Rnx6*?HR&qwn@2cIftht{zmHTYexXCa+u*PloU_6N)cqQuCpPBI8lH5*3PWvj# z&1Jf-6*IV9(3Nueo(wF&H3y0E^-KRLB?{ON^|m~2^Q(&I1mHSXjv43j1el4E661)_ zenLcCwZzs2u2V^4FX3(6z-2&)o_Bq-!fSQ;c1p^?_Wi&4<5szROI}cl933^xFfS(p z?0M90uBNX3!kvuvv*7NxHYzw?utlQ-A9m8e8&aJfP;Fpx7Le_8d7hnoa6^OYBqnln zul!LF;PG<%OAkm~URO&1&vS}yW1#SIyMguWO36|Te?7b-7$Evo<=y)G8rjahDL>-7UC7aEAof#@(%P2+%S~VOx+#<;dR^N=Si1Mfgu8d#j3cF zfRc;OUK5hb`^lt(IoakbyNs*#`MPtCq#ma9Sywv@$|J|Jv@l8{ieSp%6^@#tcQ!5y zMnC_cbRrrwcC&^4303QF7XR|)#^*Ci${Vq#bg#(B&gT$+_^o0JGOXWi^D2*fZl4zJ zn-@MQynb*`e}HM5xDIiSm!3=(?(<<@o?fbwSuFnk@#eX}o7is(NO)u>^A0fSQ?Fh_ z^V!C<*F^U?J|wSvJj%7*`E#?E^_PBB$|L)(`n@D_iQHzZkzec7{^wSB^Un9fFEeER z0+klX_Lguo0pL0k4V(D6kY40&>`JF}E~^M4(8_c2`pQbX4r&D)GVgV@YBTh|*BZe@ zH#~-s9&**Lpnop3qS}>4y?U%AxQxM9YqAmju_Nzv^bpnODvAGdUbXf)qS`~5Tv>@$ zRp~>!YdzQF9R+LEnr3hxqtkVF^$n?6qS{bCj+;fuFFZqabk@?)>1}Xg)OPJMLKUOFd*lCXxa zv)qc~knx+u&{C-vl|BQeJIHP4&eD9|HAsbh|8Jvn_rrn8;Q>jw$b_1%Xn4UqIVCRE z{2GqAOdkCTK=&DZXMdjM5ET~`aF|B!b&}$f@^7#V!p5h6R)?jxY&l)~=DO#zoAtUX zjX$lwfaGuOQA_JcRbHQIBvBlYYH;0|<&+UfxKQ3(mQRF1tH?FK)Fm_@x!wc-y{1+fQJff7(WyLGQ{!tL`^a(JyLM_#tkPFT$o%Ug1B2`Y80Ih+|)Ypj!9WH}zZ zEn>P>tqyknSwX~pl%rP^-`T{k+x~F9Q|>!TXwO-J0oI-jGBGc=wS?Ha+x?xkaPAp{ z(KGf15p-V0FbJq00)+~qtY7$=!TBz@W_RUnT9Ok4B9qpdVaTk^c z!Y|u)Fb8p%!<*GzF9v1}*XqU@$#aTvz%r?gm@S9F1Z1 z;ShK-j25M!3&mPS-fG3A<`;wd*tQP&a6Dt%yNuyKzQQkKUu1_X=F9j8!#Edc@ry7* zFruv@o&F9F-*&eIYaT3P~Tu99Z^%U_bC;ss+ijE1?Hu}A&PPE2=Kr!{Qn z4inc`?`6VMC!`L3KEX0SMpt*>t{L^Q{9pPoIzNGO7#8ug2*# z&MRd{rTV2`8QVBpWRe(8*v3$b%$i=k-f5A zdRa5=OzPv}nrjZ&fG29a41+ULx^}Kcy4~F?uZDc)(rINjZqKYlwC}N~yQ;R4f;Wt{ zs?n{HnY*Rkxju%VMXN>vV+cd+kIR}tKAukKLYnR>IZUK!U%lUVqq6eknzO-~`FK{B z9Wp&Md{$ETp-NXN~nlb z(h6`<&1JUnoZ~ncPGm>zE$$cl13&)~}EP|D=#fx+LU5Lq>a0p!1u;26o;yz8)UjO=`P5&E8JMjxVZeDz`KfeZ%?D`Z2J*$iR|+dPX!auGFU0x z^7;dW1Ad4Z&8wNMB_ZN)8Qb!31~A`;cBs@_NY$ki1kNOj;le$Ni3vn;YSPMfB%xdZ zw9LkH{3cP%0W}Ne+;5(rIfiFMn?x9kv>19rUA8n1W@Q@IjEo)qFZ;b7zN(41P>GnA0&)-IeuY=i zongu`Xv4|hNOz-8%vM>rw+(F{vcm0Z;4bKqVb`BB8?>XXE>me{|A6v1}nGWuVJfoeeDwKT8~lp-{qS8x9|UfGfRk9QBhC+ z0w)0S%$|>!{KZy>$at&a?DIGyBtxk{P$GGCoaT_;r7vq}6J{Q%i!U$Z{TFQAzjaR; zJW)$WJ3ifFKAlw56$I{%v}vD*obbe(`0|{MPoA#zz#gky#jmK{;)z(BCXr3&lFlIH zfAQF8^M5MvTY?gMpR4|DD|~7OXzkcCvP;%OIE^^tG*jP=%<`>NLRq*9gmqwe>5MK|whnrfV1->fUEN60Py_$aEe{_IIT zza+K&{F>AJ_0-K19}=;5{~mS~NMEr}#dgRSF5^68gm^*K5=V`8M`KjBMkWjK<3t;d21J_m_?cgTb<_j}oTg|=xX zD-6`vbi5c6ov-@BRdj5O&Y7nsv~ti!*!jR-^!W>UTlrdiIK}J=%k_B{x)ya|XCBrC zTDOCwz;Y?>T5$~-q>=kVDL*T&ua+b|Z%fB#qImcv9rRi;wx7wRB*i1{-tSDA37>JA z`^2ZSg91ymO85LY?oV?)e)_2MjaF|!uUV(-PlFy?cS?&}4dE@_DV#8_^PP2$E?|+5 z#$6f2=KLTsJ`?s`A*~8pDlAJe{KPK}LY*8DA?Lb##Z@xhB_GFPdN0&wYZ%bRH+fV0 zzH0B6%g3vlz}1?fW?XnrhveCLz$e5{0r+-VNQ`56tD^D27Qfe!*06dFUIu^Y_T)7| zG)qw*p4~m|zOx_G){8~M%aI57aqFLnSg)Lo^4FE&1>PY0`jKqz7TDnDx_DvnnJo8( z=*6_~OeYVu%_!dx&rdEI?j;_Qj)z9HBXjI(`CrI7(|4F_)Ir_4v~3$x_qcRGccF5f z<4VA$a4YAtjtpog`&4?o{4F?6)yZ1qZHSFbr&B}Ck?ouu|Hm_BqI@pvGmh8qtcn?V z(v**?=ly`Vxl@e)Zj&eD@y*mcT@EV~XTM0lOWu#^dl%5RuME|B!rm#pUcH z@a0a7K@8Z+XyQ|PKlH*Psq5Dt)xG0il4 zU#(be2@DFEk@XHqf{dAwHDLvBhVBghS%R@XVuHL$W5?kXdoI)2Mj1DE0qmw2xbQ)q zGpu2Y7=MT#(sxiY-pQ#Na+X?21%c3`QuETqVSxrSTU&Xk>FINgBtr`uJ*Y~xbf&T~MzWEQIoxzHCo9W$24&;dS!N4(?apQ#vx|=CI_;U_>t?ks?`CiP zvecSGhHZdbM!~$tgvEBLi^XZ5(xIcYxY~9w&dogX$7jA5_sz*qvG;THDc+P?llK;q z_m)s-{0!KfYb`gmR}5#6(k1{_YJNKvcXf7*M^7s+FYmN{F&}EQOm3au*vMlXbIO#| z!fzx}o*UY8Y);nr5qcJ1Vct*spoC*E2WeBPD^us;zh9$rvsmYIbF9~ktc|r;DHuIt z#oE%Z1p2V>izM{vLQ&>#bat4wpzP>}8G->GS6d?^ZP@j|W@Q9Kurv*~z@5GrAa)1~7+r(fEB5@kk5zf0zJrUxbx$=7hj z4t9I`)QVO!b%>Faij>s1vZ|`Es7RunMOuM)Xd+171~2An1J0vqi74B0suN*|7tB|d zP@}FotAID=_d=V+%L}`Sb>meNmn$~;(0!tl_hlSIcNXc!=pwtuCU$Lt*-8Od#)`f{ zDz6FAoJZf@m~jaSHl0Myf>sK^<5DFaZdWcg^Vp5$oNQkV^k{t{)lC--O-Re4!lgv> z)sl2|<%B2xop}$`${k7bnK?oV`?X61I`vAS5`t+0W@ct88k$fnkPfX>7;L>iNl+0Y zm36ezrD$u*$a`@c3U{gDpqTXDNOKly!eurN!X)h)?CE(Mfr3q+dv&rA$^+&+-7=vf z%~!%7nm_?HiNBoTpIo2TXP#=_$O$r^agD68WLMD)`Bg?w(I+`mqC2bbZtT)kn?=uy zoqT8uqRO`kxt?f2w%4j`jJrQRBy-c>wXU~Y^spjO-mus5F#2E`sfiap$q-uB$mLsh z8DYj^tbjMZ&-JBi=#6rXrx0jRN6>(hJ}bY=Q4ZG08k zNXsmB=W{aka_c6TMo?5#^j+_!;b!AtV_^GbyV5zbIg+!rm7jrS6o8Ld*csDdYciBB zZO{eAq~LTtHcV!-!t*@*^YXQH8dscxf`aL2u6XvGUVymqdc2uIWq;2tid8xto9}cX zC-1w-@eV^*vy_emDXS*d+7z9PacR)dWuk;d^ZRwRIxqgY>qVh@=SwCx%UQka@o>dz zTcxxi+an9+gQ_Kmn~@ew#3Y*%WKgr=>$#h+Oh%JLx&3HeRPJr!8g(l)67*BjvdtX+ z_otUC@F_L%&{0@5EK>2#kBoU{4c8b82wX4$vpS1jZ>NkZ< zs#tl;Jy$pZXLP#jIcFbCy)kIva z;mfn$Fl#){>Xh`1QRyz()U50H9EVUnurnG>rszD65PI{~2W{4GA#7l3M=h?p@aozX zeP69w>$J+ zUzeh zlxmP)$7ufgf*->=n%K|J<))Ql5<0Nh9*l8%c~iU@P+Q~!`D0e|+S}v%{-=i4sLtj3 z`b1igRn@)cVrr&N;;i&g9zjn2!q%JOE*~Q_hprg)(;&T%7tMCx5yR&tpOwi@bjlk= z^i8C7sLBmw#erE|OU^H^Ty45K4$x*uI*bwM9HB<`X-DZ|*{Np@W*ZeU*99jyrPFTT z<1M+qU@S<#UH?^~SAE%8O#gqq)+4SxKN5%6J-`@@)@d;yP_H|;WLAs#U#PtcwAyuc zd6&3|-nRLfnV(B&uTI2t%#Kas01o0(GevS$C8CpcJR}ki(xpcoo(RFD5pr*AUB#sK zM)JFfQiUo2yS*S`AzQH1u&l4D!}#0n}Lcz!3=qS6nTPyt+uvQ{G+FvJCu=Y zqae)7r*IlwL=xSc>o+*vP4su@f=O=ftD^Z5Rb^Ov8=>D_*Dt|2ipFf`YIZjq?5B;O z*Pq21qzQ{l=fR<>k!WaW%hbOE&{&nUo8W4D<+(3THiiWS)AN0{jf>!Nl4moohqIR@ zl6ft_Q=h*NROk!G{TBxw@9cZKO18&!n54q_0`v4XD5^ErB~Dddk4RKOB-+-Xn{ih2QX8G?CKG@!A z3ZOBDF`CVao)%Q-r^Y*nF-f405`tLpI)6o2yXs-jad_kd%!okw{FmkQ%CCmoqOZw3 zD(<(pjkPPw-jQsUQzWR-4@t?K_Qni0!bXI1jvLwzliJQB7!mwZq&hglb#+&rI}M$0 zpZSn{n*Wby^E_6Cdmh@W8m+}4#Vp4W;bZep#)T$uc*)C0F&KHJ>o!Vg%8W~P8qUwV ze)(&%Cqw+`y=-VVm%l60{$S>6fjb->8P#>*#z*9eni062mxuU)n|~(JLnKT36RCEj z?LE9GwJ&Dbt_K_i0cTaXQeV5P>M(Tt1ZwX6pVa{bk2}C-I6Y?ueW9K=PDSO#kdWiN z*o+K1m2g>9ujtqN{9>#cb34KMP&^O}ggh~(8>^IA(TD?jmX_qIzC zcn>7AE?0c(p|-+J6KP?J2jj%l(GSI2k!>R@u!W&FoY&*!{*5KH(STQYOF}x$hG#I3G5|Ht_vW>Nyq>z+qZI2B^hkh85>f3I zM0JIfb(ZY(G-$QG8VzgZ)habo4j?1tVa%P6(=5sQu2wlt=3hQB-Xqv?II+p$M)GE} zW~5;Yved9SB&ae%OicH_^D!8QpD7zg^71wD!3Cay)H>lDWwon` z=0??C6;%ru#fRkKFXt=4K|7ZGhwTDg$fLo31n1q(qd;)IK|YR=1=jSRuK*hWm@+vO zV8s7mek3{|Ed6Bza$h6?@`ytQ@PgQ3;LiW@Qvm;aq)`8@KL!W*y*^X-2f+XUCksS^ zPL~3SJ10q=04XB=MHJtX!os|ekhKtMKMr)@QV^yt&i^xI0Ths^c<4m}_-xE;wP1KR zfPdt~!kQ_a_-$8So{)?5EJ0%v5A?dkh(VnpT1ox9nwPn_JM<$+|^Z7>M6^1r7W>?xCxdaf#P zRA`Onf<(h`sHC%he}CWh^vdiz;#9cgR)Yef97zvU_=*z&;Svq#zO_y>L&p`0Vuz9P z#M2#D9j|6(iI=Df6ow&<60z|4xG&HA1d@xpGAZr2RH6_NGDay;D=RCbDWnp=61p1f zOq8;C-MZATCtGZ`JX}1;VC%SixZ53-G&|i)Fx#tlj29rv>hDlj3I9frfW4=#D|KxQ z{~r4u+jn(CA&p_SS7R$Li;Y9Qq`hQiu}E5xEq23a%$d6;I6)0awGFGWBA+nd*mNrN z`Vl@u`0mYdfLLcfPF+97P(%E1*`*S~zTa@bhsSFEzErKkXLJ+`iJ9Q2HI&723g$4g zSF4#JK$JHIbtn#aJ?BTvga!Mx>(NkB88W)8G7)B@TgDp=1DtM!v70cIR3x?trLOS0rjbN9Cq z<2)a|aQP+TN-7hub=30WC7d&exNCMIDu{>!1 zn~L3Udh)4ypI<7)nK-v|&)rOL=e0&{VR-N4xV-fib#j8Xl;XO{X#3WQzc|p5%K}8R z#BKzTu9PiJF`h)O0V}AtmzBbItkiPctGnVC&&EuIlbuTn0>UEpV5mUR`lfLt(<360 zO3HYvg6H z=QlD?gfJX=h&VlB8(RZqc{x5>nWT}-4qG3PhMK`dvLFx<_^*l|c=$UV6pxwdTiI58 zvXqY(b1E7dcyt=jAY|m@!h(Vz35j?)vn{5gf=~IK0bEA}2ngRFeh6qF;=Wo{Ts$bI z`nDv4>~X{F#%+Kdo>&o)p3sW?`1sGfZr3byiljnJUg2Kju+|Y^%4< zGQVv;0P}=?a6Fr8-T?z+bxw*hBp+Fu`bqy(LZZ$3c1C?+f^5 z^@TIcPrYF?JgLEK^fv7@+g3}rMMukR+@OpgXFtJh{=2|agwM2>tt9{AgJ42#<2)fq zX6E<%Zv&2}qmm$e#A&Imt*z4(i<3>CP(`Y5dVDXMtCA6UtHVVatJ4A+D^mhm>Jo@t z^dcC#XJ@r&LVO&$P*ksRi8iLBluyL`vEglKtBlLmg@ug)ZfF# z-1mF;dV)~crxUwTuY%)SJX&ZDv)CDD4gtPZ;$G5G+x|WfYLEhKP@|VH4J9Oy_h#!9 z2^!?Jv@CH9nAg_UkbL|5`tm|SNrL>p9O&-`gzZ0llgH9NgyG?R>!YY&zE-&&r)${a zZn4Td+bQlaR}5t+dPPGo-F$- zJ!5loR(Xk2+b+)Y$6mo6Iun zrYwyQY$90YB(AAtY6&8Q6lOS4S9B_DL{vk1a#3O7Q4s?ieiS?3& z=0LC#lkzZ#OiN2k=l6wzDk=r`7g{2UiYDZY)&R}X&VTC7clKx9fG(hWyEN5ki{K55 z>-q(-4Vn;?)}Oh3@y8p{U>^2oh93!2h6X?*i4_C^mPt@Kg=o^(C#XfM=sMnAC z7cc?bomw>-8k%a|UjY3kqtn$9z@U`LeSw1YYGqIJ_iw*6+YcPgg(r_5X2ZviMGSBNBzO#uhtIw?sH693q`>JL^q)SDo`vQ2Um$|^Px&)c zChNl?P_DKaDrb!?M#F^AwX2A%SM%E}nXz-=*FsMbaoLrsDp6q-=vrjUmXW|UH-8W+ z(?puX;A_09cf}lYgv<_)b!LK=fblqjCaQb~OJ=QwjUY1#`fVpyb$#24@UI@9B|;Rs z=G#-Hr@{GkXxKKU`@fbd=dd@l1yb*TsNCMl4tTebr7LS9rhM59NLquFqP-*yD~q+A zXLHfqZnHO!({MRQ-NPnpKMf-Q?e{0k2liy_v+>YM?X<+Tim=v zKnV8#YyPm9ws#in0X9#D)yyOYX=+M~ zj)^I3Xqb^;tZ;@ebzNVFE9ulkhae}oDcFuM9d$eYDkB=tMD-gH>&#tEq515}YLXbO zS5Q`5ON5_0jfJ0nXG$({htf684_GIyhf$<x{SyWgQkOP6JP0IJ*`_iN#?ptm`je^?V>q!GN-D$!AB3e8%D$ytVKx461L zQ?32YJjFXJG@y~&+s(Nc=8C*E`bB*LJ9g$j`BTgPHG0NwwdRgqimn8yCY;ru7ev`J zT=((Tb!%+$U2~5Xc8LVw!jcx>unrQ}7gyho%D3j-iT^t2r;m0LH(doY9nQS*UviZf z*+YGtD0;xQ9%3R9dlrN|FXqhjS#d&*2Q=O+X_f#5w?bd=$bjP;v;~~pJ8n_nl!Su7+A^7AxZeJKAbegR6+p8i&HEoZ?~jA(kqEB^ z0ml zQsJWo{S@wHZ$+g2&6fHFeSiLMXBF7OgCT}=@*R>99k0L%%Du#Y*Ia=PvOwOfS>w+C z`0Ii?0T;BRQ(~owM@32bSIP7`ta@=8?k$%8yEb9i`{o|c?bQG7ba2;NBK?|5I{Aj2 zm$%F}nXN3VKTH^?%>lA#*JkLx@@+?guxju9TT=VXv*sqD*ehiPojfcEEUJ z$&oHsx-TsyvtitJ2b6Eo%grY2Y&{GBH)rxCmO0la2;WZt&sLd}({?h)GevR|j=9v4 z(4R%D#g%Zsp3V9G*Qd~Cy~I@;>p3x5Fz4q8FprRIGhEo)&4b3uvLsh{YYXU1Dupq? z1mWE0nw`tPk}3#gu~Td#dLUTSUsn^2XPjI&te}RiJ1#rrc9y5gY_LWCr|=VCl{a24 z;E=V^h=DGAR~NXBhd7`9uzi2IPPSs(_`xex^x-71Z!`L#B>RCkGui7l!_nc$yMztZ z4h>*(A@%B4M$3!@{$Oe_r1go>Fwd1%;%IB{N8~h4 zp64sNIP>7&)n!%X5CLI}Ap`Fh64NB+b#KZj z0KC2NY5~X4#3X-Xh(sAsWW^ht4{q?PZajn8&COqc%x&^k{Zo&mLXFXTsgtc5z*A{2KFu^hl zoPszksf4bM!1{kLqap2re%xcwwOVLSgKMxWcxtom%~jEuMp}jqq2fyL-{n6DtMb~~ zzxUmx3sFCf+|(Tm#y;8tAt0P81K73V{|aV8C558rgjw#Tr3i-tPt4-rnlmxR%@uM`&bnW^4m ze!Sbo1$^E2=I4)`0AY9$-xun=@d`L?e^x@mx;s-FF;S{1>U!lGn-U`!7Z#mn-$mAo;&S00-;uwWY=~!3josNU)8|tB_h=ynl2;^3c0%wv{;qt z_bh;5@X_t!?qaL7cK&@!5&#nxy}w4j10eWX(}Q?UNSD0X78jR8LFhJK3Kb=9%EW5C z;?eR`jT*}&WetDt^REX_4J78N!@YkK*Er%G5Ma#8cQ%#zekH^=%g0wabdF?fM}4P5 zX$gDMWzB9bRovVs^8!q_`?1wltCzE;0SNz9fLid8pFe_+?uUy{IgR)}&-x9UU}0*tXG%_DRQx+dDcn3T zgP>U-yDe=O%K)cgwDH{&c+=O?bHcMZxtrI3tq}on$;N3kY6-O3_;765o0=>hXR+T5gaJ;$$*n_7*n+LaG5^8BNon$^k8<$;_Zoa|snir)zx;-36(=y}8&J zMVYfR$5yfgTB;g};!O(2X{GnRwk4l(##xsnWB;rq4uFG4lNA0?>QN3QhYxaGb0i2P=0BNTj7X(FS+nGuToErk@$7MGOHy4+i1A7E4 zUlul~-B4JU7Z+cyCd_Oyn2T;Kg}tD~>#+Ir6U=r~P(xQm8jxiLtgNg)(hxF~V@Bvq(vl{<#{b;zsoK=8w8Zt{nXMMs(MlGKYYt;<{;I8=fm5a3(0EcM!7SSp zM)R8R!LZwkdJkt!$TN=zfQp4Uo?YkpM5)6bFRy*R4k4=pHxO-VpTouE&zn#79 zXhCIke50gL>BskQYtQ9%%TWzwfmxI{NdOE*#fq@LCu2ZR0tL@MdOxp>w`V2@Gum3% z1cMw>V3U$XC|Z=$>1-vtpRcgMq;xT)L6=)eVaHhwPNqDY125sEknewwBXYXJ2JkMx zAd=3{og`#rAp85)G#%~pW`V>zJM0Rs(-PFwT1CpnB3J`S+z}9|q>rco%v6s}kN>d! zO`CUH$oh>jb$n8+2-9;EQSEU#T$vaZGd-8Bh>$Aq38aI5k5j+*%+X-{G^CeT$6c<_**DkQ^bI6No*6wE(Raa-15zPq^ z@gdE`RM}%<(R?VIZ9ek z+7N->gfj<`uOuq$<~pnOJV_0BDM6IujwKVh4z>3ja{XPO6XP1|tE7hFt8~phb%cvL z*GAE)%JwC>vbgHm!rZoz6oybXxUct{TLDM$$aMN@)uvZ36{u4dRwB?RLQbYg0-G-0 z%uO8CI`q-i26OEry4EnD{;|D!3uu;qdCblMi4~w5k>EQ12O4t7KJu4Ek4IAU{}(un zu8pLjrOg$`pd3K~HHsdB6B*rL7ECX z0K9&G&e~m}SXo$Yh0n`l`221^;#r~94x8I~hanAMQwU;mzKzb^ILX}n;WY=d(yaK& z1wVfVA>QsACnwa<7CG&~t%T)mXUHj9_Zc@{SZv_VORrm6fBt%)VLt(1JTX5AF(^{i z>@suac#1&Agk%<}x^RfV%k1%<@L`#mYhqj+1SSxC*uYi|O>e#gi*~yX z6=2f28G|*atgqGc>S)Q`7}o^Ps6Rni{ud|n@?P;hy~G$A?ILytFo0kziwlSL+02ht zgd8NqEq{APV`+SS%phKsCkRJ>{y}r-JbXU$?hnt7ZegIsSZXmd+A@!^?tgPtRYHY~sZAADC5kdb(X96@J^(zp6=dECks;C*bh#_53+yw+C0~ zN=PAm=RXV=U~2R^wd3zLgPF*=c9^#vHovFoTwYdiTN`H=ZklU2A9g?R{0uYB8`Z?f zhm9qnn6ulzLL8BG0iYs>m7_+R+1TU*SztX6 zrgItY+slc|!qe^I9+umyD2In<5yLqV=!{_9{4{PS+~Q$j=-nn!z4e6Kbx&^Z;!1K6 z1s>lv8ocTtG6(}~-DEuY9*T_u80)d34YfKY)@LVs+Cv#cx%{_E~r3nc!ZpG@}Iwt@8tfHv$WbFDaxGufv z_-#zhBo3RMTP{~U4|)R8xWVMTNPR4>l`!N$vpe-;`ywBz7?Vmw<#f-H+dL?L>nXxD zb2DT2;GoP|bGsPxR?@`FulbGx4YJMlywf>x5KPY(K0#&4}_{J{egyV7z zZ^qT{kGk2PQ`5crvxKF}EWn^(HKZ#g*w>4X^izUylCpT@TiKP0U#&4X7xKx?dj^pYMqOg>-*?0r+VI(?==~#GQYY z;~1vCT1e}hSMP|mzo|I9{TZ%L)^5!v@uX`nhpccWb!(ezQTwYy#R@!qri^{NjL#F( zHGvSwAN?L8YZOT5^GtTyhf5F8m5vSn>dB(hgbU3lF`JZ(Mg_41YaqU50pn0iFE(dc zuaUNgXe6LX^l;Pcaqa|3H~9wOwAF25HkpZ*xtCp|AaE=I@~?Yk%wU$_-Wa?-+}wO; z^Y{I;vGHkfVrNIrG0$C`y_p8t*riIXuCz}v1ygOX`Fz+7S#isWUzmGIfn%L)uld0) zG=Cy0qA4SEek8?dP}KVg!Uq1MoZ((EF=>-~Tp6dN^lL08Bs4x)w5-kXOejTYpf4Cg z?3!knhncRHwnZ;k-w~pd5Z=EPVN%Rn4fY_|(n{RuZ)U;YrRl02CD?K*i5*^Fg2>yu z6*SgGv-eSuAJG++cxz>MjGs-)cU_^&eX8l>KtS-s1DKJhXy8VJE9$>t#EugUITN?c z*vLdFcY(Q8X+be%D;YHdrMSJ_>g}-KmfOo$fg@swtDh(11;*;NWX�sy9m7ItlLH z6}vXKs#a*}uu3*GCt)|n((P9+vCx@htX_^Ri?|O4ioX_o-8tbgh=QxNWoiDkr7`D`g~C$ccl`ts^h+rPt6?3$78%-|*hfAh+{(PPu9u^frx`yilrr~wo$-$fXatr&Kip@-ckXgR+55aBp z2{VjjHvx}qL&8RQS;Y9_DMjv{Z+{2%y#NWq6B7v2FiI$Zx_K&!t4^maLCY?4$Nkq! z#q{)`vmQMKbJ{Bo1)>$!vg_H>2)o@k+Y3EvEl zsG|lBx3g*$rO&wPud8qTi^4as@9*EK`mXk9l_dMgCt{eGlIO|9n|oc7^%Y~!3E_E7 zGkY+BRT78^KcEg8yVrA3K#)T0-x&W4JK=fJ@S(p?CSj#$k0YWkBO9!l!PB9<&AI)n zechYH$H;+32H~@AI{?uDF?zw%Iay7-sJDj-6^{&mg;_9QRQ6%Zdqi1wmSBYB2EB8& zl=V?4W&)DR>9?<$-m#nbm6NF7{}qaL4gnX0&(#?fUjb)AN#hECIGXTMX9R-PZ{Wz!E^Ri;}^{RF4oRN#F5b-adV+R zer4%g0i@^D007}YvM~K%y#f#}i)<`&ArNC*f&qeray2@l9rhZ9j$_PaR}RZB){yLy zVN}xh0bK!~Fpce*(M#28Mv$4Sc2Zpbn1gw6>!g7vv<=f3+e0Sli%$W6`wsIzq7$t~ z&5s((X^DK9wCwRXiw*-l+u-vG)1Jz&b%YJ)p~|^LeUa@&vW&Dw9h78JK|5j>1gK>^ zrD_UcnXVyfgPIinVafzzd)X=ds!E2AHx>Fz79Pp1F1nUTGtxxV7Ra8G}?L(ZR%zP_d= zuartbirQK6(Lp^9sY>E8ybDmR5q6razX+EcG%#>#qQxg#05AP&r(R+Kgjql*|92K= zcB($c*qA;`lbBeLou4TLk?-)Sw)eq_!(67xR)BkYKK=o*z%ycxC~G&<*zT6Cr6`ue ztSA;Q4KC#gHg$O=B@F!*a zinXdrRwTK=lF)1A$6-AMFbAN2!zaIa1OUQJrYt~Pc6$y;ZcrQX96X2~FM%E}WlSXq z#z|%X+u{28zcViXi>8BCW3wQ`$yvwZw9Dak+>`Hj$(e6U1O(o8(j_#zuE9B_$tfvO zu)}bK_c8Oz(JLd9wGro*8(xj-qG-ras!8mhT2nv}9}#o$^;oY~hi2P7BfLE%tzVxA zEOeBlp`)Y1K&-2)^XE(C%g}MNC{o4)6!B~zq0D(2hs$DXj%Q_Q4#A#Jl;FQy%4;Pk zZ(8%xXvs=XC%&SdyI*8h*%C{fsjZtsYO6>~F~I+I5^kK3mKZD=#xV>#ahuSM&`HhU zJJWeWQt{XU0}1-MtKn}Nb>?(U_t!i6eRafIb}zJ@=NzH+l_6fGy?BX1_B5a%8r$2nXc>Lv5> zr|2Xxq&-StFZ_j1WBSUnDbsNc;=q2KNXPeudiWkE_YiS$PlxE;p4HNcEgQuDUL$BC zff6#h)KfW&u3k$_@R$mhL=X&3btT_yk>VPVa$+{zsDHhJH@>j)y z=S=dXJJDX0kB0PFIMsWte5)9#)22`x3CZ|qBSt?ksG!v)vPKO)-}AEFmDAX4ju%0- z1xW#FZqqPFKi7J|?)H>^Fy(=P#W;p=>4x6McbK3f;a!Bnl9A{?ZP|_V(}WrCOgF>9bJuA2KnSdl)D{xj*a=f52BP@V2h4 zSLjQ^_*wQ4HlE&;Z>i>9Z=2|NYM`*}U%i*7tAaXdpLxDu(KI!bR+TzhjhdP^OEfwF zR$a?y9m{JyZYP*dd&Td$!m0alOxhuxl2^JuEGKLnf~T7absj`hV1EsC$2`5x?l?kV zTUYf4aUAe{f%R|onbwgf_<*!j`9B$JeGLIDT1~+VbX>u5%7~o!cs9KvUGKYU7fWkv zNad(qGNS<>TH^{8wU&wz&}b5EXe73fRH6mHwEIkyS{^@u&ijpaS zlDRfmhR#Cf+xZ&f#%j41oBdar#E-I4B~iD5MtCe;U-R1Sgg@bJG+_cF7mA7sdk)CJYm%Ck+r{N5#HWp8yy#a+Fr=R7ZXl@t{8$Df*HGy}1W+)AtF z`R@xdr~uP#>Ev2p0_^4>KWIkl?rLZfX5)RMSuw7qpb)K>US)Q%Kc`{_c=+Hiz(Y5{ zF|&;wk?Nrt5W5gI>5%GtcqUx^YHHZT;bK;rmaGaElD=7P@K*D(ocMLLu|`*3R-2+c zs~L^bPrwbgQb3wBMc;h8HFFfE0KAEj_V^;s?rukHLV~ovzpIj#mRMgrmZIyGf<|5T z7(+K6HK;AY5%B$Q#Y}x~i5Ik`ejLOqF1nuq&*KnX&q(qC4=ktWLVL?ik4MWl_k7AX zt4kDFn9d~e_%<-$vr43>7(keL5C?9~ct{oa;Z2+MyMy)pr5=z*++(?nQ*`(hW7;K< zJr@{!PDl=45P-U^P_>a1oApG00XTehTd)Ck?3?|W=#KA~dT#uePj~_L`6!=-$EK%C z@Hg@VK7Alf0bWuO{NZiIhI)*k?b^4YH1h?T6<07Z9AG7c#vL3#k)ACry;Ja$WGEzC z|K7>w^S1_e+&-QabL+d6u2UcbqWeFxn9iPj+8*LuK%Q0Thj$rMSfxMx^_pmG^D2#o zj(dQ_L|WFUM)sPc5K2NC3#uI*peO?%L#l20POy#5f1Q^xCVJV@^f4ZDqyTi$U@p{g zCq5i_=OW0uu_}`OMj7$NJzx0K2h+q=XO)qM8_*exo5D*YEN=mOJDK5IA4RBX4{q|W zMSFAjuXsDVN`M2^=pE%Wwjm!h>*q@bKrHDA>zOR#VDlq7)}%`8F6!(O{ljxNY22;9 zv@j77zWV{P>A#+KxK#v~lt^8d?=Wp9v6XII7DH}`; zC2cbLiqNP)odf{W;sSOZYB@>MEP6EXq_Yoyod?BThIfW6_I;levewVBj){rsxFa;$O>?@8LSy0w1>P?$C!G%Qr_&L&9PvYN*MX_^ zS3mXDEy=_`u*u%px5>jNg3GY;H3oV)t(gDoy%6ElZ-y9^^u_T3VWUyr&1j>ox5lN~ zz`tYp4ya(2#l?Lv6Y&97LYDs zU|>jxlvY5Rp*y8x0LhUS!~yA28YG8SU;yd&9{s=1v(7rtdDr=HJ{-QVX6ByS_r0%u z?O#nU&du?S+0@l{o8{Xnlz;sdl`L$L_l$%p2(B+i0=UQ%KhM43)7{?8;j8J!e=9Z! zuun9=Ci^e__~o%H#-mVIA2YXZJkwfceDNLXHZE#E(`(Ew>17RiWN*kBAXTO$@Wfo9 zk6n~;v3URzpsgq%iU8TtR6kJgpCxHSc4w~x;x@LwEuzn_ALiv%<{El>?2w~!T;rNn zbw_4|JA{Xmm8&o)$h2>8uy_alC86ulPQnT#BqV?KjC6o>V)~c$+WPt! zBH&rhO;Vr{Yjr2r$Z30<;YC#GA|}Vk$f%8<;8hXt*>Ep^FWOBq+?MvN>oqV;JBc5# zzk+*w5eJG`O0hH~nOTQ|9yo+ld2PU!?$VUj&*x6jIl zk@ZarX180%+4=$slLxfGU`tG^gbl(5_e~ZpRIhhUW;eXNvJ3k-ZSB6LRF{?RvClL* zQC@DvrlaR5l{Vj!5^8&THZljhFLVa)Tivyt_a@^4{Dwyax8UO5R! zPf3{-cJ>#IQ*f)#X{EX{RY3_;FUhsm!ZGHvtQKTR>V>Aay1R7%_%rKhPL_ zn9=VK%O+N&zU6X7Q(mzt3Gl|i_VFJW>6xP^!0VPTau#2s@;T0sCk`7$DrTK(<%5HR z=;K+JsAT#~kUg7=1Zt43O#=0q;j3`grp)0F-dnPWLfrYB$n8=DB4Ktky&l3lI;gN0 zTSt9Jlb~Y}95Q)tihc7#``4pnP>)DFk}elmS%h)^4I_O9_kexAlDKVNpO-W}`km|T zzMGfwgYjE&F;fO$+G4R~=UpOh6M3(;*%zs$%=!71NWZCS49Sa)+DZ@l^_Lu|%5qtD zEyf&=f!x?ob=_MhB2z+T*~+QW9zZ*Uvf}cOTFWByCOQWM1<-Dw8T?iLOhhETxuvD@ z5wULx^?5u&Y)9N-V0D_p^;rP~&3)bcCg3zo4_(qixzVu)FF+y{E#nrnH9E~JRW>Pf z`9{C3f#@adq7@~k;rumEDGM#R6xAp7(&%%nx}C8%^_k)R=cd2h7`6Bai3LIM{I~(ZiDnO040iDQ!R0F@W8e>SO zyA3`}t*M!W8Muf@fr|*fX!VWAkT2_|n0ZNsZOjK9>EV&*_j2)tccVyFZ% z4h{~=;H_CY<6|2|qo9o?C7J@?y|&y?iA#KqEHq&Z<+WhUYfA=+^SML*t)kKVzaq5{ z?7ci7=CPkR3ySRQ6?Q7qu6+aC#YSaOn{DZMK-!HF`nbL+iB~Kc%+XtTC%p-sx$XSW zV{R!|P`RZuYb94CoZ5GF*M8N^*>Gz}1eOh%s9b>82rs|8J4>zHIe@Tg>At&kocQ46 zkbEvei72+ygB|Fr!sA2QyL}dkKW}t@?VwoMD&F-a)N#_KOs<-ip0;r)wNnt#gFED9 z#F&(NZ*w&-4V~wkT6t)qyBN9}-425?E#==J>=S2e8=c+GY;cRFdb+6A1l9Qn$fKrl z7VrdHX&a$UXzlvl#-HVc2;L$0%hQQ(Yc=u?z&y@R9KjZ0^A`7qUZ~ki`9#ln?iP3u zaMstmO4x9kXx!t-Hmr#IHBt}j#MY`U_+4dw7$)$9&}94W*kl95BoMNbNP#@wnk z@>VQz9@rF6TH6(&J~AdglShS6^U`=E7{C=8|}}Or>OvIsB@*vjM(nS-H*DhR@6>&wb?Lt&j=;S zfW2?~`cnm@O#@2@uO9?+W3g&S79aZHNH@_=^o@eOg zOy``lO);i8G^-aji^>6Uct6p;!7m0!2k8nrL(`gtV^veD3wOF0(Vea6-{gh8iRxd! zMU$Nf4{@(d+3Bk-^OjfzDP|72)bwFn9dj?+EGVDK3<$$KE1UO(L|~890%>GFCM_LO zf+v8rn(}gi?i5eNF}xNTLutF_6Gq^QYQO&lH-UDgImkMMbtV;W&2{PMwFhfo&G>DC z&>|u7N~q`zUm-;(eN}V*i}P+KI7NBCq1jA>!KbrfqN0P)ff{*{+?%Pq;)19*Kq&(I z9WiDvd#`O?#(*^50&gE+9K`ZP(<)F27dNG!}v@&qE>Iav~C-;pLTJ4u5W)W z4IlYn&ug#Z z(dl&%sJ>uwN^+EvWXcyCpvs~BKLkxYaNzL8Ykr3}URvxa;eYUzdHFZsfh=iktgQER z5?CXBq`iV<@{zKM?2T%5q6X&DA%T8e+iFCo)>s^K*jTT20V_9mZeL$NkTe!!P9YIW z6R^tDj9NH{OpOnZbgTRv`MB>g9!{z_RyQo6RbWoRxdpU}8<{Wfls)-w2tsNWF5YjA z(bv}>O{iT4yE=AC6rch814P-G*@%iOoR2LoYaVvJ4uuT)h#T`|caal0t}= ztj>AWp7n%@IJ#fW-JU&ZG7_R`{Uk-&fyGT7*Kb!Be{u;P*SCYg82inyH=X zV#-FB2FtxD{)>yZ?O6J8&^O$v3n$&rM4<=ONRj_Tt-QP7cN!}8Gs-VQPn~Q`-iZq5 zM4RB@bp*X=P2+UGWaMFK<6d6ct~{DM-#3xK0a6Z=>E`I>X%uuAF{)eYCGLnh$~%G+qcOIh$^$mh(Ia$&(ZzB=cYq) zAza#HWkbQoE^jq3An;TtUb{yWHNJ~aCPLgB!j7v#dF$)uNWI>B@~@n zjz^nA9~&Dtna>&2zVzN$?{|y~rXi=AraO&g-ue!O<_L=uIb^nN7Kjiv`6g4$R#w`r zC3#w9^m;g{LaM4k^^(&cilo; z*tE+P%AByuo2N&U7jodPqr#JPmhD|LoFQsM8RQMY7S=k_vBr7d&4Ob>`{|mn@~zCW z*Lnn;Tt*6~Bu|0|xXl!_#D#ckWg?|$5dHecw3)MR$cxYV+-qrpQyK0p0l3!&4(sm_ zgQdG6uS|XLup9dhD{H%!o6)08<=SQb&`ru7XxfW^HvR*8bm?eR&>bWL92wV%|m1`tcmR zBsWQ~E@_+6X*yjz9m0&=L@hKCKn~rN@@vwlWL1{WewO7=M67A(eP}A2sonFb=fLpW zm0ON6QZeRBDa5(DAbnjjozI@5F6T-hX@7*f=d^*@4f-+MXB<2IPOXzdPqaM{0)1kF9nXr;?UYHAhL@aaH$H)_yx4#XS3Q<>$bH?Sd3m^yz+DNdsD zTi5SvB+{G(r+|32YGO>9-#*jx<()wHKbZF4+>O-LHw?G|f3oLeJ0#Aca5dV;aV24h$x7?))2cb{GH$NKw1As}Bk74m za_1V)$(y7sLnadkCm?Xslye8EP|-kk0F!;J~(nKhx7l%Fs+*$Ftd3a;zqXkE=iH?!Z^Q zLXiN$mq?zDXv_7mP%BM_Vsv8br%_wEPL=M;SRD%n#$@}k;F{GdvGJVmgjhzNeghALN%HleQeaAH<1 z|LWVrUUzAfrl!mmL62c4|FO=Bl}g#eWwR^=mkpu&Urv3FX(d&YH=w!490MluvDpH-uYCQ{&qn8nd1d zP^EUrlC!?wP)^q1p4hunApbmS?7XGm$qc*)=j4P$qesI5}*@$ zldJMNcn%RL1;8YU#*OtlAQ17liK>!50lgPBes_{N%oG74%l-4Gx9;;tlTinI@-rep zKP(-HA^*-{)DvU1?S?;nOq0@uXFXe6#>Ndk1j)&DB3uFkHF350Zl*~! zX@Eac0BzB6p3U+T*34Ys1H43@6yVbt7#Jvo!#PAfchZs{l26lZZ&BKrc@R_@>#5k- zki2NMW?fq&9b1M|sahnd;OUNUZe5>opAB1F8z-GK2f5Q^4$FBx7kVzJ?77FkHpjz| zhAIX9e45X`UDQ5TJR_BQ1}HJ50f+#QEZYrbiJ(W7XpPGDK8)^@LVuf-J51`0_vuxX z)x)zQBh^@hsy{vJ*~t^B#lAoVj#XDRt4_G}K!8RZp2fT<(<$yrk!m){U-GMkQ+VZY z?>awvj|*!??3TQ}?k4RX<}6(|!vdLzz&0vmyVdByPm(t8+>TLL85C3cnJwR7gFkdRZif z|4m@9NW;>W6_sfMSA zT`x0iUN`e28#IanosR%u>n*@5Al=a)Y>5e62V?L~bS-KPllYJToAiVCD! zjNXb?zyY!G_jznX&i5|sOYMr1SK<#FZ)p^o`Q_7jPC_eYqdwZc zYyY0x9_g3!Y6xqC^`1+dh5r#rwlFk^BBSy7*|Mpzb`y+3(CPjBxm5mKEk#8V4wY{v z1z8U(YT(K6cZR#c;(=%|+;v`F)D{3&EC6stZ1b5~Uxl6J@x!z67{pjN#0@eO2 zw3oeW+?!MLC8Ww9U`*DB-2b)3^ZL5SZE!l{_W8_ehH|363zBV8qtFdo8kq5b<%^VE zx4syLNgUpGX^#OHu0IEBzBQC^c62T%PN`hx>uoYps~j``5z)KmOxee@@_tsSEHM>q zrFPG((W5)Xicnc4g7;pA*WG&!4iEUCPN4^`LuySk`;4cv{B2W3=gFFxsXR8c#ZH(uY)sFg-?D z1G*@##MG53IBC|%7gXj!$m2+e=9ViJfvH8tCi)~O`jG)wPnZhqt2o&VkEBr7?9RL} zh_$5Nq54drC4n{cNG_6;szrTuHfeJ>_8ZP*O+V;af9SGO6y>f6t*C`u&s6Z+8_K5t zCQ6K6JXdt%!~4Scz3h+|a)483cYML$*E>|AVC4Ox*-trWK56cvoe( z`%>`>5fk5O8c382Rc_<)iX3u4@co2rk|MFK{K2w}JXLPcGpv^Od5eahH0Sihm8S4r z+@yfp+a@|Vr6Tu(!cojRwE5^^H`C3gZDIEs5Ac0yt0nee>zUbE*8c^~gm$whZp6Rm z9wE7we1m;IFkP|Z47-iT`DvSwP4hD~a}CW5L9L|SdsZZ$6NjhM=tRH+*pTB0W{LCB zZjkAq@NJ?V<|US%3eJ`eC|L$K%g;5WE@aLx;F#XsJ_r8as5*;huERKQ^$| zpe#`r9*K*IyUjbK9r9c#p9mv}$%Krw-5-my93D+utwA5RB(hf9v9?KgRO!v&t*@@My4yTq;uh0N z4`1yMR0;KlFbgV*q-=?_Cq1Q>FS#kX{1qo zwvGEC{?ttIi;WDHbk-Xg`>6&X7d|OjjaX{q6ag?3SZJ4jV-T0;ia^PuqxnbF3&?kN zHiT78j5C7mA=B!dgPD-Sb*7nmNWpVmU3#avDe0J)qk4~R{R$@FX;O*5084GJKO#9L zMKS6zXMRwS9~&E6enJA{3*+W!HnzU9n)jOG7#%TSCrgpRzIpoS_qjc;>Bq#Ii&xPL zLsJU$;GF_g@z0Vcy7$NOga~zlE#n;1s?aUe%KR;LuN5xHI>I~vYdI}ea6SG3C8@Rc z4-=9{zn8$cekXKmob$3#2eT{{g~_;V#&$FLl@rf9-bO}6!835T_BfGH)d9k3yw6)i zsAd2>-H`f2$f(%%z^Lnej_iTIhZt!78?AYY!s3M|*PZr`l$(nV1(OSl8pPn;d?D~& zvC5&>SQHZKf9V6=)WfT$@on?P!+7$}Z~?~6@WhIfg(XkQ)Q!3gJ=Py8BdF`+BYL?$ zBi{UD`30_Nn%3K&@M0M)rvf4ur;;btJH<;bdqp_rQ}p<}LX*2peU5@#*$)S(&8d6~ zuwy2g&ee3vjHF&ie6L!aGMzDhZ;V_&a{kS$)7qOE?`9HzR-I8HN+V`88JJoNP~u_# zs-8;VHIC-JI;^&uV^1@H|alhd53JBnEtD0GZF24iJrWKrIn z_*N#t}BPz{f@clTj81zt;*mm32f&kD#|ABmM5)&f2qGqaN4 zei-NwdE-LEfM(_FXd7U-i^+w#7*)f4AN4_&-DF$*dSAv^ct z65mk>LNM|U4C_;a#u~`WDa*?vHhDdPxuFJ>QPAaM2OFEBV>}^dt(?NOes;U%?uh!A zoi{C8_vz4UkAVq)c>~j=bOgi8%kv@Q#SzJc1M&1qrB%+izJ1rnOB@&+BwCqiP(wV5 zx&e*Qx!M7kIBAO>phW!-xfR170*{wmn}9A?I+yVY0Y)4dj{To}ubjn$%9DWAP1@>a zaQ#a@N2#%;2bkj?l9CnP$z1BZe}8;W;yf7Rs+C^;bI2UxT5e{nS)z0bUkBl<5kl=` z-R;bWS}CDh@SKbAMo?<4KpkeA=DHIen5}BNg z8loGfxX12GV+%Fy+8&OuOl%9cMGgmnKgp zc&|k4XLG7^$EEXGzr|jDrb|;IHeu^L8wtU@{NyCFRO;3K);|2_#fqo%1iIaa(081> z$=QOM77LT}0hsLByeQXuDYF5!R%WqQu8eL;fHW(s?nc`Q(>Q_9oPBa+sB`|fdI;+Q zc*)bUC9CNW=BD-PE4ryyK*{DIPE>dQcNEr)kSPp9gXVI{^91n9)isE38E=LCR4-@$8Q{2K@ zj{27v@x@;AwxrMHkr1T&kb8`8SX@g>;wYMjTtXpMTr{n!We;5Ofd?^yAVM9a( z-{WBguvsdt(pW*TZ)bT|-m0X}>%rS#Ba}$^BcT~L$(>CHd_a-_wEe)A&U_E2%=Mi5 zWTaG^n{r)vjw~1gyDxQddp_{G0No=+Sg)!emp*r!h)HTvJb+81Yvx-4BaaFjw9?g5 zk&el$rOG6i-*8`E*Ze$>re~tjS=`wJm@3fOaKC9sPX5iR>m*^=KNbQ6^1;!CA|*Q( z9oK(wmMS4uhMW-dHic5Q=s+@w-k-Fa`+tz|sXSZ43V zE*P`)X+P((u&}Q}#&ZBxW@+&HHCai4PGlzLH#F}Q(a-1Fok=QIh8z^BRQt!lKu#ta zUK8@jWA7&1%Bi zNHN*V2Yx#!Yp)$;*}O7zzWKdlj$S`@ym+uy)w?q*BsjH)p$kC)iSJ3Z?yfs*SkZ?fJu_0Xxe%lf z)44)DC0{~(Oy_mPA(d2U2Fhn6xjc8FbCe)a1elN)|7d6rZ%$H-iU7F%D1Zd`w6Q^Q5US@#Pgo^`a>N zFYizE&i`hK`hWaT=tl|$oM22$VFwUC4s7YM zeiH#fgW{B{fI8@3VTO3@fT|7aUJMXH&O^RhVg~$lQ??E8n-m(Egp5_ca>6@y-1;`e zWA6lqFwy8Tm(SDipXj~T z>IQPk?{0;4S>G+|@_l**gd;wedc-8BOtL_{PYR@@pS^!ZE?bUy4X7 diff --git a/docs/_static/esp32-wrover-kit-in-device-manager.png b/docs/_static/esp32-wrover-kit-in-device-manager.png index e953e13520b4c9af59a5a0e7dfc7ac73a4afda56..652efc0ebbe6c208eab6b165d90c237642aa427a 100644 GIT binary patch literal 38125 zcmY(r1AJUh)IS_HYHX`PV>PyI+qTiTv6`k~ zHi8EICNYszmIDLxrUU~E_yz{{2)Yz-00!p91O|3w2nNQT0tSZdnBJnq3%c>mOjF8S zP7aI)RE7nE21f&f1eL%+e_-HvVDHpGB`|4l{QoU0gH!*j0|5pWW(5ZIuZ}k8{QfZn z9q(=aJ40rJ{~yI{i2tb#9+wUIzvXFAZLsSGg$U3I&QVg!1q=+S@BIKzq(#C9QPZ+g z)pXUAljSyXuwyVXbucz#@U(M$Zw1Ed$qg#nnYkK~c-q<8yKsB*k^QZ~4JyB1W+Wr| zTgBCek4#fekwnD7*^Gppfr){Mj31tagoM}G)SO#cRQz9a&@VnROIKG%Zbn8A4-W

^0U9HUjuP1w#f5QR|kn#NvBQpaNc;bna9{QqI*zmfjE3K}XuJTK$_P8&ZwuKpGb7?>cKl&FxZC-{jkoFV4i z+}k+?>B&~GjRysgkif!1#9#zQUezwP+t8l10p*)?8CJc-$O>K;bUB*<-LZMTPe`7ox9FT< zi{kL{syctk3w6N9zeTdCZ%6SG>u89s^>^%e91uhRp@e<3 zzl7iCf(JGnSQY$Z2=+gwJV_O)#`DT71$5`s^drGfd)*{t>9pMs&l4yc{}R0?S9CA< z$mN4P*$8FvjDGyN!1rk$hqH)SyTQI#8U>$?K)CzVohSz?_%{zNEtA(U#^WQ(bz;jt zyC+KY`9irKI$dc#+?0Y=SB)zDx-wY8Z92#p}a#O2NmUVKNLXI&L99aJVHBJ>;m&M zovzh#z{P8CZ|Tfk+O`USaMb6;nIT^f_>)#|vxSq3+2%c_y~?s@?wH0I7j=6s2#*HE zU<1`u9-g~n+<+_YC7v2@8$6PNl#T6z#LJakQKtog33xU6biwfz2~E+0FOF0}YT!2` zl06?5w%abR_3BaKjdrw^>Ew}!&0JMtJ#aC^OcV!gSKz%Zy#FA91XVB{#6&@hD9^ap0R z<06SRy`iHM0)z9F?4fKfvx_$IoJPWqT(tPJ;V2yE!|0O+r=xQGF^8L_jEkXi_G@_q z`oVpd=-(guu@_sWOn%jDh2pFJBIu`COjUG@7g4(Via+#$0`p!WgEOw=$l6=QP-F-~ zP0K9N+o#CgIZer^7L{NDQpN8fe|_=1XPPQ&a7GMy>j5mLfy<)nn1N%b8rvQz1w%jI zT;ncCP1As7bP)Bl$D$M{rH8Cm7%&H4$rO(TT3zT6N99>(c{ib>KUE!)PYRlJ^D=5| zAbDab-dsR4WpxuaATGAqXzV_WBm4Fh5cG!Mr#z4Q?8ZG z82|>*_pqT zGIK?){Iu<{rP?s8`np_zw(p*IXFaRvDGkp~H2hpE_U3Xjk!FPYdq(_Y*2py37(0~D zM|*&g=|@Uic^}qO=d1$kxu*-uy89EzB{H^8CJGcko0rxNqAvQ2gdmFLY3nT2sj!NXKt2 zKJN0O{$r|h_fuzz-nX=nzkn^E+OW0GSul#c!S1wTu$XK&j>K_^Y*W!;;cUKq{kU5N zoM6M3*I@;Pn zD!-wND%@$p907cHr7jd)VYyevWN)Ti>zY@A?*45Uggovns$Ln* z+nKpmWeF;3t4M7(+v?GtSD4S~8Q@$I8~%79SqiwpRPHBz?IK~ce61e#-9w)%v!hJH zS{AM`w`(`}!wAVUyQAWMc_YE**TTYaq?-N2V=>|kjd`TK;U}6TTw)mZ{c=V%C5*;= zBHwh5+2%=8wBh-%Q*oh@B}~WBI8)A1^Y|or6I(c?#n#lYXhUekj^VS$^CtR`?PqI< zczKd%mQJCswJ2#zk-`@lt={%bR1HgZcz+^D5I3IPXfV2aA^$Fjcv}&)!t~&Whye|8 zOuH0#`e5P+WNh^kQM1jN$+>~8Gv;-tkZne^!LAhhGx?eNc~``>b1!aKs(EB9s*HpA zE@rGHgfG6VvzoJr=#Pr{g##LnG`k5!q%?u#=AUOluci(@``)`y>phQDHq5+f4QoCE zTDto%vAt*<`5RU7L-Qdil%{CZDxi+}pb^nbV+jND;WuX@aq@1)Z)bwZ1w0;g=VD@F zAv}?Upl}rYK+jJ0!MJbU*}4b zZB7Bib(BxHrwD0h@*i`e66UsEJxUuP9@}*k0-}b8r7@p+fC~4&@vLY_e=@Li*#_s( zMW(~-2=NOeFNatIKbyY{9#?%PN7wC8T2D}DFFNCb90c+;(sF!gSi{nllzan^E zv8G?Yt7KluW^tq}H5nPFD|?#|KN;Z*@Al=MG=0#v%};%`GjI^W`hEK6HI#D1Wj3Cv z3Z^Ck*pQvQNC>FZC=H;>47GbbhjjJ72$MAAX>k|vupLNq6Z2ztBVbY3M-n zXvP|7ix5g1!0K5qMnc7GKm)d#sI9Am3F)v)zRCkoWRVMMU~!$V!qZ+9+@geTM$gvt2?p+@+H4vz|b7Au+QyUM`{$Og9bxmiVbcLwxL#O8p)qR@tU6UL4Sk;9o&%)raeS&_+ zPZC(&eOSvk_a?M%|H%d2tZ!a#z^Cu^X3dH%s#e}Rmbx~PjO~ca!&EgQ9Q`@SI!;h` z`~$JA>j+^shkn~*94@6>m=n&s^p;6|<&tVsjiI5nlSjnQmAN^-rw(u8TUzB$W)!b# z`vA-3+z@H|J(^w%+8Ji^We5cS66*K7W{>iINCV@ERuFE)SBgkT-pFqMx); zEG=Qno-bHe-3DVg-Q;~tI&p4nR&wFiecn`L+wF2`7=GAt`mRSqa@<%eP9R{pWAJ8e z!G+3Xb0&%<8^TDTpU@p9Z8qxEL*ZD?tm4DO@t>Dglp9}8B6rwy0=k!EBI}!@CmN)5dx%|=yN1|J0{lM1?Eoi;@BJ9Cx$SJfn zG~SN|u8J9$uPtp-beq3VjcCU+{8gW}b4{>sNTIoq_3MrZORSMVsV%kwsSO;EtLYGgt9M5CUeDDmrd(2)>>`sM*E8%s!hZ; zonzRgZJAoJkEu3^8fdr*eN~O=X+Hn;L1q~tEGE)>H4N-~AAox|I^U&yfcs-iw=MCO zbK3Yx*dQlF7R5`?-5QnfwJI_BL-Su(DLWR`a;<>E336)pjN+qlFyF;muev^@(d|{_ z&%dr-kRZ8O*C}sXp%UI_JY~Co&Y})%Uy)#j)JX47wFd^rp0MT8|Pj{MgP2~_T(&9x$F zKCmdqK8!O?kx9Dc?=3`_K<-1YDqxYjH|H=u60b7)e>?;V!d}>SzN8AJ)LVYan*0whk;5xrSR;HXF3Ha#k8b0~+d}n(80~Xg3M=S)mUQvA3 zJfmn-U>!b6)$aExfmn=Z9vP_z@v8d~s%Z@wQ=C$)W~M!{dsgX%j$n0OI18TphVdQnC$bW}0wd zXJ=>ot1#<7-cpX8MS_Myst~Y$I1_eOR!wx@T6tTukHTy{|ev<;yOKve4<; zh7`NSk1lGSfwj|EOV(!%pa$y3a0s~@V zB@WJc#}hSn?xlEs{Zz|^kWUxTlfT+O@(nUT{tEXZpda(}^f*NDh|t0DU}dYZU3*cO zzG0NkWm8^>yxD6eFY8@RwY;g$nRO4lV)2UOyZVT`=b^6y!OMnzesNk) zf7!{JY=}LD>T^=y$*2=}-liUD5Ciz={gO!(gq^7~ii&f2jrDAjRM?nJW&E1rfbjGtWrXs&es(FK$P?8D2vgSfkL3HsRW5k>Gp6Hqwa|=FiJh%$}5bb}jBzE^p_4*|p3|@=0zMH`e~wt}w`xJ|Qu*D7HW7h{*V{%JS>7XEzpyTam}F zeLVwZGYBv0&j|D0j`GQH&dwJSyURc@=lXE&x=`_%LX)os!z&)}1%_Pn#4OjAu_kiE zSmnAjPZ~Q^TN+$47lhlg{y$DHZ4{U03sDu2M3x>eU50!Qu%2hi6OJ;Ha~Djo8U*fG z*m3&xCYy-|3ZsS2z!8%Xi5UkxC}FHmAWgXJujtxa~$GoK>hO#H1PKr$duCQOi!2f6)n&;PkZ z2}!wH#A}Em*156P%aXYPu=J@8IX5XmwKs)D?A*!`Qdab$@-T*)C&{k%JD&W6;1V|i zx|%{T{DDlc5i5sD=ZcqNJMlEW8_fyN&;Y52Hmr%rr@K@pLP>*Q2Pd#F=Yebi9?=cM z5VMUyocII@uRug%3zK1TBE4N1CNp6H1G)OPJHNBxZW@cS(%PDdO15cW?z+fz@1lYx z83>-)L?c6S?rHP0D;>$9>77=%*mwD5MQzI#Iz3WKm2U!hLw#hfIht3$Ua2~9NTsRJ z&Uq%shdty)O%7qi72vJ+^G=cA79cwaIWb*QE6`C!pyQ}_qf{z*dx*qm=yXE&*~qy| zGy>y&R-y$7?|6HPhv>hSa-aNAkHpQU4CvOS`Bk;=W6e(shH`~3M6fTHHKmb6u5Jw~DyJRuQ5=Y5&w90QIi&l#!cg}*zXUg` zbcGZ0EkWX|N>tFBC}~nM=)a}`m=N2%W#8jH|LGkWs6H=EVRE>?bYLOWVZWsV7q8|D z)x9Y6|AQH`!O6N>X%Q7$tF<*4@_{LiGxYP#6k`8w3XwTBUF%MIhqw}1jL__ncW0wW z=l-W=5Wrt0nN(p9d4)fJcNHR;Mxo|(hk}M4RMaPW9{Ur!HkMW|*l52tRqn&cvkjUi zHasZ27@+3!0P$t51YlEEYfj9+DW?A95o#HJ#^fPP$^G^dWpwL@a_#yiL-g@XzA$KQn&M|r=Xh%2qBQ3nzT%p$QP*cZEmeL(J@~%N0P#c=@tp!JA~-H%bdI?I4voT zunYDdJ9p4{&i#X_9A~n9Uu`*`i#u#NGzXgqP{@APEq0Qz$nE-lx~j`ixNQi)zsbUi zC`sEtm^T4SYqX4I&I_H19W{smGUZSu2MK0y#}TfuZ^NR#$!7Q&w3D_vKXgt;HQW>N zUoCsn?kH264>CVZW#tU01*hR*XB=+2#7yx0Zl(6?Hb~lzhp`{5@mQ}w2Pn;SB(yF! z#-4?y;J_GLl5)%_iA#u0fs0PnBe>3jz3D#0=rqr)wzBc z8|AF{lGc~4Ra>B1Y1yX;oo7<#0+6>;Qg(&PXu0iOs>QTiYr)m5gaSSD3lc?(aTB11 zf#KTn-Bg2}Pu#6{({jBFYb?QfB^w7D%nyU_4*1oBM3R(R6Tj+Dqp^Z2XeKI#XG4`u z;6EWcL`3A(=VWDYVJ>E>MD!?Am6Q-VsxEESH&T~n<~0L1U4MijpqP(YBi0>C>sKQX zd9e_A$U#cWLz;ck7y1=WB%l=Yi`!oL0gE___zRt`7-#D z75OFIqv1LHHh71P-%$(gP6?dW-<@#lbg^nw8hQ^8r7ph9Upi6g>(vk&_+9cZd*I5t zfYCF1J%fp=opf;lG&yxKNk_hXY)eTg0mzK^iqXHOs)TU*lxU_-mCNXSIhl{!Z$rjT z7dP{Au^r=&DO;7dTweu0^ZY^%Q2II@($uiaJM>V6gn3{JBa+wQj0MHu0Tsmda8?4^ zwrQq@YRigqFijTwAGPtc1RdFTFV zqP?0~9Kyr4A8S3OW8zSMt;he2}gAaVTns|U^BWV|w*+rfVhCR-!Tf(m4#L|oB=fWXy z&dEHVkJ1WBIWj={CZ8VrpBX1{CK9yY8(VS6(fkF+^R!)vW`Tx;1Z>2{n>cmwKd9dY z56HxP5~>iVof5!+?zxPwGI<<7xWp0q^*rJSX5Ep8gSUCFhEhDKgZjq>5x`1ruC z6#C_5Oa1VGAyS{G%STmgg~KidVtD@RzMV~7j*W{y+Q*q;Yc;-Z&Xv8Z=vvJnWS8&a zW>#mQxYf|DMyCNX(}*Y&1rRzfRWXG*gZd|eo+(@7Bmbi(2jX$MAfO2=yn++!!oz6M zg5N+^wz;FtIx-V&JOlW#|B_DNO?>3=Zn1I!SGK(tUAbT*dJD3}c!onF-iICe$)i%x z=tfglpy@**W}-CALhubPXWB8vLXcpvSl57$kt9G!C9i~(Irv&_ztDbANmKK{oj|FM zCJdkO?5uW%)91EqVXD!=;B+nPjp75G#dx~XgVr~Sn730c~ID~iQkEi<+T^7 zFVGO_+s02VN=?nTtWm>1LNh_fNO}#GSATZIAHCETZSK|ljHy{NIp{ug4*1H3 zsK)i3E%)<)E@sq3C-=9`7g|DkZhh#&Jm_3qGtz3dYdu}rdca7^#W|z*zCBxW%>Gv7 zt4ui_Ej4HGrHKqP+aWsvon1`tZ_IB*zQN~_V)IU45_-h zHL{kZ*;9RRPr{qRy7>_2?SA%pE#+JoT?@OPugA2Ww?LkEG!l?kc8r&e`;I+C_Y&f6 zZa=X)xC|08Q1_2iGIU410aZNG=lZi|Ud^=_^K|)F5al`w2A-@9mtcq=&6bDa}efgJmr^ zlbi{`9Ix;Gc`TDu{MHm6$aB`!eEPE4lJh~Sj^OTM-B>l+Emv(N)=~kfHvQ=FX58hT zJFEk6F#VC1eOILdr`~k=9wz_#u#-4p$@w!Zn=X_sn;K~b%yIVKi~d%U)kgPnoIuW; z8mrUArqG;cb+DmDx5b%T3Nm>tz7JBzW82ReM1UEf7b(vRxPP`qD-xTb2nsUz+*|j2 zgVTH*XOV5PO8aeJ0nxaYGi+XXy=cG^Z-5mXatA{4xyE6shNGCCN)PX!px%fg6YX2g z@Xan#31MIiBy#$_-|A_Sf1f0sI!=45@%@|;F0r=O%$WOPDP(#lf#e@WN&l2>)@Y-o zq+jkGq%@BHzD!atf7P?2)=DsT6(LE9*F4z{ysiyaDqX6()s|q7NW?#F);8<<1vx=_ zn=IU}d+tqC=AB$K_YF3RAsm^BeWCBoZYo#=9&)w4vAiT?x47u%*@|R2owI8)Km~q? z1bcge2l=XTU=F$q(S()WAKo7C&{q97_!gU83av*gTOvc*ii|9%x?@_MaPHi_iEJHP z-A^a$Z8Y|HJ&8hmh{QLyN?xAsXaPNZ=HBobUi0}_1p8T<(s1zEA#it zDyZvqZ#*0lw;gZoy>ZV2fY+o@cjCHJvsFLZ)O^<>uMt?)$8o6iS07wPiLQ7nPF?eo zi*S9&k0atTE;3B&4A82hCMLz+5Mf%bYMb@~Z~A zUz(8PR%#MzOgHuv_gw!9&3+1TI)@#2D) zY=UbypDO3E+qCM)-%viFC;a#Iu$)J7{slYvvT3O;-whI1q-r<6;IAzt~qhkmRG6i z5>?J$;i%46bsM32hAr zzl7W6P1_ur(#6zJ{z_2zr`d6HYs#jw8E@icADZ`NH{srU1?yAvG?oEd%KD+2EvIZymxR-^YV7zj^PpxSx0~BYggF%u%MY7xDwyOtr{$kJCOS2$kKNfJpCo zAE$+Z?tBG!aghCxvN-O!^LVpQeOK?+ewsY?izuyk0>OB#apRgV%;EOLX~W}qT1!tS zdF0RSuzNRarK*q%(cCQ1kt_Y)cm!8y40i87jr+Osh+nb_pxtIGbQDYH8TOs}{X|0dy09WC z-=X(Xz!k`$XxcvC&{R7T0`kvLKpx@AYaXtz+>b|zr_=5>?-z;rBZ2|5)eOT})Hj1$skIh281YzX_{heL z8ZA59vBufJe791JSUW%UjNn%%OCXq#*|jLoz19{1L&2D(n?AJf=0c5_O4{b#*H+^} z`6OI+virj59UfILP~x-gDjU|!4-98 zPWR-3F@Zgy_9_K&sAP@zV z)dLIu{nNixqkD3a@m^x|cMR-3p$Ik*1X7@YN!9#A9t0prHFW3=h@ur%4RZSaWdiB0 z!;YqXK^Ppje(KPg`;-sdjK=4%uN!8({MRWWY+zxg_prL1Ef@0qb)mq^pCn;awEuc& z`ijcwyceF=r8sH;=Jnv1nMcTuBeZ;u4;bCB`6!n#6e5t+1U|q z4Sj^r2jzdTl4PjBaQ%{z=NVav7Vj~<0ybr^a{bz*@xE#~t9sFwDDnBl1xb)oHjwBVJ(JTzD37Ut)RKC|UX~ZdXrE5hE*?m9OWJ7R4 zn^yaJ)XHSfUJTJU5@#TEARIU|-$d^pm0`NH&3<>Nml5?zjno zHv-1C3*l^M`kju4ef;Nrj?V7gKM9w?Fy=->i5lC#p&7UL1fId0o4LICv1uYKR%m!n zB!%!;m_fl%qiBwUHZjTRFvlNQxZYpC9Q5*u6K$AQ`qY-K&uHy^4p!TxpQbimTB5Kr zF(pE5CerF;i~H$rR+Hi8H40>0Fj%0WQ(z?!CorGLTNmd=mQh;2=aNj}_?0frP{WGO z{7IjY)1CzFdkDdoGJs9=>fiMVHv0>Be?6mcS)29p(V^{9T$5Aa?HS1Fc7&1n^-Aio zVV7};(&EPt7EF-$(w!?7waWLsgvJJNSwc%#d@bM3lpJcOzt?Eho+G;u>V#$TnG1WP z%jESl*hmp?$Kn2yV9jpXK4g?vQFv&?v@$_TNdK8p-Y6G>tiu)M(rQR{HM#F=ziz10 zsa%ymgDO z@9?yaKIB&;jmcHo|BR(T>eb?`f3`*H=HQpW@n>Lcplw#x6rkK8XjXHYKejgXYtMJ& zMuxockf_Gk$ld#LD6^1D>8g5HG`c1AR3&mR?(f!5g6-2Rm}Tic;q(lrP&6F8g24;j z2KE(ukQvQV_lfh&!qa5JdZ_a#$pHzMl|o8Ntbb_|)Y2gl!j9kWfXlxb_ z`e77>GwrZQ@uQ}eKra|{7L$%Ujv-}J1=xapep2E^7Mh+ptfHH#U{7F36eF$~{?dZM z%WLK=T7u0I6iaK9aec>fH%aean4Ua;6aF6a54+Kj5z>P}15gVgW7$^1OZrJ2o0 zGOWY4VX+vw4~1nxLiqXB1>G;?8~JgvR@_kEbmHST;dN=cFB}IQTC>k0cuDwCSw$W! z0%N;imW#vE$t8teL(+%r$J;etO*BYs60uy|f?l-AWUOwW^r5s$G3UPlKd_@ZK(!ap z{D?x;h?WbIocE#&a=@$$xWH5ExW8+a=-!(mB@)x+l)v36-73Q}6wW^-&`2!a)fWwF8Qu7*pzNH2HnZ-n?? zImki}Qil|te~{|F*F?&T3g6Oce;H`UAxYfVD#N#~$=K)m;dx~s1=N)fm0FihZU~}r z8Zfq_mfO3TGo$gjHKyBbaUo^vOa5hK7q3j=4-&1}O632i<}R9)~Q{e~%En@3JG}KyJc@lJaJXehQjnz={V%HKC$r_Nm2Q={Zo3 zt(M@^nuh-WR)z@-cO)8&wU)8xaX%}w)>2hG&{#P-qN%f8i&QsOBX^isM_64MR(LVP z>t6XjwAyF(c+qDov{@s*`GY5pc+Cf3hM0}>_pu6^h-S+tAI-xAJky82W3-@z*}x%T zlI@JJOF2o?Zp!)pg1t?sO}oA7H_-l0PN;Hi*iCaYp#-v!!k?7CSa*JwcXZH_e^RQq zoPyVwri}id%v)jdNy7{np4iGpP>weWCMGn$cAcd>z*1B~VyYWp>3T9r1cOY30@xCl zVfasJ#|j3!5sB9mduD%`ETBbMgAP9o#m2(Qy?6bX&HyuToB|^ z7uR!BSrzObV!udY@t1czJP1?)fKyI@M15ZQipfX-5@5(Hy)U3)4`*tRpyJdQD*-06 z@8)HKCa5?K57{QiAl152XU4v+?ex*u@OSmbPlM1X+-`%^UyYWXDP}TS@4HkJHT)n` z!rYuP6qz_SiC$;fn;!%zae7}!DxH)>@%aY&SAC9N^KG3HrRqp6Ak0oInJLqFdM`m!kW4QKZ zlNhYnPaf7Cczs*GNumdCZ^4dVTZ}Ok-I2)Jej`#B+*l8BngDE;MIdn)ge3vC!cx~J zH!rH7OJV=ZQw{?eC&&%A1NaVoPv@PL-WY!KPoh5?8O0OKN~hBInRM$)XxQ1Y_AoIm zS6V(OAvu$b*A6Wi#6FwMaVjeYlZP;z2x!9*)s)QMEnF!TuTdfec26E<$;YtFG#}B0 z2&KLr{WSVczr{ETSxw2%|Iu7YwJfyjD+jbaWlbnk>sD!jp4W@bC+5G#(zo~JCtG_Jy>OTd0xayN;8y{?hEA7v_}puQ$V~qvkfOtC0XW6lNxqhb*pQ{=t;N*WCwq zkud1j(uZ$PrQmH$;m!vRyx9@ z0W|yWNK;=|xomYct04x^<;uog#t^hwVx$N`Z;Mbc|)lTf{*0;`(b5 zIc~w~I#YHVs%;w45P5@gnt$N6z*CM`@m7!XB|JZW{d(8Baf4Qw9Ra*K?(Dif<>>-a z_hpC6XK;J{(Ot&ZN2DkVNWR6DJOnKn!(=I@+_3V;+tX4!uS{SSx@K(haf9_7DB+>1 z3Wdkrw(vd)huOG^yHd9q&CAP6-`V*+F#6sSIWU~4L0VR}P6I*DdZpo%nvPD`t$v2q zKz*LUZK6HSWRPvUI3)$g)YO!Ofni)m-TtqnLV*${N0}NYuX|WWtF?gHe{DJ}kXjEy z^`a^ZKz-2cqXtPM*l}KqVjLC+VKTV({PjOwE&W3e8qqi->CSdJ()_jjLj&(+c%vQ=&Pf9LP zL+*{QgSDQ)WONq^=3@HkTVTBR-y$S|6@A9u|Bztl?Cd%oz>@j6_gW z4X1xImou5F(^Ba}JgD4fYC~h(HJ^hRm68mjCFcsjvTusWkHm#}`SFMJ!1i1E7YC&u z#tga#^F1E}n(N$n9e&rqkEpeE%rKzFv`H^IYS!~276Wy|O~TMCtKrOx=#Wdj(sl#8 zPgH0?Io$8>#5ACQWIoIS2?3>0(!>N~_897&;Z8y2RGk$1_0c+})61hLA>Zc;DOa|R zXY1M@d%Rm=q8~5!3Sx+Z`sy&w;oS5tr?oCMxyh699>FM>pNQ=)`85=xe(_Dz?QxIj zFoChK=J?!4r%NYQ^hU}th5Le+{diwAC{T2$;rE#^I`&L(1;2l9O-T^nkbT=`oO}=w z=J!AMLEiK{g!`F{zDF0b-DB+5@YqXRs9B&@Yu*>18OLlQt`*@u2q^EH9)%j+Y4mnc zOjxQ_o9Nye5~aCeVY7&rQjXvzEOVf&4RWkZVD{MhI-2`i_cA4}3^%HH!3fG6{d$i^ zq2SMp;4QA#?tiO~4~hW+V3aI%aXFYZP~KRO?XjVc<7|fFOhx!B{YuV}$TDpEhjQD? ztq*%fm__(!MXDgR!Lf0Yap0%X;hZHH3Q@kWe%zGCfaHgLGLweF2#o@!Cj)bMtg^K7 zbh-81hse*9thT@L2{th}+&(bbfMW%ur{d)qLrN0w8@}c?OWx8)CA6Oat0@F=(J20I z2*&q))MJFUJFGU{a7=K!zgt>6m$mTv$P!stE`BXgIdD32R);pT!^%vxE;PmrW4B}< zz@AD;XxYO}Ave+M@Vd$bIJ(=F&>s_%N23SowV*WP*2=X*X?G`PN_!CwTYX~CmF!iQ zS$hc5zu4u|8gQYnY=K5}7a?ZvJ{*Bu1dM;e{;R4M#X+iSu*L1zagfks(HL!4J4?WS zU44(%8)Nh2d%I)OM@54D`BcwRS3JKOj7?XQnxF$~tD+&El<7ZuqvMW74UGZrgQ|`D zZMBG>UHq_a+8ZZ}k#oGT6HoL)J#tt7YG*@`jBqC!{lxk8-m%VhY1%#H1fG5DJe1u) zKW5l_(r)2HJo>v_*mM>n$<2^xk_`%mK^|Ctl|G;om*7@^V8e->5B@rqzL|5hpl zaOTQk_$>S~2_f?uF{lJcw}U5 z<FE)9nzdY_URw!^9{t|gx5DUd_B$*!qZ%Q8D0{?@pvF-#NVJi zILZ_HmKvY2) zF@lgTL=1Ro&e;YISATY=_jzC3u%1zkDG?Y7!f_8I&v;I1u~}GF7EQ>3s>0i4o(ni(PDRL(C=E6->8Ka@xnZ z-OcQa2f+?s>j)%b6Uomft+Z{`MDRbWhrZSI8l%l_%xlmK|KNX46xAt$fiERuM~wR% z*@a>oNJ;VPbt8(Nm(3wUdcJd@LjvqK0yhM0y$MB##1L>utQvWf1tyJ z$$21}GZDk=?17D!ofR^vDHGh4vmrNcpTg|sI1H8x?~OK-1s23Z+^$CbR|XC4c-}E8 z+!%VMm_>+~>}u#VkKH-tXP`6hmu+ql(v{j2_oFYj&wI07UYr!)+uKOVmwunqoG2%n zyx^IT9v7M}e^_^n9`$QVaU_vPmCn=ifFiZVdaeEc5XJf?gub7$1i7u9xZ-BC8b_m`$wH%`=#A@DxBV zWeNrhfrJVSxg8T+$IZMBr_=T~?E3-igRlGiqN@Sxi}f#*C#$?jJPiuj z!x@}ZG+Nc!n-Z!|xdBy!-0V}JizBT&;h02d0BsSf{v7}c zec3O2CRDg3ZHK;`eUh)u{l!0bn>8h)11{73)!ETj`H-b|aw@*D-=6a9%*A! z5c(Km)u%YrYg9W#_#5wm4p)NK%`BDw3P>AFR2ndP2j_S!d zWhcDcZby+>4!R%Ri4GPC$Rn4HPirtOd3-r<_s_bsh_%8~J=Tp)D|=Zhd5yyoP@A?I zwa_Y~wfWb2td?et6w2&R>NS!iK`Q9K008Rs(Hw$LY$lwg_P@ly@B^b#1S7QP{a=J7 z+k1PMoIV8~ndQ=e7KAjs0$i91zUiS10`p-d5OVr3-%ioQrl0$s%R)3yMjU-2M&phD zn#JLk091yA><1_L6vx^J_14#Y-;)WR5TE_GW!iEzTjI*6q2{e~@@;0Sgignai!ACm z0+D_+kfmg43M*O%EIPKRer}yG{$=w?{MN;A1sogA5D?Rua{AXmXxz1+iNNTF_&(igZT=x1vof%> z`Gv)8xUQTbu+F+cOYtmLzr0A}sb2}P<`u1ZZZ}FO6_tIefgdU_lDKTX){5l!WS`mr z0r2)-kYb!+Oi&kG&;9h+vx-Dcc3k~^r@f(UdiCb}y8{!B0fiR=q|W7vCDMa2mRX_s z$z~HVp0Q#$dr>-BtwD^DoGEm6OGZG^Lw#&G_9=yz4>OftXSc*|rVxL`r5xxzOfJDJ zfPerv@=_JSi#zcuK!m}Dq+R2wU70$Zs?bZlDx#P9c!nr#HW)9wH`73CZR56_;xsVr zNeuHNypL|3a$Vz`hAt)H5FhuoeVO;Nr=thCQ}GWe$a1XzANxq1WOj1ba}T(%71p{v z#Z#hv+S?biE2KeZkF$c6Ct(1tA<5t((%O)f@JmY3j>Fy@Q#WMKs@U-fiToG$)9#mP zPjb<_4z*oL^D!aemI?JwvrVA4*W=?EQ{)+Ovn*szzW>kv0>EG2C)Db5>bX2=n*N?< zZ{KwI^rL^2rzYT$F7x|$u=?&is?Z8`dI@do5{n7CO40ZCI08c>|EY2MOti|9qoq#7 zNBt>8v9LR%k%l$u-$W9}Ka2^=Y@Jfc>?bH`+Z_HD4#Vh&xQYyn(r8kxCQ z>I`B*TXxra9x*!OXe>~ZxNOKPDV&gz_Pr&%zh@ko(i%uz0Hoa`IXHX;hx7f#`ajuC z_s2|&5RJSRKg5vIPp9q%EWqO4MMoR)B=94<bs$>#GMF%UcJgzm>55pFXyBDqz7$bg{l9%psihD^OeEU zvdSm6cNRBdXj*^gkH@6WS50~W8usxwCU#)3l9k+iGkyPLsyA-558v?M98+*tQzmYHVBYx&3^9&-*<2 z>*kz&_StvunKf(H%$3p2HIJv*pKYVz^ELLKoOF;;e46#dAW&9yD?+>b zRiB16mvY{(Q}my+&jlz9+pFEOg*pe0OZ&U*#!4)JjOY$}v+Bh>>JX6}GIuCU+f4jBj(8%(iwU0lKg!u_y~U6ftxJ{BsvmGp zk_x#MPN7@k!o!PGS}#k_|dfy9=?OBL||?S=|t z=Tj={-K2T$C%YQ+)9x>@;RTmuP4a6!DW}Zokp=Ndx}dv|gda(=S+nZdhf>{LDR9CI z2l}#P3iKbckVXQ#m9QX`BrzZlk-#j<2w5XQU-gkK)R>OPC|CS0l4CAasX(M!?&z3P z=(wFvmglu*%XtH_{rKfrEnvOpf^qssVoNONzN;tvaNAC~G+ zMRy_(q~yqgX)ieg1J&P&}EE@G5t+E3|6)qrvnouF+Kqfs&$i_ z+TiyZ6rbj=ca%OQclx?h=&Y%QCv^bcN3IZxl?ni&pa{a`kT;{}&xPMJ;GmR%opC-9 zP0&ZE${+dsl-&6<)$g;(%ex~EqrVLuo(y_G)n#78Qy$(3o2=HntWb{fKiX&+iq(Rm zi}3mUJs!8x{zsKd`mL@up+xc|5wcnD-Z zE8vuGY;^gX=k|~TQe9~QI)1fwg}#%axqIGn*=7RcjHjHP)i&i!T$}XcWN~n92bju$ z_{Wh|4$W2lFTN}#rn017Pv}YEyb!b1`M+HDEs~ksn7`_`Ma?h9h)RKm^WCyZ@zL)w6BqcuL7e~+ z4G2Vw&3EUtdJ)@UqIn-#5|UN*7S1;Z$M~?KU}Dk#%i~x23i{g2~O(5SB{S7Yb21zWgAAg-!L3h2}6A(MNm>wjySd^(XoyC2V zKrA+ScJfEUbKGf@5TxUYEJGizDvqFHo*T9csnd6>Nqw8L*C>IKH1|uQ)GH8Ws{0BiHzv z_2fKkJRW8-(hC?zZDgA;$`1{OSdeU#x|40;$a+y6P^kw6H=9s{6teopW zm&*32g@>}y{#%#tKEYcj$y!CwJQXS&P)}M+FB!bVDvu{_o$0rY4CzMw`{*j%W|%_KNG3kXPuKWGKEj9As* zruBL!{P5aQSkJ2z`U@@1olRE)jH#jQ2xf>&A2V*&dV%`k`0+}v$~LROk1e{L_Pi>e zTQ67H*JOiLlH}3zdq(+-wl;Qk5C<4w7k$Kl48TMq5`aa!qDk;5x=Mpl#6j!rhtT!r z(?PpTKsx}lP@W$h=;Bd$xV#Opaq!)r8H`Cjdf zZ}o)VB{#}LP2HV zPu~6FsYG>lgSLW6R-8q3-(yFnjnPwltN;}=V zQpCvvNBP}!)*YswAFG5UouHFJpQ`pl`XH+UBuQ2uTx6>@MHeb%^rl+ZmnFOlv{bh6 zzC{1Oq3X6YH|)$zo8?1;htJ4jlyJQ=q@P>HRd3Hiz1rM1y?=SK*Age8TwukeND`7A zLiB$7A&07N0-X?(7CF*O9J0rHlY}QxMDQ0)SQls4y?^i+-N3klUwpH$LS^Ti3EeKg z@Q5y0$k9ye61Gy0x(e|TD31}VtNr!`4dqE$CCdG8F9AOZITWiNB*_|xDSo5cco;2S zkN3;qM_B)$D6#b}(p8etG!DgiJ5O!1+doE*FMn|o=+u3V0H8a!|DnkB%!Sjr6>?OQ zU3BbK_|#)l*G#D#5CsLLCtbN)(L=CY>m&RHahd=jEK1L0*Ve;h0Q(onPd2-8U-*=N z4anDwEQL{=$Eg|W3|QVSb|ZXquQTqk2rVm@RWxnDTV+p7?x^~*E4>g!#Etf(hcksz z@HuOIr&WJxhak>@$SW#P*q{z*p&%9_=nqh(dw28RZPDF+UmGnfm^j-BgWzy{+Je8? z-b@I&-g>`c76M9`RYjZrLTpVr!6)5({_A%Lc$^^|Nv-~6zbbwN2)r-;Pu)cTi9;X1 z5q;XyM=vh?otZYyB&O^9zjdEtQC<#mqjT5MJqJVagsc*JXj=ZSt<^mc(K!(C z-_9N0)>EU}?p~{JpDssxx4)V;M49gLh0<%*#9YkI-{1Y^Sh=tVM;>6F1kX3*6a>k^ z*E;jYarWg;XE3GX+0sYfzkDe6|Iyk+fNCw|3X?(g1(e0X<}{=Gh5T z{{m(+Uhpw75ja36_mwwHRPs@EOY(V^-8xaW=BDd!he5Fpa~euRNnAHn|0{ zFR*W+-Wrqr*_5=pm}uu6DBYl7N_< znUxkkBN_K8;6VD)C}08vC;4_2xP3EU(3cWaXJ$6W*W9n!nih1pa$(UJ6O{a%g4Q&@ zsn0PJbWoca((iR&xjRFDqp>J{p#yT{YsM#7#khgWQHcX0L_ctj?h_Z_s~Xn55M|QX zgrBoGSNJ_G1`W>>zGF!&*K-t}eB-oPg}jQY6wBSp{ptQG<^3i868om3>60~|(VDWF zAWx^;Q`95fxMD-A=v8b|(fly#i9;WJIr=b>Oe52yha4xsHvj8^OW zwWXe3kH0*V8EZaLs(WAFaH7HHOi=x}ueg6=#2%9d_YRIZv|*(u16lS?q_YgZ3UZWy zrh;9bU)aeUPBi-x%ied)`7+2k$(L2!OY5D9kZx|E`2;3%lZ^gJGFmCb)diQ^nze`1(Bfb)@wQqr)Rv7V^d~ z&Yp>5CcS4zk+@0;Oo+aRh>Vl89Z8Yj=%IN&TGuVj+oLS}TO2(-u`}>U1}ayM8m7)% zOJW%rc3`Aj?o$CEeB%m$?)amd4NzDG5?(-sw&TybX}J?L_0jWfKmo)*%LyqCKJ~WK zJ+j)btEN?>Y$&8pT$Lw2u+X)GhjO7G>|B2I#Tl3Os8VtL3vkTxpjc`4y2f3%J(p*4 z34Rvw*_~*@YVBU(YiMs*{7Z*n!up2jT9ZrrVICC{?fiR=9w9hZ?ItsO(+~SkcLKi1 zg#Z&4idy_%q$bqK518;{L-M$P(}IHF23z!3wEZm}j%7U9qh(w7TUf;6F4B$C;dliB zRm_&w$KpUUAfE9Z1ae~K^-GC$xXUj1LXpGBC>PnPH$6q07sNYozRHM4%c0}!bLQC8 zKp7_R4nSWLu@OzRS~PW7!{+v}dl^uRo)VANzVha4cZk z2`|+do`^{5bpW^BepvG{gm5dJ{r3)ANgwV$IsIkj$6Yt9t5){!*Hgv@3#9%ke8)aL zdW#OU^FjH%BhF-IQ?a#F14`(gjWY9_D*ACT-~PpA$AE5X%%2v3xEq;#?vwS}no7vT zGmS?TJ=J#ty%{bmCZN~*_;DsLEt0tMgXq>)Pa&q<4Ew!2Vy8^TNo2M)uLy@uKsKYp zvweA5o7tLY$zPe$U_@EZJMuG7G^{(_NuA|@yu-q3Ju_;i_Q8#SeX-YSuP3!31q)_6 zLIe6J!#5xu@EBPL|3lgvL)B`mHcJrD&_IWbagL2S^C7j@%`l%mM1HA(0Nip_d+X)e zuea@;WC}R_BCZLi-@>J+RU#aQri*dgqnwabL*!M!?utz$@McW#E4YQH+-EQ#*x(N8BU4lN&;8i9Kkxl6ih#C11UVNX zGZ>LD`)|emJmE*y6?Ep_aWOGBh~3_&%ec=5>EHTS0@cIrQbBDs&-XkLQ0LWhzWI{or}IOwe?lFF5~lx7@=cRQpr=ozuL zw12QOqXr9>K`Vmjq>b@^I_OPg*KA#$<|7$C9>bLglT_O~;?gNe*8baZT}UDK`OW{) zJpZRss%!=X2?+NWhS7$jrfG6pzr|$gP`{hPYx5xEqr;1{%5VdP?7b}b+w9thGMS&^ z#3=O!6K|8&?6=Y~PXs+sV!ri$tC(sPRp7md9iNo>CKDV^ISZ1Y>B?3yZE97bBq4Uk zSQKpCK~tF4o+#L0yKT;Mt(MPc;&2U0O5(+Y-5Vgygq4&QEioSVcg!p77P9gfLFPhrg;v4Oo*5|DayJAW_a9Z;E84@o-WyL^3Ru;#;O)@;Odv z34>so(LX|i2n8TXxS}N>=^JJ2QZhEXk2k)?{Rb3*w1vnWFN38~-+v7laG1c3B0#e8 z{o7-n1u!|Fc_Gq8j|yftStHO~@_3~QwyCKJ&^Z>lNwltTWG2la;IgCne}ZqeB235n z-;6|v{sJP`kCc=ygx@pDI`1zy^ezNmLv>#cu~(S9NOV9u=#K_%sQfRyAGn$>v$d=* z5*HO(tcl#8Xow6Dxw}oaswXU^YnKi%SWEUgjyI*b%^o={fQfW~^ zz|Vmk4_2Tx+t;E{DZghM%TSl&xWgJOJBliSzHmif0YH$4+vU&-wL^r= z;hE3}slKMK@`sZtOBsu*k2awL+{zoXcz{c)}IyTZ8yIG=QmgHHcCd8h;q z=b7~@cii^)Y>M0_x{Eu@Fxl(z2Csl}faKj@H|q z+IrDqRIkkqW)R6$fEQ>ZHMob&OziObV4Bk@2P;3Hyr{ff%+ZlGXC|r{0Sz6Ul%fEd zhNg5x{i_zGp_>JuYhUwk5F3D#u3Je@dh;Cs6D6K^I)?rt7Oc+;pI`QLfY%ut$}B&~ z!*^s^MTop{OMz-rgKV?dr5~^gQm0;#n~G{>FrYT7F3~cecRbrQGvL3bjYiT_U(4Xc z59<)2{WJ1oUzu|?jUm|EiCxU^-CeDN*RC6j95on_B zWDQJ}etbXQmNBH>eSBnW)*)>H-v1!i?hRD@Q*N=lI@}5-z*kqj9U0@HyK5}0SbkA< zEY#iFgx9ZH>hL!3NfTK`V*NAiUGh6H7>SSsSXMgk`eR;vV=O)cs%FQ-JUp=)lhMIg z)TYXsI1jz?oB*;OdZ3#pMV9nA5< zO%Lc=eLXUf-(RYN4?-XetG8axQ7%<6n=i))nj1)oqefu=^eOmKb-x`>uTS(XjbMzF zW(keNxH>OscD5>4Qkn&R2kWBuR-6U)%D*hTZWzz3e&thm7K$ks%{t4oSQL|tDGTcn ztW(($v_`ZKw?$VTmYgiUSdf1Px}qTxFYoU-=<}mcdp3&)U~vYypW#DFD*s7@rTvDJ z=oO{?TFXK*DnXhUV(^E7%Qk62I&ICgg<|Rh&3{*&rp@Cr)IQw|SzG^AGK9;!fOlz~-)249U}g$V`CtxZ1n@9) zKR=9qw4n1d?V%n0=|EzCTBs-3F z3eGpPpaa%zuM4q4v*E{K3NOgs5R{}K`wyiu05Utiy)G)K_zlq~dI_QbZ~!13bSD5T zLsAl2P7%|(5@?OPr*XD^^4NJ5&l(IeEPwMei_e*I=|bR#O1<;#VL2`|qzQLKo%!E5b0 zh>#cLGFH|*-$G-1j%E9oRn8HQDlhk*>_(7g!6^plBKYb33Prpg61-4+tJ=>6f8R8~g|G{4m zkD5D3Pi?QLcJ7KSYpD`5lY-$h4m#yk|0ANiU~Hdn3Gh?j~gi;lrEE|!TxQO z^s_jjz`0%}o_bD`nzoJwyBMWj>q<|KS&X4h5VyxRFFsbIL~zvkHqc2D%0|;z z8D*p-2s6tF(>a!O;rF~oqg)CsX{ZCyI|vY2jO!k;b!09XXIjR99YVs>X^Wv!|N7e~ z&Yp|I4hP6L3ZJiM-66d|_=D-ed1s5``Gz|8`K{ee_C{~O6`MZOS}Qg5C(JMTEOIGn zDEvmB-Xn#4&mu|}S0e8k8iHoCa(X)2LkXb!nZJm+agL+^siBV5Q4&-#( zEyR*iwPZea+~ivUxdT|jzHm}n2U;hk0rpg!7PD&lAQ}0TQp*NQM*Y6w9t}V6>JY`S z_~s~}@Os?Sb35+)JZfmQFUM5$4^Z}-M>H6JH?;DQ#*aU-bH)Oy9EX-spOfYeXG;&- zpZL>RB#a>6BfaxAAVN}>WSZndltkjyx^L&+DN(a}7Q-TZj;28t}4rSbq))9)J9WxjmwX0%NOH+%o z**ZNN(I7c0*|T^AEY)ZbmOU#r-Sh!FKI3ePPudGCUR!YoG0Y;yOc7jlT}Tt_**?f&L0>CQ7bli zrK75oCJw5@fe7lfPW08u1~UrbvxRQaMA*GP|5=X*AmEN6b4uP%J8o%A{F+&SGp(c-l%U2{1^ev_}YE zn_ey)FPP7{PF|`AYH#LIR9e?!T=TzslJp2xfQ-n+Gt)dZE6R#`Y~Ogmf}SmRgPB6D z#-uKEW8pI%-%PNvDiHJhKIa9R0U@w-7?UOrvxm#Faoon}DpDs%*3GI^y}uF8_W1}2 z6D0_gmm&d1n(ClWXe8gR*?AIawegyd+vzXlO}keJ0zRG`>VBM9`_Y8JVsvXrW^gL+ z-7eA#+)KdO`Uj#ik%e`cjAAzp)t0Ek;^fE2h6@LQvr?Yw`5*N!1mERO&VG}X!r>Qx z51lECW_PfmJVN1;6*!R%R1fbqBDFUCp;;uv#mQ%nX@b5d_zuz}Q&5OAx=6|or;sz6 zkoOMBsqLJ}>E&MddZeMEpaW#V{t_*aR+cU<8VHBD(_ERlfq^Z>ocY(ft|MvWf=-rr zr}jHHEq~K`iI6+;*zW1s>h-i>7%iWW)_zR^B*tVa+HP@fZf~dBJ)g`@qEiq2 zmwy!moxN~y1GOU&`XxT${XJ}&TG8i8ON7yyi#@`;j`;-HqUaL@W7UMk!+q}O@LKTl zRiH)LI^bYTpHy1qA087ku_aF>DMwb;mqj1)G(O{uuDVxagQZe($4=L?sO& znoox12>r+Fp-^O=KIgLzULGbc$4By>i{FsjGQ|oHKgem}$lm$pkjceK`SEyn%sYKK z$9VRAbv<%K(>hd>EEb2BR zq0}P4S^0qNku=1R6G7>)0ec`-na&U647j>;)ofF{sb7N2H2m!huXPs33UjJB9DE^1VU1Tz<^Z);0zKpIaJ`e-)rUpA7y<}YxWyDykhx#+rk|7#-^fmTz%JE4F&M|+!BIaL+Xx;etnM9hs*o7?w0%Y?q`L#Dy!d<8QX)BTD?E6I?wafUfuAg)|~%A8XzX@ zU(|Ikt;61&+vK#yeJNwRoj+`ba)G*vVEhxwSWk|X!($Y>n&k6Nq2xD`lgLr)C`o5f zc|Jm0CUzBhN+GRdYnRp%(cX%+WO4vKfIq&;W)-CeZ?qhZ@t8kc?kHWwB2Ie}HV5;& z?rA$dow!VtHI#4KelIn@In*>CO_gHHyAk@{Vm3*VNQuWM9#_{>rYS$jM=aRQvTV9j zfIWR-t5q5l<#srI8HiF@`PVD!qs%TAa*VMyAy9o9+rzWoQgb4ro)b~j3g6%T&-dMxI<*(8z=p`jn+$7)g)pYaP zs=nZ_|KZZN+J6_0XHumwFo+Vo8(C;Wd&CHa3T2d(+o62cId7fBY(F#k<9d<~;q!v)rgFJdWP21w z;;()v3q>W4L45amaKwj;MC3xl=wd%^4e+h_9*h0E{<7EvqmCIrjFaHBinmS&GqVZf z1VKeX={?3UjvD+QM}?n5g*zeH!OZlx`e=}XM9jW^wgesbeT@5-x=%QKSJEcGX*!a; zw%1yUU33NTxtt^136DARFuS9K(+Bq#u(a`T3fg!>U;mV^UQiCa=!c|(|vU#sw3<$&>D%o{KV0a{T3Xrt~K=vj#H6m7@MTV@20d<8D6|O ztA-(oEi0)rDQGE48-L-l8q~Q*;?)dZB@85>=mA#ELyRHi>O|%QJuoyaEkhTcxu(WH3#$foEtq+DBwn@oVfngVQ(bI z=56-Z^fSmoStCRIfgk#HtL!4PzEvnk{+{vz!5xgo z?6S(^^Cv_56&#hVAvnS>)b0??<HMm$f3On>m!^6qQ+I7cdQvCMb}ocp-ohXn6A;%Bn^}&o|_T7!QxG;tJor zBZ|R;5M95LK(LsBgaj~ESg@evH820m#nUW$eBByD=C=^Q$OGpnpEBk?Gx*hul+f(b zoR;v$7akmwQ7J)$o`C{9JCHHm%ImYFu##D)fPI6;^ZuImYk4IV+@Bm}XiI??>bUjX zqr-he!nR+^Ftp~2)yCJv2rsWw-{uA|xMf4s(;>h?svo6Krg$fL0FC}TEIc66e)paN z{K2oT>tYnQkJ-E=@(kpH_!c5lc|8ronA^U;yzX}q&GPOlVHnTKesRPWwvUf$UBMl%@0GIORhFj|)V4Vlm~A<- zr#h)DguO9hAqw>|Cgno>aD7JBj#vgW#gCThVc*5MH#Tdj8SHUQ#k42qbPw{RyXg8> z{5B`8_Ci{&I9h0s1;m={>gR*Ld;Kvo9R@ zEtBXFTZ#M*hhcaU_B=eN^}tBb=CWxG_Z}}lKL46oqP$2An<0uZ10Ov*cUb*ehbkvY zW$2UrI{2~|{ZTz)>ez@I=vm|>-OQ+rY{pf~s-P^oI$^F|g19(+Ol%Uxj!wJk^Ac`T z?VYrqA13&DbQOPd41GCJ3d)O0=43MH;*@36@KhL--Ib(b)MW??NbAF4YxV6=1sbBv-t>3AHjNQBk&}s^kV$KT3FO*hYiO7?=(t6hW~Okw z0-{c$vTcX+i*{$qDej83!==N2he7}xD+gZTrfZ;Ad0;)dQyKcFt3oL%4iwpwnaEYy zgJ{yj>IJcuTvp?4#S-5&O4F@YD=85jRj*07DtChFG1rp2ZPobBl^%J}W5Q`LW#D-E z=7xtGGe35LGnE9Nye2$QMd>7}9dx$jD9ztSzRt@D1raDp1k|V|uYH{$pQz6%e>s+x zNvup)QNEZ4`RC&^+!|Nc7236t6ZOSa9%K_^ub*Wf{s|kgC`$VU@~@7E+-X2ZoEBF8 zNx>485`R|G8i6*6xGiKiNi*_<#)vw_l2^W8t0U5WpL!^1mtSed3n%z8K^z$Qmjpnz z+3wH}otcQ5Ia2psEvGpxr>)^X8ZQbKX5;2LI27X**5e<-x#tkirrUg$lxIr!*#3xg zsz@!GwYxYYTaghC{n${F8mdk+!dYD{)hfp#(s>3ij0qN~uh%||m5GhxKs1hmf!L~?&1TZ}#rDcgw z*-}`jcyVB>h7MS@utWQtFJRzqYYvsQhwRIe07)wN6$Q8>4t&Sp&RmI)915IofFf`a zx#T;(XrEyO@~(GGoN(YEL>l~ozy~VmPd*m`*0P0h6Nmk(Yrej?(_HqeQb}=H4XfRq zFdES0U0M}Pm*^0H#X`gnrXgh7TJnq2(>grp49N$=Z~gN~pLo2ujFKaBZ7w?_H0X+T zy{ktK8yWkL%ff&V*o4VH+AI`r#G>l09xTQ${%-Sleo6~ zEtFLWj)1qPG@+WwmQ-fg5+Jk5u&x_`i%bWl$bpxnpv9y9^nXW_Ap$s>C#IjJ{JfN^ z@n|i`g-TCe%IJRztJuRx`KiBh+BVC9$J^KWs_;%&k|~*1enrafn3!%zZ;zhVA2|UM z9&3S)0zwWY!j27i(1$rk^1!$a$Jjt^Bss_|GER7JC^?k$PepbzUnQ9szT|!OH7Ax+ zs46Q6B#O|n2kvX#9+W47GC~53Qr4_7c4FqxhhO!u$#qMwuk)tW+*G(=w`md}_?L3T zGyn$XAKQrpMGnN81MQN^cA#ac4h`HTlyoo+`yQ#$2r}-7*9y`OZveCXI)7m!^QF)` zEaNjaFoz`_?9qG?b$E*cCi;0ULJdJzk zav=?QEMt15==X3FN!gj`2_o|@ea9XC5Vyqy1$g=dAZ$MY!672XKf=RbXn5W7^CZN^ z%H!<(g3}(7O*+pM=SRDg+Ngk*0bYm-8zR)1fRB&Q@%8y3ouU7Hf(f2F_)E9tsV`L_ zF&{$d{1=)ie|N&mc=E=*O$M)Kw9A!zJw)(|#mNAS@D@;-bRGZeIRNMmgr1hO!})uy zABqRrve9DZ&?#iP(jA}KgZ!K8IBAh9_EbqWJg$Y>mB9z6Z`Fc*yiRc%mR82?)y($2 z4_Y8A9y}{Xma_G#O6Im3*g;0+9tiMp`$t(z@bJH0L{DFh3&;X>AfYpKKhcsKiqrK= zke!Lfcn3o4ahT;9!Z{IuYN@)tSZueJV1-0YHS3B;6?2v z1q`s=DBJvmDgPDr<0hiFgr}#cx+WzM82Rt;{vNXDQ(|K`Y17Y-ELs!K%M?dsQ&!^( z3M-&FTxySZSlo1Z?lKdg86)5`QtcSr`Y+8{cztr&*V(H&lI_)sdC$4+njcGO%M_vw_ z(;+x-X@_L^j9aHWFe|J$MS8*Dwk904$G*2&g;kKE+8%XSx?Dy~&61WO4zK)k;KVwV zXt|*&RpDuNA({J-8$+canvZMy4`IxwVBk4|M1XDTxZ(s|k~uj$PXXO7#pZRrJ=HX4 zxa^?*3g!)DXZZ6@KGAcRrNf?q?ssl^OsIEf2a%GwtvyiuOOS4(d(YbBMiqA|a*PBQdA!E-?39DMr^C2&1yGFlhZ$XgU(w^|BDqiLGfb5AD zEkdurUr3A=gnS|->gttRlRbHvc1scl-A0;6uW0-7;4bS72)o~4z^>1j^pCxl8v!zH zs1f)cxeZ8^6W)^2Qp2q_lf~*m(2|ST_pku)zurN7@&)wextX!-Hxu#FUf*O%4!Ps( z$iVRWJ(4XHTJN&Tcc7#Bes*Ew5uJ%04)`bvb_xTiMA*AyvzWsfyrh6VivjNwFxrnW z%4v0ZOS>K)f1?BX`g{QYS1JkY4%*8jJdQ3dqf`@{CaXpeKV2y!9D_pzL2AG*>|IO^ z^Kf#XNjk|4n_$kmL*$>kgt7a*gCdI%l;n&~b~(;A9Eh3(8m5}v9xpzJFIqh?r)_;x z7rBSWu|-D_;5IcpFQ0v?IhL$zfhbCWR=>^Jg;)*m40+PB_L=ms7gL1+KM}( zvcxHT6bjHfji^MVN8@;rtK4j821t_9M8-*ecSY&?D?f5{tcrw zI_V-h+>#?jH2@^|2o@trIF6HIl6QqRIUIEN zgmQ~(=eIfSS`w6*EXucFGSkk6n?oLkT zuH{m`)$~-VPd5t;uV?4O?NgyhSzsHKnj0Tb*GTgdn9OtTQIUkv&)d~_+B3H~+Dr($ zwK~(p7ditxgt@ho9Xx$QntQ~pT&_}ahw=d1pbMDV55P5V6oe%1?q8NGIvkU8}h5Z^}+R zRcHd_=4!Se90EdIfDY~WiBE_;LA=XJAgjHsFA6^WCK1H0POF=q=a_P&zp#YAS$1UNn?yHb+o zBcUVMzz9T$2d;*z_!onCKH~?&v^85VcZuV56n@9TL!}VdN zw&UMk=o=od=f+f4fu8S1u2f>Q{844@#uUuRir@^%>T=UUrQ~ z&qDJP<-xg*(BzUba7Vmg5E8@QFORa)gSySEYX5xyWvRub!X8UJnn2m|02IPYFQbOD zTlH|^F@S+#!u;#naCy)@NW;y-K`K-_wB4=5D}y)|w~mRByr)RrxUml|(6K5onB!LkKHsG96ezZ2tLh!O7I!)W|PbUqMkoiXYQc52wUH1)E z#Fd$lFrqUG_ZS|{HN_E?RWGkWMSi*;l~tCPb_^O@rmsW@h&3BQ*H|`?3J)>WdW!LlV8YBOHrp|nvHa#Y$*gp2O0Zl?I?1X} zJE*1-ookzKkn5#ZdJq+?lxv)%Gaj=GGN@Qvv@HjYst4yc#DdbS=<@85 zN)m)#*ueBG7n{vQKMPs)f&=oS7Ns$vm%_tB0iPHnJ8ltw#`sStRp`~XLHMXuY4=&T z7LPk~HXBqU)m=wd&L~sc6Lx#|8Id1qPjF9lvXdqjIWU{k6W^3$-3W+JSfzvKcna;c z`$3dNCnntA-dF$GFqDZ9-!@EDSgxyWpCq7=9vE(eLcOPtV?H4d`u?(3iA?POgqF6Hv(pY5+V zHbn#jgM%SBQ#5JlxqF*zyrfU7Ge4t=W3h|fUtvzxX0~5OY!*!mhuxy7Gjd|4g9EV5DAc=!eF6EIE58%MBpZTDIJ=8C{ha0?2to z0i4Mlqzusfq4iz&^^$OUVS#EiRgrmgjItZM(zt_!e%-W)FsRgIgCt7=>6|=f|D3Fy zEwj7pGOfJuGL0b3@1xOwkQ)h52S5hL5xvU&Lj@pkbaPn@^eK5RLIz_nGz#Aw{CaLX zzn<9h|%9;BnyoUbCvWx47E6k}>HcLnQ-~V~RuTW@Z0C!yFF#n_Q z;PN){d3XHRfaN!&KPW+|GOG02Uq2(X0zZR1(ftYhfB;k~jlJx&m-{VMB>Ltgvs>mI zuCu~I4#Hg2z1<1Uj&dGW{_wGM;>YPFmznuJZrOf~_K_VQ-#L;m0dcQ$h~nz>mf3&W z@p`jnf?ZL}*@IiLH*CRCff_WK*O+0+hN(7}lp~k;q_&%H#z4!pZ=bN^UNx-adYI5- zZ;8vntL7);=P(MtzuHX@=aNRNS_zOlCO;0{rR$WZQwU)KrlBwkgtA3vhR#$Y6H|@SOpAZ$~ySE{|P^uZfJg=#{n)P7Y?TTBlB0 zoLx0L17^B!R2jTqzMtU{zm8_-+Gy&gMMult>$**P56Yp`wtG1D)j2?5Hg|-rP0+U? zRJh?`lJnu9Tge|DsCZdMmiU>@EmS6F3!0_Z{DCR$Xh`0+j@C8{lHnqVnfpyy4}4=` zeu6nbdO8Zw5Y=u&YS-TsvPSPQQ9P8Hh)VKzP{x#WVLnt zf8AaCKU8ZMAB-|NCx)nK7-DGDK_M|1w?ys?$0ZW!;FWGBgUR*euECI8avj6Ci-{tV zlS>)76;dt}Gct%_(1mx8Ie)$|>tKhgVAt%h>V&W{i> zyV}+~6*S_V&EKWwEOybe$``58o|^~>oO65csFTWe+t-`nF&xZFhSZI&(JWey>-XQnaZ!bS$pQLgrL&}IfFpmg6oJWVOKJ_5= z(W|Vx-mu1>Fqw8(sjGAr>V7lhX4GfYji`gij*|GWQv3HKDk3i1emWw+KoJ#nE$>$- z94VbXY+8LPY3<`Y&^g6}W8jq-W_VQ);OO3!4qn&r^^XOQCl6tV^|^2305?dBBcuR) z9h)BwO!B=)Z0*(+FpQ;Z>`k^7%h$17k%@>2_0YF|ez)DKw)Iwas?0H49myG*@gocy z<_BOFg6%#2fl%TU>k9eBnug{%Ffmny{e}kdVfTDGM>6X6ClHCHLQA_n#eH zzEn_rN!7qa_pZK?E*6EZy@MH2F?bg3vEY6|)ga?AY{ZHS2O>nkpPSfqc1v~+KyTOH^v`Z$64v^us-8G^-P zk%})DYuoh?)l~o)b+3IocqxJG$8DAI)0(L8vURS#s5V{3A*Mqt}>h+usob;YE z+Lj`PvVEQ4th#D&=06mBi>NyD{=vrWEWZloMniOQ%{A|bLiU1V?o$ifGUts~HB)1N zAY9P0u$%}kh}gloHuxkAo!Xr}+|GP<@)hdlPNnCsbPfxb=_6xmQdaZgyT5aeJGSuK zLt)J?0Pb`F8I|rRMr?uNF5R8!{JUoH7HdpBbYoO6Je=EZFKk?eg`v zpFp>O06r3JD6Sm;wE94f8d8LtahL+Q15Lmp+H}h~4gnOh4xysDMBJ<}=HG&LcVzLZ zL(T0QZwfRUhvBTNd7zAAZkT#Jp~tav_E zbYTgCbW3&fS_|H;A#m=^NldCgS(?Yb)C5cHi>d-!bmHRCBj3Koe~0P$;~8n0mil7l z=qO0~?aW+*{l}JPn>~>sKJJ*V6Hm=vBn@5-Ds#+#uim?#6+Yf!W#FmcMQ(;DgpMJB z6>ro2*Gxo69zqGRK=RzlL;N}A9W7YkSf!%Rc~T=gD6IOkBbU^?nNgZp&aZ`icW$_3#SC1pv;_FKYpb-@$e2aU4iYcsc2Ptiv*o}y zzo=SdasD6nusdN@sQNyR6Zv_@gmi9iqWS{$4aNSoZBfMYPxJgk%@dC2{ZQ0j$pMJ& zHp&C1iS^*=bb+O30do_#=MwIwT*B4~@I&MXe=+2eXO$tLk1TBHz}I6VQy*-8a5jde zgIMIxpYg(8rF?=b0%s`LxDQpNia!nW)a-#8tqwhC*SD_MMEg z9NVA*+L4)@g;F2){r@1})?}P$gJuR%sb#0G2!nm|xC^mpB1M5oI@fFLz!>nn+^ybc z?LFd>B#?HF@Yh8_Oep;fCD%}*I#JU}wrrA7(#NRI%c*)?dTONb@#Lsz@^61@i%;Vl z$K7mdf4^O@M8ZJlOk#Dix5@U@F*_rN`Tj|b>;L)D^ilhgb}n>F(#lPkK`AV25eF9N zw50SxxC?IB>dx|Hn1=tlPU-$zI{@opF3U~cUWJUopt=U%SF zw4^m~)lQc+LXTsyfH++y28h$C{m86O+2H~orO1yj2=fnHa)AaS7}&stQ@@}$hO^5GI+b!i*1U^b1$&| zwWnbZNPXQId^6%xG*LT%pEOP*b1Lq%NrhG*-3E*up&NMul>%Cr;6bdWH?01kZ`f>B z^_tXe8UM#-?XUkc0I3%%&JkH4-XpYaHMtcy1RTA;Sd5G*&{V(Pbb=H}SqoY2$@~yR z&cetpg_d0#ONnh6OW}^K6#vWOVBc)C-3dsji;P}qveen|6wkr@(rq?laEz&)FO4$u zZrvT&-O_V?@lz*Al3PZPM4OApQxvj+joO`R+|2_%)Q|*)CBNKJpW59CSQyx4Nw2F8 z{338T%g$PT1~-K93rQO{j-7*=BDknc9hY|7g%MkSUaqMrE4PiGb=Xa9U&=1!P*=7T zUje?6iw++Fiy1JWC8vtauRZLaM|)f?^1C6nhwi|oxQx(ZO*vOe!Ooq4#@Gvjyp=c; z-MfxzNJsPYTIc~!qvT7B0ME_^i>yF2*57VXjibs}vP0H-m5hI% zR@ifX=v8P4y(g?FrSB_BVY6kJY@7nz0a(6IAIOsD-eg(bRj>0zgTI|k+f5=p`or~^ zM&-@cz)T4!m%;>`A&?GSNWx`VUdJkcA*LPfLN)6(70R(-3O)Yqi z*aP4jdB~4(3lDsvJr;nGRpb@+1_r=xh-7~gd#TTRJSRZV1uDn4cNO^%lo$6h?kgI3W|1XXa#bVbAHqrxihr8O3Nk?|oGPf(`OUyiv)g z3XB_7=-w;>3HbnmY5$vQ@bvq*LwattUaT0jK4dybtw1B`mE;a$EdoYY>;H=Sti9&3 z6CjktQ&-Rm^vX#Pzio@-K123VK&dmYV5EP;ok+Ga^G#eIcP4kd6^&$PA6-_K;OcZB z2T;S%Q{NHbW&xn-{YW--bg1R(83zI40iIcJfcs?d>w~?~m72)C0w7$i1iWJqwSDu@ TOm~nb2sq45txal-E=T_t!?x_B literal 27435 zcmZs?bzD^4yFNTVsEB|li1dKc-93PGGjxZ5bV&&V3|ORuba!`msl?FTsUSmxF!T`b zMxXCFzjMy}2cMa}*|YXqYp?sduj{(k4pvo>#eGQn5Cj6@%F9WqgFts8fWNT^_klA{ zLu7}5k2|jFvJ#-OA@WV&;I5UpvN#A-8G(IidJj0pdLyUj3IaXqxc$3>a4L8W0@;3* zmlD_XG~R8+a(g;oJ2K@Ek9s908o((k9V1V^8_8w-;_A+HZ;oXHWK#%A`b zgyl0cKklvKuHKt}g=5@lcA|`rKS%WGQzzYBiFY)gXlPF0gu=!gcg@qv(+m`so8ZOG z`nFhw7dOJ|eofnK!>w9D>&x{`#Y}E{3xZLT%^pqzW|5W3Ktfp}&YIb#C9yDP2r3C6?=hs$7iD=D_nEzOSy%WitP#i^7x^8sl+SH8s9= zf4b=Xojh)WEO<%PbH1o}TyBEIq01XRxkX+3(;-giwljz=T=ZONR+bq8@`$wDp7b)U z9~iFHalpN!%R%_YY1CfoOoq+6hF0~)QgV9UX%UaRb8pf#88(kQ+WNXPKdh0?jQqg| z&ETBIT`Da;`+cqhPl#iSEAhckoTjUxYtF7+w<`EErf#D!+3@@b#r6=kA)+7p4Rd%X<#E6xyHLH|- zLQpltqUkJbc+k-qG4 zJ(~1DX_upJ&;<-l`xyUXAltY9TZ7vwYyJ4g2)wM|>S{(-hj5ON^}v>w7?_W;+82DA zk5duP6_gdHPs*3oO=*5wSu52V)`fRNMgs$$>dsFV1|-hp*UG3B=?^n;;9n3F)=<4j zo1GG+MN*7AI`xNll)H!^s{1=ubJ|G2tEW!d4dzOGNXyAZepss3`Xu5DPd%D0zv)y~ z*vU2Jxsxb$thnlMtX$H(IsKlLZEGx#kt;`EQSw{aSbOlLajaqfHhVu`MUNn{YfR_7 z<*Z}=&SH$7S!lJ@uuM>Yr zN|Dblg;LK6S5EQ;>?D94zE|RwDrKY7v=_Yc-BAVR4X*);wvKkJ#d z3`Gz7m~B?St=3%q#+q~a&ET-$5v2c?BBR^EyTEt+k^W*;qIQX0?jfNaL%luLU=LfK zGdH)*QDM-pVQRgvpn8Yl+N`v`{3C zg>C&pt5nA1y7noe`T{HX)Y8!Xk#Pt|g!??6EMYQcIYiykk{Ba_`C)l1qPjf%X;r;m zBqvdD=jk`5LVGQtMJJjo!7XoTLXGrHbbgtK@t_N1n$9u?3tYMU zhqX(D!?w&9g=l0pUc9im)Hbyu#qAhm^n<-~BL}jAqgU!2=FLLpH!u3ls#pFDM!&U2`-CsyK@fJ_KSJkP_3aSP>{zjTJBC>!~$P0q& zW${VX*A#5z*kD!LhnmOD1IqPg@p9CCHJVC3{U#oE7-=pcq^y_nuS zmHqoD-aF30HIo-!J4-AyIGZc|feau=%u}paSdNQJVp=Id121> z42#o;38_bo#pIwp%o$ZYfh_IPPPKXT)8QE++Pq=4UDrO=yE_Km>+D%F8k1RCkNoER zDRFkKsj+j*yoZZv>S`R>U~au$8jc|@Vz3|HUyXnIzF-A9mh#xV_p-Az!t^tcM4MO1 zxA^KAg{%w1Y;nU#(vhTgHI;+zwt`Ns-cQV<$=O-{b{1)b$O;*xuC;#uxEyj{^rE7= zRH4)gHPZDd%UU_W^qDz>h7P9q9YXqYR__S1-W}CH(0|){J$aOy?kry|Usg(eX;mrm zME_vPi?_4#{^%ghHul82ex{wFoYTTZqH77QD3-t?+FO7)^4z-a6EnK}Bv`In_ z9u~=j4d<7lq3RuV)JAmR2*hr{)LpX!kAo(SLxk?Wh`Kdm*b)7%vwxi^_Kg~9XItMKgZg4X}TED!;$ z=>UHKc#m`vLiAr+#PA)$w}*cEha&ZHMkG5nF&4p6#Gtp=Mai#YvYcR_ge4#kVxO!n z=-i&H3PQCF;pw&wt}Zm9j;5?Q`EG3< zGL#}Bo1P|w{Xb5skg>U~jJMzNxc~mSMt*w^HT}?;DyPWfhiYWV9wS46dn!-uft6PDa*Tq-i)Bdig;*vndqnDAz zEU5R77t?8L8-E-MIWF$pHnsd;O&y`CYi@osQZnVBL#2KrNsUrj#T@XnW1UPS#r&FQ zVUxAa#oI`vft}3wuq2*FlSa2|OnvjmmT-g93-5PSJ?;OQlNtXhCqbm-tefnHt(T2j zjZ5xe))Ti}*Q8=fWw+z)psmf^;u2@i&|(=?8!7nN(HzA%%=qW-h|#P}vbF1)=v$WJ z#p0`!CT5e;`YjD|zi!c>DxyC!ay#4LOLcln%9ttXR=pt!cug}@$HvKs3Qj1F36g%{HZ3Th zO5pQPSjEv~acZMi@_Q~1v~Hhehefw@g?X>DFrD2^Z3t(35S-&;=Ekwi97kMLXX~GY zz&)|%B&w3rGH6yD{-L}87w2Iefk9}o;@;NfWMdQh69Fv7R~`NKGvXPR7OyxZVr;V? z#XEPH@8wVu-eczn9~hqG=L(-^dH!IF=@^8PTcAIx@Wi22!_qT{g%=NrT^KeGKz5|| zX?&X@7ng=`A`%CRagI4>Z=FBCzZ!t<6?gw^{0^bokSOp@4)k2cTCY9VRF*P}A&5K| zbuo0mj&ya$Ph=*M*^Va;j@9U_3`t4f(+$x|aB12KZ^riw8FBTy8emr-gLb^9DyW_u z9PIca_jqiJ>P~*Cr}U3lsg8p|DT@fZ215A^EdDorj|NRE&m5hda zsCU5U%CiBCTMc5sfp;rQ9BDft$l-Ln+ek`u^Zk1008URA{S$5f9tt=BLM6uVy9ZcR z-BwMDAlE8>yk_FVqtB~vAd3QzpK5-C(BQ((S?6kRG#reqnDr2QO0eDO?-pU20)8aB zB5zVue7y2HRs_f+R*THVRaNhyzDz6kX{cJG{hRz=#mY`Enl@APSHAV=6g)p zth&XvoG^>n-Psj>^C3J9qKtmzyJd|CU#!W@6(7^LHENI3PqMQSDYn7o(-j6 zDGS(|E+e>-BBur;v=ARMGK%^K%~jr#gprD<7{oI;`+#M2h?Ex>Au|tDd=CXF#B69v zR?|m*n$s8V_FxIU*+_`&3?ECwkhPv}>!>kzB6C=Ve@XMSU@2X0Q9K&&s$TPG_q$My z&ejnVm2y%NRC0m}D^XjKXP#P8WS?q8j0SEZHa{K_bfXE})>3)r1dM3QuU0ga5u$<) zYJBlOzi2<&qT2#$jYcbq8I_V;D5TWIB0IE ze`nEq`ReGG2GnkwfEH~2Bg1Ny?@QV%Fd3gyut6|a1oNJq+fnx~$)l5_j+&air?Wf` zqLPKQ>0=wS^wyn6(QcCtinY#73Znxbb+G3>C_Q@lB2*dDC2mxeGe6glXk-V))jeLD zcx<6fFsLtcB>ppgVx~epA$T!<6<9y7)#DQpM-!09iT=L6jRX3$u{!w}&y>T%!v@?= zDE^i8Le3+3qUg10i0I8Fsv(vE^&|FEsYmFfjO97~cb=&d;g_+@Nu6j7layQ!y8WDK zdQzmbT_ayO)1q^TY*RvsHPZQ4r_Ef=RghvG%x5=?~S6ipX>2mYimki5i_4hMyA$(&Gqk}wxvxD zWJ_ZeGp{adf){2-az~8K46O19+6f<%{T3BLcl+q;)+!VC^i)do{OaJlm}Tvn6DVrB zNKoO|{wf=uo)IxW;W${rPn`wTMV;o1gSE_*HV_(~CWqi*Ngn#xILdH92tw zKDeV*?oy4h>()jc51Ckc2sm~B6B=DGlXc7zfx*=V?dmb?u-Dh1*qE4+qs?(fR#xQ% zOnP_rL8bMZPZypnW$Ou}F_Dqtv$M0BT3WPbN%ylNtn7OFoydBT+zzR%D%#NYL(}Hh zbV6`LG4p<;X~(`p^cP&}F~||8o^Q9Mk-J@Dwpw-gXft=jsPOpQ#&M;A&DjJ&jl+Jt znF@wUk_xnCQb}yP`X!mHmJa3krj5Vb*^G_ttdCqm_k<1iMw|g!wYc&yftxu7}(mwiwS-&URky9&O%lQ|#*MI$A)n z+pf;8BrM!qoh}ny(J3dhg45f)ATMnf#ZV4d2=BMX|rf@kx4A@%^`E~`* z66=PZ(HASvpGs?O$BESVMjy!QJvp81dVNk}UaX6nk+N|qJ(P~uV&dz{^VoV zfk2tiv%B}SF8Tj*iyu%Uvbyv)HkMv90yE_58X6RE`uT*`)%%L6hmH$UB1 zzlOvDM8#bQ)zDV{S(&F0fMrb$M@1xL0&$S)4VI$CGRATc> zvS}=bZ#Brgt(2Ci5A*k4f}f&J{_GE5g!zoXM{aDsgM-+MgO#!%8v#~!YCETEJsMLy8sHvQ zy}7<(Ts*_;MP_zKcU8`Ydggxb=C+++Fnw(Ql-y&bGk7I7EO^jg8Cg4ROpsr3U*sx< zk8ZmwbAM#1PGe5UAf;p|MR3)6yX3L<$zFpBjP z^6^C1kisyifrB8;fO%I4;mXJRCd1#v`f>eb)YE;-HEgySi)_wA}l5)bOKG*%v?YC`D6@VQYk5C$m18ji@l~kiy}6 zZVa!mnK4^E`|%O)Q)A2Z#dgi>UVP5PYLaix<>+6p? z43~=!{^SBaylcmgI((Po%l_Cz4_zy2tw&#Qg3FaWEjmm>4k3pj&+Jxbz0M~+tlJ~) z-EN}_2*tk0)QO$lMcMj?tFL-@br*Di^k`*23E3eGXj!S~>Op3HwgO_V#P0gCEnD3D z(Wpjt#0Ww5+{VX&?4zBESvSNuYGZF2ca^;E^F>Qts;_&;D+h9|EzZ`~qkOmi56sq^ zd-(ZEB13g^u1XqVH0{p)#zjW;k|mI>t*y>J_v5WtO{3Nc-AuMTJ?{L`j~HqBAGYX< z^o=BI$vnnWRuqziERq^Lzl51DT|WGO;O7s8KXd}q506YOrrXA9sEQBc!Q^S)7*l9! zCd>o5M#O}y|Jn5RMK2R8D`EFH%dYux%c05csN=(mCvHD}6a9%Mf#=1{cx>jCHZ3i? zSO>Q8vnziSt;g%Ne+PckbU-1Cfiu1#=0YiAR>DK~iy}9)O2iF;eH>u3lVe~qqri{5 z^kP7gNH!L(i)y?1Dr!gVe%k4RgtUr)%h7|I@;#~W#iYhC%+zcpFx5BnXM(i=_x z*+pAkk9N_0-NdL-uM@G4)@dAvMA|XURt{5!sW>)SbXQMq;tn^g?!;W80T{W_(Z>i^0je;=a*7$J7fygP%|)3jKN4VD_p zPdd|@rc+xv>yKk4DECLjS5Rl$zD?aI#_SIcxf#xN5dcpQfCFW~9*n^276 z4X+M?GQXzSvewLYAW1wxF`)0UE^GW`ijJs;@0`QE!#ByPdnv8aok6e^?NS>z-&6#X zNzY9lB8rY1O@{LB(cxNJLXtm1(X@;9p|=H%mAtB8K4U2u_4ck|ty}JL@(8Z1Il5;4h|v(OYI!m!S^H2&;6`1DY#EYC$s; z1Eax@9zHxHhylQbdh<&!9?grxjc4WS@fKzH@sF|CY<-2wTRp&0H1*?3x0A zwjL*JJ#_$9a_`|>d1%S`3ie*Ax0pk`LZ9}?{C;TOMQw5_*MAWlV0>psEW2}leeoek zov{Y2@f!DFe8jr5oBQa|*7p8brnyzkEo`_;{UM|5<$CO)RK|ncQK^5Ks3)!SE+yq5 zdk4hLS#vX712We28n#Q6-o4=cBj+sjVhg# z$$x7y9pzmaCIek%G#*JYGTLvqLf{c%wP8ya&~VO6)8}`XRZwUDeDDg@o^r%z_8&;8 z`frT~knO%_)3-$~dAd}}ipz3{N*bUyKSU`3F3mRx@Qn((dHL?I#DwIcF#f8{!x+HX z`6D!YH2%khfQNIYy>)B<90rX7cV4Tc)9<3FKR>ATP<&Nb1nj+YJBhs_6xa&-H{+Dtd&w^HdwW^zP4^q>h=}#`g_2) zVr-k8QpO3zguuqFH+^&qKeZw38^>5LDuV4M9y9^`Gny7Ir4t!+9g+p(| zT{wUI)?jVC{#kjQr4Rb)m&A-*sXZF|%AbzRq0jZR-VQG=F3GZNPQ(Q7HRFa;m-BcE z&!vDs;%gt00v*WV=#wxTu=fdN#==+^PkqCOu|wqeQKLT(BWqyyP*27w{cxTdf#pb0 zrAF;an^(*)g#2Xe%QY_)oSizeNwl_Ebhlq#dt94>eXCC2D(0wuK%761A)26hLR6mx zd)k9M&RO8NOiQlj90L^Pk8KIAa$bO+F6yI*d91&n^i_@J$?(AWyMfS=xR0`7oOo*e zWf&6;4tSGJbK=DYeB&YRrJ8MKxNC8&nIyAaJdT!hVQB&y#6e)%^DZ7d>Tf59DB4OL z1KwGgdF^ep63-Pbyu}c?)Ijpj^RBH|wnVbwSRlDaY(cPs?w=N5E_-mxpZ6S)OM${Ir~%2oVfvW6XYFLzdhOtTZW|{-?d%fgT^;mBWv8!9LY`>c zB{uV)HP%3d{ALnft=B^;=#ryVygoU)y1AK2{pk=JwGmOjAdV+;{6TwM!|Jn_qg_1g zTdz^db!BwMvB=$iG`1@$x>@*VpJ#1sf1>p~5`BF&`*q;Fb71^MZ?ePUw58N%iy`*! zI7XE(=0Cd(Utxmorea_FH=5*GB0rC-m2?E+VY^n;Yt0^S(yo~aC&6eii{$TsULrQs zkdgW|FN1WzAHm+8v)Z{+9gtUGAe_gt2Ub7YY)YII7K}z~)T(crf;sR&FU>a9ket5; zM>&soDxUECUi-=Os>g2PW;XMvCnFyCA?V91uTU7UHDN>aZZ~AdP6GJqCaQaTCMY?F zKLY5;=mnBac5pCkVqroqEDX2CaZx`rAizt%xh{Nb+pY_j=) zwxBCgRa{(@kdRPKz8Av8%$yq%;!;#@K)r+kdRc{msu-Yt+Lu2frm3;9!us?AnSW&5 ztxd{hLodSbOoU6^9(d7K8@Ppodc_?a?sku_?E8Jt-KPnG7GRTRhR+hq1sNl!WQ<3< zA~F1aB7?n7xg}B0mQ~$sm0-M*S#?Mr|cL6q6vSQ zfar^5-b4+H)z_I-!%&v`O>T_5JUl`B0%w|&r{z6a<$m1p63a#^nfo&L_b`xmKyu{oIU@d*+S#%Z`n02r3=A2|CLS2A zhkeBXFdj88FQKc83rN$(nC3&H6lkUsYi=9VA?NwNeb$|FhwN`o`x%(VGi(FY{QT1) zSyYR#DT3i-T>aqI4k)oE?)N3+^zVitWhx_7TSxwLFT3-OiHVx^Ej#WFZ?Qya6Lh}YL%1vWY6rT#k(RGtaB6O_ zG4y_ZQ|Ht^XR=sFT}CE=Q2ITA^Ta;?z}-FK0J(*?S@luPi|vb0Vjcp&99Y3ViN!o| z2l;R)rE|Oyux1{F!Z?5s15`1qL0ymod&uX{xZ~DYEiDjJFbvk{wrMfG;T;dnZ*P1} z>d)4j_1U*2%4@MHysW9x-`9DY?_$bn%X+fNwo+mAMU-a@bSuegKaf`%2=2>nwXIH{ z9j!pxCp285AW*^GK1jkxOuVt*KRY(h4py~;gD*8DuCdsXG1EV+Yzv-whpJlB__v96 zd%~x`9C-EOJcJhm#+R{mL6pQY^Hb)Ci3!ao^ijmiFt;RF58!|dkMB$ngFuhvGX_=x zo!_Pnu7b@F{ZnT5#ssIqa*#=qSHhl@#TfS6*UK!`(S;-^GH1}lz*#+R%dl5if6VHa z19!NqelMXcCkH2GV>+pZhnIo_CI}P>#7{W6;0jt84_!l;j3_e_E-bPo(b8ti?fOg< z{Q1tg#MhJ*@&j530{Qv=IgwsVM6q^hRhB1JRHGY{+%>pUYu)0JQjG(ub)DcoD+a;V z@-iX)N@4Jpp|s!t2Iz6}*96&?_PU~yk_q2)IC6kQzoFuT44~tyEeG-QCR$&*V1f)i z|1kw07_X~oX-N~%DLrO>oLE=Kr(bQ!J2|0=jnO+e_yk?+Zs*ZA0f56-e;}1VVP|AC z@8ShtGIiva>gML6Y%Y#$B!EIbK6(oN4!{96h`bGe6Ck1cKqo*i7l2{@rU5oJZ3tBy z0;eDI11*6-&KRh_2N9pXiDkx?P4WF|Iu2||5}t$F&!Y$y7~p2%z$EQrA|p8_q#P52 zx0jxe%2fdEzoZA4NYLp+)R1^|PglO*Vyd0{R|p6s68I7r?`ho@xm)nU_S;R%Mz|Mq zRob$5iGEHp$%%rz+C>i(RI_U1NI1+7brVtQOZ$x8YuP&oU@`%iDhL!mM$luA++nsU zEGfyLpv*T_aeKNxqzSb?Y*3p0oZgQN5B1|0MPy_)jW?zvK^Zrt26J-YrO4+~&$Qtu zoO8j~bN{x5xq2%9Vc_}-voP0!y0k=3ve{!d&s;WqB-k8bDCF2V-)Nq1-Qmy}!LRV* zS;do{-bF7b_?(BBVn@lo1+nZeZa$WV^li2J!-TCf+tj0-$Gb%x_{jlpeSzttQ$>C| zgGIe^*wtq;sYnQUxQC~5WENtuW={bHpz zu3e%@8R$6n(U-p|T&5UT+Iy?Ccs5qYk#^l(B*}-(ZCpbb&~Fa{eSN`!d@>w;cNWCd z7yke?Qv>tU#3ec8IyQJqD{{F(ZFUcT5%NppWs#a(Z%Vtyo4HMGx+$WmGOYmr37u1a zn5UBad`KZ1b%}=NkWYiLuowvRfPfekv_7qE5Aq@Hi-6%={1$Jz`n^0>TEE10;h78! zf(8%3QqOW!5CY=4cA$DtzOm#@dAkJPNmC6_8|SGgXSc!ki7bD}lJG`%GN1lz1E6S! zCKvXbJx}!P)dyYa&TK{vOX>4k!rR9FUA8i>1~8!bcR}yUs2O#@vJo|-0de=`?F!vn z3*(Ub`op&Rl7q^{g@w+9yrspNVPpm+OTbEw8iUMmsB2gWD?k-Uj4*~r$}pso-#&4eht{k=7~PsG$3Ye3!B7uNmy8Q^5}50+ z9_zUD>-Zo(dewSbjZd!L0eO+i+k)*F|CdYt5S}0F`@6Y=r+o{;T1d-eB3WZkq2{43y(7#oLA&SNWo4|Sf_zqt+F#m|33*Vf1cDk%8J#XV|G zAIK`d(h%}*6O6bk+C0wPE|L}V)Hb46E|N^C+S?phMFj2nMGnV& zy$+Afj}QpHk+}!=FKMX#B0QOmt~82K>D){fAVqidB^>> z$!4Y3lzu3!y0FGRnbm}xlLio|iTXV!UiW_V-E;j%*Yb`GJW&!Rl;$mMc0ndqBVxYo zF>GiH!mEAb$)gUFvP{JryFycNKLabP*3n>}36(Lm8UbAgGE=@_D*w$i(N@y6DMpY| z+Yr5Xe#*RD`i6VGtCbrZCR%pli-?{h_8gk7-KwA=-I{NVzy@Uq<1?UMT|(ql4e!ph z1WfTO5dFh9rMlW=E9CjAKG(?Y>(q7X#LNuC1;PUSf}{yL+H<@|e_VJBE`Fw?G@CNu znc^uz`Qz7Qe1Gdehbtr70P+)Q>eHtdaQh?hsK;|%46RdAArM{bF zJ68!WHV5024&xBZiCxFvNKZq26>(4-3<@tC=quY9pihGn*+Cgrf6|u_USeP0!_0fw zNHxHCv9;D!tbZ+42GLzyf^^z2pErLVx{JK?l8fL0N{+`K9KZA%v$QE6Hj^LM#Ff|q z0m)gu0)u%58pm|U3~7yrwTPvJnr7`Tj%1J56cv?LYtg*Yr$`G->otE8u2EO10Id0BNDXzJ)# z)&2u|_v=3L`8VcpwQL!+-K@zN?b#p10GoRP|2-;uG$icw`Bn!|&Ax}p{Vpuh@wkjK zW&_JxSTI}PT$|VAwFSG3Romz}3K9;pW{i!g7uP<#ahq%tnv3!A#%=RtUwKRBBVFs7 zTkBy$q(Vuy`S4AgYpN_cC&df2l;N9=riX@MHpiz83Zw4x(FJeFBi@!72w>o0@_ z5gXfIWuDhoJD&fvwT#K_B-D`Eqz;v6QVyDlGf(O!7C zDZCVdo_muReL2{6?s0F9Ia_JrH7C2g+D z;-VB1y_%}mj28LBxmvZ#Zohvx_w_R7&&~0Ee~BDquG25xz)wqg6Z>mhKe%(t?t4Bw zWw#;hUGVrD&+szgt*!3WgtwHF`Tt8z&eavO5p=UN9-{K6mNexVg z5*dpm!gn+rmk@VAk3auk_GA4sii{=7S;AOD|(au#>G8#<&Yd2P68gU^;0ofOd)nP zY;Hw2KdDv`;icmD1T1okjD-y-M_-TH;|0>3QQx<89n5nIP((w>+t zT69tNhm|PPp6DJX7c6u=gzeYIuP}tKT+YxE;|~_x65Q7q1q>#@{$YFZSnXuK zeIin>Ulv>SI|^QBqp}4J1v~+;o_qy2`LUNiOxoL7r)yH{iAU0q!t!m6HqAX=N+Ja_ zzQ@6a#)P^7ulhyUYsJb%Cvx^U@fgeYuu*R)7l~!WjzNV8|7|1JQ{zMs$n3u-cJi3r{@m|e{gmAf7D2=rfa#56h3aqU|Hb3|Z>pY-Vx z`s+O|Ks0Rl0&%!vu{FW7HGk@Bdfzujja$COg>nxY1nN~$Pr|%0+xmz{7o;TxN#e55 zjxjL+sQuxW7(jM|GR*&5heWxoeXFNnWMRqS%tnl0v$x2tBEs_X@{YAx0q&>^5X4_` znri*stVdm&t)K6^G?GiM)Qd|EA+MbWt!HB# z7h+<~+J>2;aHRe4G+wvGLyXaJje(B`!ucmdi%L6b^_ubzwiX6Bo%`KEO#!#;N+;oF zFVFXAW_5Thm;kZ)+BhF%7*y*vBR>zjtFbVa8E^lM|xc;G2@}~eQLVb86^B!c=2}KZx-K- zs7zSmpltlqDJ4(NF3!2eYvrdD#^a1uV(aVoXT(i>oI{ZD)4Hvi zF|W6yq6$*)(mEMj?e0G)*~dxw#a?GltHvCV+A6dl>xf{Omob>N{w|Yy5*@ickVCd- zwaObF>WDK-4l(lc^i?9r%NY~SrXM@&t+ts6L~du%_FHeiMZE(+)H2kttnR=7xNAy~{78a*(2E@2WvwBJ&4C|7-l zssUhH#9~lx^%7o&Az+i7|2{PjY@UfU$T()|@GI z+CmGL=WMOs8a;INLWQR3b$8F2Em^yJXw9yIqx$zyk`5WRh$-1ZltPi3IkD&9G;`Xz z0M}N+eV29y&K*qgM#=}YU3Tj&I~t+7pWeNuQbqm*bnkFGPYihb=2Q0R^34~ZG-|zo zsJ7WYkc{yV^$#e8$Us>|H(J1R-a*~a1o>03%TI*T($NEVT^fD#yI%T`)^AT-tJO88 zUj^&Y@U(N<|2njWySa<1tQX9up~BvJF-+0Jt`@!r3oGEHeLUIxNRb{t{{{4b?LB9N zXBv%wyULwb)X%2~i0HLv7Dq(YdA0SvfmkkKEbme0IQ#fQjbM$XyNHO;k9)TEwz-?t zTpL6;SM)$YCJorfxSSs;`%%9abho{+p0{)%;FQ8YBKsJL-fClb>m+RAHm|2g<5xVu z7{OaM?L*>77QRm7w=2N4=Q~GF>(yO>Tn#Cl4}7y+fO1KVLID8ynC6z6Ue1M>V!+T> z@<&q}5sdj2q56FGd6yxvHQQg+yna=_pU8I->r)ucxQ0Sx`Imhu2LV~%4NXzeF+$5S z1BgPy{#WMyenH(HQwQwDQZeAGLs2(|WN7B!3>)BgUMr zN0Q&}bK>E+X6$~cBL|uxOaN5&y9TUK*bn&+0I_s`wFW>bmE)}s3|hH=i>tQ>ST7EX z9MB==8hZxXQd6>qFIk_L9cq&{I6AY*xAF#*m1DOF=@SWOuELCP%ZzuIj3UcL+Bb0lm}Tgb=rq=kGBn^7tc}arBkCpaj!~#ZPH8%;D2$Yq$@vBGwa&v z?=>jgjyYI>cjDfqs191ybqfj)r9Ry)I{#;+6%eE~>km-?Sy5N)m(ka&4tTpir;G?E z&m=&EH@aPJsLlPC@xqB8+CU!#U#7mnlJmpVCE3^IL?5QaXi2Px=AT5?Ur~MzAB}Wo z>-4#^v2>etTI;p`{~Q-+3Gl*P7N=|N@?DxzoX&i&#X^d5-Ac4el!v#hRoHD)*%a8Q zgxUP<vey;sGs3#Nn}~RCVe3k!1gbdDrCN^d#cyCl&d;xfp2U8<3<{U{ODQe3LA! zs!9U#N|i!Z_4yI$T!ksO7Hjzv__35c$3NWf6M{c(cl36mR7%QdSZJ+?nksS3PS$+; z8dCYvn(>mgcgD4m2O)-6Z@eevcCIZ`UAPrBUH;Bj7gZEHBa;EQ@gORo&SyC5U4Bw;Ht z*%dN5ZAXh=8lmjnJ$_tyP%uv8`hK5gxMzNJ3&#f$yW~Bn7Uu9AXHtO8QVHb6_m@?L zT7dtfEO&YEmg1rVL>n7^Xiy9lQjnoE!-dbS&APVw<)MSGQU#4Cu8xbi(Xzh!>nCF= zhFFGSv`(_c=9k@C%Rt-V6f#DccSbaTFz7s{`BrE%QNMpRM6^=n>HbZ|dH1VmO_kR@ zBXswb#AG-6v!6#|KI}~vL3*7uK5w+b89_sm+{8uC-Oh9`cX_%)P4(AVA(QbYM$d7C zTp>o31mjeloIxCv-SCtYo1jj|_QLS;8i9jYl~9Mcx#j zOuI>3(z{m2*`IhoyBXeqvb7#2MB0=4OLtAov?N*%F=jLcXb(?E9j+aX=D=!Tt~?l6 z^gnvg;$7Ilz5axEr?*paU7ci&^#Q*Aa#L_zdBGEl^Lt%tCdF~(Pw!X35sxYS3g-Z#GSr!nrA!?4@^oTc(*9aG;0Z(J-;C5`(i^M>;Y6(e- z!k2=Ascs!su$0p&|8|OFnGgv~sTw--Zh^B?q1Ul^01GIQ0f9{naD5ggBjxRB zrwqU^9~624O`h}p{d^ueaOfJ76D>1M*99`1^VHubVdaVGU`4a}zZ#0cK zUj%b`V;o!4FB7wEGURsG@+IFD+CnTh!s?fg)p^?bW*$0Fb>EkLY!I)FWy{HTgLdochvL!RC%2*!n~JQ00<0ugn4CQFWRpg6 zOxA03==jNUsDd7uP^UG~I?tS};6BUNH48P)GQ??i=3!UlZm2?Ovdi6hl%@ zGa8ZeUm6m(FVO~a(uI?dNN)R*n#hZe4REl;bQ0_m{+ z=u`tJ61vU7)kOZhk*vPS8moQLroFnr0t-0yAiEybKz3zeqG*~xv@y)8=?px#gB*pGL0|vgHi;+|A*pH`6 zCE0kAiicO}o^ay7^p3F0zwvhaapqRsP6+xAWZf2Cw)j1tHyo_SRVhn8C}rp5sC}ci zgo|%FaBFI+LVP{026=v*dz4I#au7(dPm2`UvzTwj$!CZJEANiK|K%yZv2}h@vx@Q3 z9w;ACG=BG)$oZ#fFV0ufxGmukxxh;TE6Z`aY`U=!Lgs>uiXdONF5aUv?v6k0-Cd4g zTCx1#(_V0W)ok;R4b)5neN^*nW)ih)gTQ<@^T%s(D%O$BAB%0@Qr5^D$XRUm7*7-N zF38LjPe33jp?Q8c-(uZ{JA9!A&L4j0oCkb3DIHzAn?*;k84wVV!fmn=<8M^fE}z*@ zn6=owY2CG+Ppa?ECKVaN2kCMe5#mw2f4ZVs=kMcRlIMG0`^Ir8R)Xo5i3Yal zll1qg!|%igqMMMEwdvBr;YL!;L93?M=)lvCuZ@yb5PrI}cEX4{5Y3;Z1(c0Qc-+U4mx!T76_R;TFeJQMqs8VlejagNp9N@>+XCY)=EO8Q{dmxH z!S9zBA(})rusV_{3HYuGyu7?T7Kd&ZuyV4EZqX}%aDBPP5DxfuOy1Fq+VMW5VoR;sYa7=5~rgz(#) zjJY{|CMK55Kr~+7wv+dOwRhjvntzJi89~-T8nk&M>fQdQ490lp+RARtRqU99rUi%_ z`X^`E%TjJJXG{d-=%(zXqkcz+fD)eF`FbEW0v-yI!}-euY8V-eWKQvjWt<*S$`1~f zet**jyjy)RH!&fF-PdO`mXkPv4$m*BsJXpmlw1kndp@j&C0Sv@C#xFN5vKHvkuTj2 zNZfbcyg2aQ>PpSX>au!)7~fBKG?dvS;H*oB6&?_15#3a})^l&y+?2JjuRJ%ddeXC6 zf8*5UAO~_w`D?G$z}8Z+j@0^I>(`g*5qAR|SNa^-Y~T65u(&w8W#NU_+VSZ|V`jE= zD*vIMOTbOGH|?F;()09X(X}5cp?!r9h%C9DxT~d;7uYN2H(mOf?m2d(pLDo}aHhQ# zn-Jx)G`fVU$C%5cN1tA1pQ7M9S+%H3kJ@VyBjMs?XoFKjFE7BGLu#%j^duJ=R zLTL_ieLe5WcvR{F6w=}(mX}ogyl3f;CN{WZw9je7Qg3Kmb$-*fM!LrtD_niJ4;7XV z!)5jd9I}^H(G$pnh?^wwOh2~Fkz8iRqbuzWsYhc3<*B(CvO#?N&*$F0vtECmWfT}3 zS0-YYAj>eDP7@=8H_GA0N&y z1I3O1uetM%XET2LeY8|nbx3Wctr4TBP%~z!QKM?l8da;PEi_b1l?ts=TWqzf_KIqe z)}FOD5qrdraPGAIopYY^{CEC({^2E&+#~mO-PiZJ-k(oSiB%@dQrJ7T^nqIa?ppmF zugXO2CgIF7D7j5U>0)5OP28tp+QCmf=~13a(Mo^tm3K!7zvOgkrsgA4 zlOh}M9Wluq`0BpKQADeToU-;sIm>vJSX?gT*`=Aq2aL}Z8TREa3?(@aN@vuru-?1k zbHAcf6=~IIXN~dHP%R8zeFIGA<^B!wMqs`aTbcZj{?o(m1kbHT&72<1YblBS|H|;u5hx`{UB%KneZ&fVB+>W2+V8&lY1~cq)*QwC;zk|oY@Qe&j`xOP)hbhD1jqI#7^<&2jL&DOe z$X4^k*N_e}(C9tO4vtg^Rrpw>Xi54mvad*m+3B;Jc}4Z7%u*|gyM?v_3{xIo9L^64 z&<%y+bikq|vh%SoAGxMTK^w~rG`~5GnPd2=d#AiFHVSVAOM>Jn|9qBC1v38L550CD z0Xh|m0(OQa~{nAm1{nn9(Uir~&eLX$W!tC2EAZ2#S8@RX# zBIf)z9^o>6?R3Y*^$q70#7=Ksb6S(f$(?10Z@$;6 zyd}r9ztxuV%g{^LX~p&CO_Nq98bZ*I zAS2%1itAd~-VwqD5bgp{5w6vIPe#5(&aq6TXrIopuq;*iq@=g)E;{@=D*0^R8CUK$ zcmJq`9lBIgb*cBP&}#1L>a)k#8T3cNIfyavW|~iqBU_hO5fmU#iobcI<;&I0@HuI@ zw(K79eB9$ex0h`N-O{;vCMG7hP&pQrp^2LC0AgG$Ak3TThDDsb_@PLg@T4&l7n)C^ zb#G{JPTjjOrJmBeGc$0Od?!4B;6HfKQ9)BozXC&TB5?FH)`rHFy2&=kefc$J}jXKyWC zV0GH_StnCT+O#)JIpJ2MEeenBwW^v~8RW9N3f2!;`_yj)jE>-Yg64@_d035NQ|3lf2t zrrdnrmZnx6Q_~dyht5>)wY}j%|CNp6u~nZ;e>s)G?$rmI zu`EYT!YO8;-22IEZXNT^gDtqZ2(X9CNn{vOLag=zNIvc=2_yodes77#G`-4C*Z$bt z^AKFs?7$i!J5m!}WNgV4{vx~;=N!1j+ST_6`umwkv5|X(4Ael9 zn4%vX_kiKsUCJyhcyarKd>(DFi03J6{Y84fuw4yEe3Oq&m(B~YXry<# z_EqSlqS6Khic2fhh(RXM}Kf#B`98ISwfrf~}AGA(-ud1f`%?wwCz~0d!ReeL&ng@jP_F>$(%Cd>^`Pez%Y%}mekhW-AuTvU3m&1CL}4YbNV z3*WF*#5&yXFg86y22){le((K)Dq^@vw_vom}Y-QTQOw;X*b`S@MLL0^JY_`{Y%3 zxhgK}VR(Z;#67kjqKVJ@?k;}Y{~ewjnld1RJ zbXGm=*!<{tfWdRKN5^rZ_M^eT-Tvb?{TTh)m<#lRx!Vg)tauz@6YQ1{>hmZ<`IsnC z`Uc$SKt9QSAhUc|4Yi?3x5b-^%nc0OkgSc+P@gY$XDT7?dcb6k!X^EHj=TJs^U0)p z%~5Tv6iZWeUYWyO<@NH7X)DLc`jmXfq|d&;)Dum=25<9!$9{NR8O#>Ao*kJGs@gT9 zdwXX5Y2`=PTR2rw=*I?g`UG&S_NXAZXWCc@Q@Yqy;%-`ptSxc*o*Nqj_lkx4MpA%O zuLVJ)xE_4!S%`bAC3ie?xro+v`1~?6jm;g4A;uCUOJ#4LK8;@W=R_!0fW9C~(Pmfp zE(glu#UjFSq*_uC{~YynMv8aq9l1-@-IW2|`!k(JsEnG1m}wg>t!}^mtd8{W9+n)> zJ56`=u{?9hv?BZM33ZDBzAf%?1UcuH=kRN1f&34UnSb>NyUuJKy>k#=*Aqp)LZka- z?hou&3!gCy3&-BqP|qg2r_$r$8j%6$-RYfel2m*{rfZ$6?@;?dsUfy^$@s=HT+4-m zVwLik+C%IbfZ1|OrIk`rSqLUzd)%CR{yWDfo_&ebOBRUOK}OJx8DPosWUiX9URQxl zmsNY1?c007q%Htlab1$z0#2wInyPRlPRIVd2#d}a!hgAfsz-7%C%mj_U^TT+z-@l^ z>C{Pm9s4##WhQ@@w!ZeQ(e?N_cu6YE8vdzWX{A7f1tgz=+rp+ZhsMF1Uv=K{a$$=V zFw+p-}kbt`rHV%!3ST`~`21hlU6p0kQrI;n_FHtRf8mhqx5nrw=g zV;)*wA%b%4OLs6gBUD&q(O0?8fmAtVCSeEdZerBN94e9Jj>I3>Qrks~((UP?;9?k~ z72_T+V~zO}Ig8l0-pL^;^X+{Q%c8vMR*@`jT|+Z3u8giQ8uhy@QHWi}Aq&Z+#;W(D zEYN+f)~##4fQ6LD#ud!xTr&-r1hEve&%sKUO~po1q-+GE&!8VuP>ElTXo-jAduTP?7?d+T&gIbljA;!O@Y8nwU7mkSZY7~-8br4-9)?3S&_dyILX?#kGr+xV6eUWFkE{ zR6dEMLK!G4%tpMCLJ&{*r9R)npM@JIoEuOwn$%r)R{zvvzN(6`=3ya!>6QcWQtVoy zSa&+m{(9LQ1f1W!LYNdjFPPgg;m~0uCAbMp?JXSJNg#E+7f^Pu!+w+w2jZ)e&y9Rhf$6+wWrp&WbXGecAb86id1+lU5Arg2z z&ZQ;229Dzk@6VAvDpi94h-usgonaPU z!VTvNdIR=~kgT4r#kraL{$4R)GkaEE)zNx-Jm5SM&j`N_^l*Vo;ET;6LVsqg&Sy0* zI8lj~i|kFH{xyDuCATC0$g5meYma<6O&Kf}&LwVvt=WX5UaK@X$!`1p4!Dn~wJvl% zG!kA;2Bv+M3%yidj6H$(xX(AUaWzYq*LtkW<$j+# z(ZXvO?+MKLO1JpzI<`_c*Awa!?A->MpIH_~nw_(1-l)&zzu(nObp0HGA@C9X&-P3- zwcWd?Vp9qyZ6YFJAf;crxY?RpEVt{|TlE?{_uXaJ8?==s3;?Ka9>mN_oth~?xFgaMWR9Ho0Zr=@lPU=X1T0q*-XpWL7s+RwsGXc zxBXUisa`8a9dUN&WW-Z}CRht$qMWzrQ)%31r~(-V8r)L4&ZLFDj8%b2$X zx3}r3>m3pUV09Ue)CYDNI+Lgy6Q+ z<%Q)9M#K2Jl805;H8=SdqpPQ~+i2yJvEkhpDJt^ok@Q*sT9(OweCq{;*~ZQ z(N(deFq+-_?2}L^X`zzJ$pbDOy&?>QoR_aU%O6Gl?fy#$m5F<+E_OEU6aQH8)icT+^`(cwXEIUtc=AU_hbyL_X@?MK1iZAeV=_QmJCNPzsTs&uG`kdUX&N71h#I; zLYB(;^J}{dmcK3gz%km8)?Pvf6aSjJ_#NBOzgyFNfBwTNyMU{?h2+~tAkV-r+dY<; zZ9@L{swbF_H87-yJb!K+|F3UCu6*RR`a1JpTd$vcjsVx(1Nji^%vSJns^u=2Z zt)f@oEO+!G2}1_-%jmpcqh3dv=3$@b@Bsx+f9$q3va~Z{3g3y^{nzVl6X+*s{JGp{ zTON|(-T<+rHVtQENZs^Nm|z*=RRj5*2lkGZq%?aEZ1f|ERDE^P;4e?W|3?_|F@`@1 zy+01F0&WGtL2#ws6a?WBN_r!!ac7C65?Bexe}jqEuB_KBMb@on$oh;cR80g(Pq>dc zd-ivVzpMS!F;>I_6tg6{V0FCWotW#SV&{tKXEa&?_PXBPm`?w;nGzTNK^`!eI2o@K zpLjlp%xDmQ5mNfXR#@=p42V1qnC56EiR*gc{qhS6a%1_O>>U=Md?iMzcy)E&#0;FDh8D+)S2n5*o<0z=<;A*(v-qgt76|I+B`iR;lqNdVUfoB!L2XyBc#$ z&>4yJLdNR0)NOg6tApL~7cS2I^p1EvxDwZx_1MeAmRU4`!!5{Fr-&CpqMaEtorusd+wX5s2dIosj0^FRgJEHLDOuB5HY(Ml_14z7N!zYb;ThDHfj z;QN^XWmf!umwX{8q#D0cZC0>UO?tC*3Yp6TL*|%|PRuGMes9_(j0}mZc02v2gupoy zc(qy#+`dhqSskN#u>Gn@pFRq1gtG>)8!!)E!h1D-BQ_MVcHinIiwc|Vlcx^I*Iq5! zf$DNK$L{R>mr>Z8mDyRV>Z`q4rpk|Lo_{r5`C;4Jx|3Qb$PMJvKLRh>As@EA3YHPc z9Jj2T&R%B96~H2Zin#f;owjP*Qfa$VTOp6wq2&5Ik4nx=P|I{{AFP#Nd#)DR>Y-s9 zU_k`t_@w^BMoH1ClXw83ZmQR{xWV8!F}(5GX;eXpn6@rc0kR!A*cuhE!(nnX)9tWC zS&9bI`OEp6SFpXQD}YKp}C0c*}s#4_)sA*ogM-!w93`7hHfcBN$g?i}OIOdIC| z;`7E?{6mSr6PSL91%HQ)_od?Jz$>oGQMY_Jf9n@T9aMUBa83A%VT%9mn*6v{dR=F3-7R(qt4NO|5Z5mb7vekt z-C4eqk@k{@hl9?-g?!Vh)XKF{l^SXTlcE>XwT3Z4r73;3>%GQQXRvNFxS!}Y#K)!h zpkhuy!?r<(?Wo`8OH7f8I`Qpy7WMn?nJC6@o?M*oY@b78>fN(9LE!bU$Q~S}tY5CS zj7nK6B=4P|)rN9?k6bMn7f*;}!l{$i@{?oXye5@zxVyS?SX^sTxU;bjpK1jec@;W4 zX1~GG%t}Ws8RLt72TY%4vH3LUyVx_LTWXHjP4OsMt`~eAbf)1W{9Ii3U)|XlU|}2j zCM<1xsdu(^@5joUcWn~1FQ|R%G!LwFsYbhb)-obZ>DMv(d(OB{i6gi#D*D+Jn3ZEc zrTxcRr(}{dQ3lx;&YvUHDBiOk%FLo2O4_oQ+f*#evFb~?bq;iXQdf`+;-;34zBc$ zziLi%MeU6``~C_1s^nA5o=B>9TlJo!P6Xh+*9^<@?LxOJHO8V(4vaiD$9>@J9hEZ& zrL(Pm-AU_#_lD5YL1aDu0lgD+zr4(`qYv*ln6rD*HyoAQGmzjA^C3ms<2cjK+!i;T{jt;c_uq4iL~%C7Td=YcRM z$zR?xZI3eg`A$;<_ECEokaLad_Z|nnn`D+ulw}pyVWcWiY}JUrIa*1%wG?-1<9&a7 zI^HcQR1%_fey<>`yE>70MQK8g%24_w#-&@FjIiWCDT|@=ZQ1-*fXq4mo*3#PH&Ck4 zL6?l3q6NMF+h&K(*>z-xrZOuU9~AY0HK*oeF24zAQfd_Eb7o>xJU)<6MT%R5!=F38Kg|Q4OrCn$PFz z_yC`g+9rC0%A)+kT${(fGva|GQ}31ZLC)%!zH4jSuc32lgieL@j$fM4ug;_uF_~8e=Gmnq-lia9pFr%jFO} zUxpk+IS=uksx9d95s}TsMD1>#u}5-449)IeBfe=oU)L3sor4w=-_I(I$x%8zbK$ty z;WE@k)Z2PC)a5qlT!QdvLVR}~51SC&m*Xzs{BD!yO!J~e|Hxtgo&(4A_D!EVAQFYx zOmN~)BB3)R{ND?IiTPmlik};9MRc~|axlC;3EJW~=+To3RToowrPOyeP2>*|D0Mlh z1bw7ls2IOicNxIFFK3ER1{j(-$U2Ve=}dY|Yrj`boCVh{E>iA3ct$=Bgzx#{wJ4kTG4?^GoXcrR5s>bF{sp2m|F>=s$caG4 za|6%~w}Z`_CR5|{fL7r{ViI#+X=%{!B2)f>o`>2_L%($p2m$BeFHOq;AoS}&UlJwD zx$X%A6BFjn1d-4S7q;_0e}1d3PBNk3)z^#BMNBYn0fkcZb&|9_{h@5gNqV9pgKK(^ zxLo!=w6gELn)FglSe^a11DS#SA{jlqc*>+Oh|GGMS*Lz`z*UCXiZVccgdyqa=bRX9 zb#N?n*Ny@>LV&KJ@~|jIzfX~Zu2a;i>s)?;xn@g?vVk_mZvImn=@ST*_l<m@cMOhJ`;eW;h6rxl?n=E#FJVUT&$tR-Hyz#zW)BDp`pcI z<+z+jxY-vM5Kg?i2uBVYxE+|r*O`STz@JiP^SiedTTP9{<+rm+g_ETN_1hy}CA^p? zC$hGQnPFBpwJr9531aO{$(6 zXr;5r()60Pv*+aGc*UfoXc|6FQkWwAx_vY%m#vFS!sF}$O;q+_gNhC8V~ReP#}4S8;$Mh*w+({PnBzj<9AEl-WP~M`?sJ=1z0vx->%> zO6J+Tz|dVAUi^TZ$oKIL)gRjoU{DX9R4D&i=y*vkz0z2l?nOR_CE%jiCvbZXc_X@ z+-FShQN4gbL~I=u-<;cxX1aD{KmlASJrB7;(1tt)Y z%s0ho=u2R}auvRS07zWYq5bkLcd%BQ6nN83iU(VXWa`%X{dBu>434y&?szPA1|DIb zTa;09XZ#I02*(RlSpYJdh8PX+v>7T+(bKPp;Ny?$n{9d5-173pO-n7U$OGC`8@%%C z<9D|wG5Q4t#=vA+X-P_k<7TSx&A6})uGPJntlOi%h%LE3bt&e85Et&>mBFIL8xRT; z?DQmLB7O!vQ=AyjMgX*6lBDesEA#=2%f0pu5xcIQ?;&)eemnMwcbz`n$yT~@lEDN5 z`N@*zRwek)`S}@CVD7hcZ%JaoBIB||N5}k-pm{9zEpfC~fB&lNrDg~A!#ZHDiyI+@ z0vDHUVRh^4$F>LMbOq&}vYIGaP5Zr7W9zBWP-4G%l&&hkVc!El1t7!p2>%7Kx$j_u zAXH~S@PhIhj}4ZT!q`b_Veg&=MQbggWt?^KtZ*{_hZu&FE2v!mFURfkU@PLQCU z&X;hbFFJZ9W}3TBNg?zC#j8^IYD?b^ZO*`7cOupfP~nW!B{rEc1s+Rcp7T=%>ti1z zKxPtu(?+rT27-u7^=C^1#M!yh8?|vqJ2gos+i`V(v*kwCWCOvd$U6POnF$$T-;OB5 z>nQ=s^+TmP?R6`{t3T`K(Gy;$pto88#5d`0nm6vuNI9kFwmqK*(8HX}awj(GYD_BZ z0TQ21ju*!Y4N7~s$TXu>Ke%exi&u^%7-rRN$nkKwZ}E=Hrq~2Bixc)Xx_y2wKneNY z`@HOnZ{FRu15G3|2}ZexnoCD6vW~mWv77QO68Bd*TvztTkSZ)gvcC{T2Uky@nz6aK zc6C2vkv(^=bT%B`zCk0k>3UJ#koNDiiO*-ki@o6xJ0?1R$gq@PmztZK>eqS@b#zVU z_$S!&2Tc{}FgeMB5;-GISNBdmw^Ck7OUA?1v_924Kq$G;y;@pNv@#5jR5H5MQpz+X z6heL+Ccm}=JVldASDP7S??;TPj7HW>VNZe=?QJ3#J?Va#re;P3iUf`PMqJEjLGGrC zj}d@9TKrdXJ)ab~Fv9w_aT+wjpn57c}d%E0ldetBweOurQg_!1PW6VZ2 zdA7Ni5iONcm{Y|&)#zJWBZvlUR#Q0S&9*e9mvnKd7h!HtWpr=Ul|@I0fpk z|KQlBge&-i)=@)H?aP0Og|BKVAWr8bS@=|82bZbc_xkc)E@9n2{%CJarLl3n+n~T` z6Jjcvz*tSITK;6NxQzY%yx+rUZl;9KZkipGGQZTO*7>`2rwo;wXXVk0H=Hptl_oC5 zjMfkj@K8XzZ>PC#fK}&@?yb99<9|0x!Wi-|2Aps$4{hl9?R+3>bqcKApA22pdMlR}JC3Y!{ zM*2Lf7#~y-qdi-i5f(ACT15?)d(we$x2~o2jUk4cU=EN4XxGI?VUW4TU-BVeBT4q1 zOT(_9-*gZLx9-oDA-!IYav`+KSPu$YeZN)^%icl0knH|Gf)h&S%XOtSJToBc8kK6e z&~hxgSXasWYczJjkG0tF(X6}lo11X90POVW`t-0P3;gtmWoy-MEuf&GjMpmj+WtOhseEhrJCs zOF-NUvIJOc9L|eP!^?|?hE+5^fIvtJKJXm;YRmse@&*NRnUed&u6X}OF`hup4+5!b zS+ZRSJf3s*obVDiH3f1JV59~7{6AFq|J*+~2VfG6B)>CO^|!!U7o;lt*L>Rs6B+U! zUo|U|&gB~z@LQXOMrlW0f1O61k7N;dY)_x3JK?4kBE&=gn5w0*adBQy{qs;fn ztP6qQFouq^7n0xe+|WNrQmwaSdpI4eM3(n`N@*6bbvpz3AFv7jnLlEmnw~?F2`cHU z2i^++Ugru|u2osGk<8@Afx`iQy8(v_7@D{I=gC`tEYDvZ178kx9`HWjE=j_jQo2Xo WwM&1@=nI^DkeafVQn8}ttN#Tpv4}bV diff --git a/docs/en/get-started/establish-serial-connection.rst b/docs/en/get-started/establish-serial-connection.rst index 2d5e5555ab..38fbdd7cc1 100644 --- a/docs/en/get-started/establish-serial-connection.rst +++ b/docs/en/get-started/establish-serial-connection.rst @@ -276,12 +276,26 @@ Run terminal and set identified serial port. Baud rate = 115200 (if needed, chan Setting Serial Communication in PuTTY on Linux -Then open serial port in terminal and check, if you see any log printed out by {IDF_TARGET_NAME}. The log contents depend on application loaded to {IDF_TARGET_NAME}, see `Example Output`_. +Then open serial port in terminal and check, if you see any log printed out by {IDF_TARGET_NAME}. The log contents depend on application loaded to {IDF_TARGET_NAME}, see `Example Output`_. Reset the board if no log has been printed out. .. note:: Close the serial terminal after verification that communication is working. If you keep the terminal session open, the serial port will be inaccessible for uploading firmware later. +.. note:: + + If there is no log output, check + + - if the required power is supplied to {IDF_TARGET_NAME} + - if the board was reset after starting the terminal program + - if the selected serial port is the correct one by using the method stated in `Check Port on Windows`_ and `Check Port on Linux and macOS`_ + - if the serial port is not being used by another program + - if the identified port has been selected in serial terminal programs you are using, as stated in `Windows and Linux`_ + - if settings of the serial port in serial terminal programs are applicable to corresponding applications + - if the correct USB connector (UART) is used on the development board + - if your application is expected to output some log + - if the log output has not been disabled (use :example:`hello world application ` to test) + macOS ^^^^^ diff --git a/docs/zh_CN/get-started/establish-serial-connection.rst b/docs/zh_CN/get-started/establish-serial-connection.rst index e6c0ea55d5..c807f499a7 100644 --- a/docs/zh_CN/get-started/establish-serial-connection.rst +++ b/docs/zh_CN/get-started/establish-serial-connection.rst @@ -276,12 +276,26 @@ Windows 和 Linux 操作系统 在 Linux 操作系统中使用 PuTTY 设置串口通信参数 -然后,请检查 {IDF_TARGET_NAME} 是否有打印日志。如有,请在终端打开串口进行查看。这里的日志内容取决于加载到 {IDF_TARGET_NAME} 的应用程序,请参考 `输出示例`_。 +然后,请检查 {IDF_TARGET_NAME} 是否有打印日志。如有,请在终端打开串口进行查看。这里的日志内容取决于加载到 {IDF_TARGET_NAME} 的应用程序,请参考 `输出示例`_。如果没有看到输出日志,请尝试重启开发板。 .. 注解:: 请在验证完串口通信正常后,关闭串口终端。如果终端一直保持打开的状态,之后上传固件时将无法访问串口。 +.. 注解:: + + 如果没有日志输出,请检查以下原因: + + - {IDF_TARGET_NAME} 的供电是否正常 + - 启动终端程序后,是否重置开发板 + - 使用 `在 Windows 上查看端口`_ 与 `在 Linux 和 macOS 上查看端口`_ 中描述的方法,检查所选串口是否正确 + - 其他程序是否正在使用该串口 + - 对于 `Windows 和 Linux 操作系统`_ 中描述的串口终端程序,其选择的端口是否正确 + - 串口终端程序中的串口设置是否适用于该应用程序 + - 开发板上选择的 USB 连接器(UART)是否正确 + - 应用程序是否会输出日志 + - 是否禁用了日志输出(使用 :example:`hello world 示例 ` 进行测试) + macOS 操作系统 ^^^^^^^^^^^^^^^^^