cariboulabs-cariboulite/firmware/top.json

41192 wiersze
1.3 MiB

{
"creator": "Yosys 0.39+0 (git sha1 18cec2d9a, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os)",
"modules": {
"$__ABC9_DELAY": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000000000000"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000000000000",
"T_FALL_MIN": "00000000000000000000000000000000",
"T_FALL_TYP": "00000000000000000000000000000000",
"T_RISE_MAX": "00000000000000000000000000000000",
"T_RISE_MIN": "00000000000000000000000000000000",
"T_RISE_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$__ABC9_SCC_BREAKER": {
"attributes": {
"dynports": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:9.1-11.10"
},
"parameter_default_values": {
"WIDTH": "00000000000000000000000000000000"
},
"ports": {
"I": {
"direction": "input",
"offset": -1,
"upto": 1,
"bits": [ 2, 3 ]
},
"O": {
"direction": "output",
"offset": -1,
"upto": 1,
"bits": [ 4, 5 ]
}
},
"cells": {
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2, 3 ],
"offset": -1,
"upto": 1,
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:9.47-9.48"
}
},
"O": {
"hide_name": 0,
"bits": [ 4, 5 ],
"offset": -1,
"upto": 1,
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:9.69-9.70"
}
}
}
},
"$__DFF_N__$abc9_flop": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:14.1-20.10"
},
"ports": {
"C": {
"direction": "input",
"bits": [ 2 ]
},
"D": {
"direction": "input",
"bits": [ 3 ]
},
"Q": {
"direction": "input",
"bits": [ 4 ]
},
"n1": {
"direction": "output",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:14.36-14.37"
}
},
"D": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:14.39-14.40"
}
},
"Q": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:14.42-14.43"
}
},
"n1": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:14.52-14.54"
}
}
}
},
"$__DFF_P__$abc9_flop": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:23.1-29.10"
},
"ports": {
"C": {
"direction": "input",
"bits": [ 2 ]
},
"D": {
"direction": "input",
"bits": [ 3 ]
},
"Q": {
"direction": "input",
"bits": [ 4 ]
},
"n1": {
"direction": "output",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:23.36-23.37"
}
},
"D": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:23.39-23.40"
}
},
"Q": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:23.42-23.43"
}
},
"n1": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:23.52-23.54"
}
}
}
},
"$__ICE40_CARRY_WRAPPER": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:2.1-86.10"
},
"parameter_default_values": {
"I3_IS_CI": "00000000000000000000000000000000",
"LUT": "00000000000000000000000000000000"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
},
"A": {
"direction": "input",
"bits": [ 4 ]
},
"B": {
"direction": "input",
"bits": [ 5 ]
},
"CI": {
"direction": "input",
"bits": [ 6 ]
},
"I0": {
"direction": "input",
"bits": [ 7 ]
},
"I3": {
"direction": "input",
"bits": [ 8 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.8-6.9"
}
},
"B": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.11-6.12"
}
},
"CI": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"I0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.8-9.10"
}
},
"I3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.12-9.14"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:5.9-5.10"
}
}
}
},
"$paramod$85a472072107804a1e6bedb8b52fb3de6104e462\\SB_RAM40_4K": {
"attributes": {
"hdlname": "SB_RAM40_4K",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1487.1-1724.10"
},
"parameter_default_values": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_FILE": " ",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$8346": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$8347": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$8348": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$8349": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$90": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.3-1661.51"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$91": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.3-1663.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$92": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1665.3-1665.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$93": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1667.3-1667.32"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$94": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.3-1669.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$95": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1671.3-1671.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$96": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.3-1673.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$97": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1675.3-1675.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$98": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "1",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1677.3-1677.44"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$8346_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$8347_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$8348_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$8349_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1497.16-1497.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1492.16-1492.21"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1489.16-1489.20"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1491.16-1491.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1496.16-1496.21"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1493.16-1493.20"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1498.16-1498.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1495.16-1495.18"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000000010101": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000000010101"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000000010101",
"T_FALL_MIN": "00000000000000000000000000010101",
"T_FALL_TYP": "00000000000000000000000000010101",
"T_RISE_MAX": "00000000000000000000000000010101",
"T_RISE_MIN": "00000000000000000000000000010101",
"T_RISE_TYP": "00000000000000000000000000010101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000001100010": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000001100010"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000001100010",
"T_FALL_MIN": "00000000000000000000000001100010",
"T_FALL_TYP": "00000000000000000000000001100010",
"T_RISE_MAX": "00000000000000000000000001100010",
"T_RISE_MIN": "00000000000000000000000001100010",
"T_RISE_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000010000101": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000010000101"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000010000101",
"T_FALL_MIN": "00000000000000000000000010000101",
"T_FALL_TYP": "00000000000000000000000010000101",
"T_RISE_MAX": "00000000000000000000000010000101",
"T_RISE_MIN": "00000000000000000000000010000101",
"T_RISE_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000010100001": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000010100001"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000010100001",
"T_FALL_MIN": "00000000000000000000000010100001",
"T_FALL_TYP": "00000000000000000000000010100001",
"T_RISE_MAX": "00000000000000000000000010100001",
"T_RISE_MIN": "00000000000000000000000010100001",
"T_RISE_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000011001011": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000011001011"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000011001011",
"T_FALL_MIN": "00000000000000000000000011001011",
"T_FALL_TYP": "00000000000000000000000011001011",
"T_RISE_MAX": "00000000000000000000000011001011",
"T_RISE_MIN": "00000000000000000000000011001011",
"T_RISE_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000011100000": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000011100000"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000011100000",
"T_FALL_MIN": "00000000000000000000000011100000",
"T_FALL_TYP": "00000000000000000000000011100000",
"T_RISE_MAX": "00000000000000000000000011100000",
"T_RISE_MIN": "00000000000000000000000011100000",
"T_RISE_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000100001011": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000100001011"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100001011",
"T_FALL_MIN": "00000000000000000000000100001011",
"T_FALL_TYP": "00000000000000000000000100001011",
"T_RISE_MAX": "00000000000000000000000100001011",
"T_RISE_MIN": "00000000000000000000000100001011",
"T_RISE_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000100010010": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"hdlname": "__ABC9_DELAY",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10"
},
"parameter_default_values": {
"DELAY": "00000000000000000000000100010010"
},
"ports": {
"I": {
"direction": "input",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
"$specify$8471": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100010010",
"T_FALL_MIN": "00000000000000000000000100010010",
"T_FALL_TYP": "00000000000000000000000100010010",
"T_RISE_MAX": "00000000000000000000000100010010",
"T_RISE_MIN": "00000000000000000000000100010010",
"T_RISE_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 3 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
}
},
"netnames": {
"I": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40"
}
}
}
},
"$paramod$__ICE40_CARRY_WRAPPER\\LUT=16'0110100110010110\\I3_IS_CI=1'1": {
"attributes": {
"hdlname": "__ICE40_CARRY_WRAPPER",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:2.1-86.10"
},
"parameter_default_values": {
"I3_IS_CI": "1",
"LUT": "0110100110010110"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"O": {
"direction": "output",
"bits": [ 3 ]
},
"A": {
"direction": "input",
"bits": [ 4 ]
},
"B": {
"direction": "input",
"bits": [ 5 ]
},
"CI": {
"direction": "input",
"bits": [ 6 ]
},
"I0": {
"direction": "input",
"bits": [ 7 ]
},
"I3": {
"direction": "input",
"bits": [ 8 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.8-6.9"
}
},
"B": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.11-6.12"
}
},
"CI": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"I0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.8-9.10"
}
},
"I3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.12-9.14"
}
},
"O": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:5.9-5.10"
}
}
}
},
"ICESTORM_LC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2136.1-2420.10"
},
"parameter_default_values": {
"ASYNC_SR": "0",
"CARRY_ENABLE": "0",
"CIN_CONST": "0",
"CIN_SET": "0",
"DFF_ENABLE": "0",
"LUT_INIT": "0000000000000000",
"NEG_CLK": "0",
"SET_NORESET": "0"
},
"ports": {
"I0": {
"direction": "input",
"bits": [ 2 ]
},
"I1": {
"direction": "input",
"bits": [ 3 ]
},
"I2": {
"direction": "input",
"bits": [ 4 ]
},
"I3": {
"direction": "input",
"bits": [ 5 ]
},
"CIN": {
"direction": "input",
"bits": [ 6 ]
},
"CLK": {
"direction": "input",
"bits": [ 7 ]
},
"CEN": {
"direction": "input",
"bits": [ 8 ]
},
"SR": {
"direction": "input",
"bits": [ 9 ]
},
"LO": {
"direction": "output",
"bits": [ 10 ]
},
"O": {
"direction": "output",
"bits": [ 11 ]
},
"COUT": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
"$specify$126": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000001101001",
"T_FALL_MIN": "00000000000000000000000001010101",
"T_FALL_TYP": "00000000000000000000000001011110",
"T_RISE_MAX": "00000000000000000000000001111110",
"T_RISE_MIN": "00000000000000000000000001100101",
"T_RISE_TYP": "00000000000000000000000001110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2231.2-2231.43"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 6 ]
}
},
"$specify$127": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000110000010",
"T_FALL_MIN": "00000000000000000000000100110110",
"T_FALL_TYP": "00000000000000000000000101010111",
"T_RISE_MAX": "00000000000000000000000111000001",
"T_RISE_MIN": "00000000000000000000000101101001",
"T_RISE_TYP": "00000000000000000000000110001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2233.2-2233.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
},
"$specify$128": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000110000010",
"T_FALL_MIN": "00000000000000000000000100110110",
"T_FALL_TYP": "00000000000000000000000101010111",
"T_RISE_MAX": "00000000000000000000000101101101",
"T_RISE_MIN": "00000000000000000000000100100101",
"T_RISE_TYP": "00000000000000000000000101000100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2235.2-2235.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 2 ]
}
},
"$specify$129": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000011110101",
"T_FALL_MIN": "00000000000000000000000011000101",
"T_FALL_TYP": "00000000000000000000000011011010",
"T_RISE_MAX": "00000000000000000000000100000011",
"T_RISE_MIN": "00000000000000000000000011010001",
"T_RISE_TYP": "00000000000000000000000011100111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2237.2-2237.44"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$130": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101111011",
"T_FALL_MIN": "00000000000000000000000100110000",
"T_FALL_TYP": "00000000000000000000000101010001",
"T_RISE_MAX": "00000000000000000000000110010000",
"T_RISE_MIN": "00000000000000000000000101000001",
"T_RISE_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2239.2-2239.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$131": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101111011",
"T_FALL_MIN": "00000000000000000000000100110000",
"T_FALL_TYP": "00000000000000000000000101010001",
"T_RISE_MAX": "00000000000000000000000101000011",
"T_RISE_MIN": "00000000000000000000000100000011",
"T_RISE_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2241.2-2241.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 3 ]
}
},
"$specify$132": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000010000101",
"T_FALL_MIN": "00000000000000000000000001101011",
"T_FALL_TYP": "00000000000000000000000001110110",
"T_RISE_MAX": "00000000000000000000000011100111",
"T_RISE_MIN": "00000000000000000000000010111010",
"T_RISE_TYP": "00000000000000000000000011001110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2243.2-2243.44"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 12 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$133": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000101011111",
"T_FALL_MIN": "00000000000000000000000100011010",
"T_FALL_TYP": "00000000000000000000000100111000",
"T_RISE_MAX": "00000000000000000000000101111011",
"T_RISE_MIN": "00000000000000000000000100110000",
"T_RISE_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2245.2-2245.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$134": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100100000",
"T_FALL_MIN": "00000000000000000000000011100111",
"T_FALL_TYP": "00000000000000000000000100000000",
"T_RISE_MAX": "00000000000000000000000100111100",
"T_RISE_MIN": "00000000000000000000000011111110",
"T_RISE_TYP": "00000000000000000000000100011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2247.2-2247.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 4 ]
}
},
"$specify$135": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100100000",
"T_FALL_MIN": "00000000000000000000000011100111",
"T_FALL_TYP": "00000000000000000000000100000000",
"T_RISE_MAX": "00000000000000000000000100111100",
"T_RISE_MIN": "00000000000000000000000011111110",
"T_RISE_TYP": "00000000000000000000000100011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2249.2-2249.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$136": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000000100010010",
"T_FALL_MIN": "00000000000000000000000011011100",
"T_FALL_TYP": "00000000000000000000000011110011",
"T_RISE_MAX": "00000000000000000000000100001011",
"T_RISE_MIN": "00000000000000000000000011010110",
"T_RISE_TYP": "00000000000000000000000011101101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2251.2-2251.42"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 10 ],
"EN": [ "1" ],
"SRC": [ 5 ]
}
},
"$specify$137": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001000011100",
"T_FALL_MIN": "00000000000000000000000110110010",
"T_FALL_TYP": "00000000000000000000000111100000",
"T_RISE_MAX": "00000000000000000000001000011100",
"T_RISE_MIN": "00000000000000000000000110110010",
"T_RISE_TYP": "00000000000000000000000111100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2253.2-2253.59"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x" ],
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 7 ]
}
},
"$specify$138": {
"hide_name": 1,
"type": "$specify2",
"parameters": {
"DST_WIDTH": "00000000000000000000000000000001",
"FULL": "0",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000001001010111",
"T_FALL_MIN": "00000000000000000000000111100010",
"T_FALL_TYP": "00000000000000000000001000010101",
"T_RISE_MAX": "00000000000000000000001001010111",
"T_RISE_MIN": "00000000000000000000000111100010",
"T_RISE_TYP": "00000000000000000000001000010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2255.2-2255.41"
},
"port_directions": {
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DST": [ 11 ],
"EN": [ "1" ],
"SRC": [ 9 ]
}
},
"$specify$139": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000111010110",
"T_LIMIT_MIN": "00000000000000000000000101111010",
"T_LIMIT_TYP": "00000000000000000000000110100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2257.2-2257.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$140": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2259.2-2259.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$141": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000111010110",
"T_LIMIT_MIN": "00000000000000000000000101111010",
"T_LIMIT_TYP": "00000000000000000000000110100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2260.2-2260.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$142": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2261.2-2261.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 2 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$143": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2263.2-2263.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$144": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101111011",
"T_LIMIT_MIN": "00000000000000000000000100110000",
"T_LIMIT_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2265.2-2265.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$145": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000110010000",
"T_LIMIT_MIN": "00000000000000000000000101000001",
"T_LIMIT_TYP": "00000000000000000000000101100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2266.2-2266.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$146": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101111011",
"T_LIMIT_MIN": "00000000000000000000000100110000",
"T_LIMIT_TYP": "00000000000000000000000101010001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2267.2-2267.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 3 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$147": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101110100",
"T_LIMIT_MIN": "00000000000000000000000100101011",
"T_LIMIT_TYP": "00000000000000000000000101001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2269.2-2269.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$148": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101000011",
"T_LIMIT_MIN": "00000000000000000000000100000011",
"T_LIMIT_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2271.2-2271.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$149": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101110100",
"T_LIMIT_MIN": "00000000000000000000000100101011",
"T_LIMIT_TYP": "00000000000000000000000101001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2272.2-2272.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$150": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000101000011",
"T_LIMIT_MIN": "00000000000000000000000100000011",
"T_LIMIT_TYP": "00000000000000000000000100011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2273.2-2273.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 4 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$151": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000011011100",
"T_LIMIT_TYP": "00000000000000000000000011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2275.2-2275.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$152": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011011001",
"T_LIMIT_MIN": "00000000000000000000000010101111",
"T_LIMIT_TYP": "00000000000000000000000010110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2277.2-2277.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$153": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000011011100",
"T_LIMIT_TYP": "00000000000000000000000011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2278.2-2278.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$154": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011011001",
"T_LIMIT_MIN": "00000000000000000000000010101111",
"T_LIMIT_TYP": "00000000000000000000000010110111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2279.2-2279.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 5 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$155": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2281.2-2281.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 8 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$156": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000000000000",
"T_LIMIT_MIN": "00000000000000000000000000000000",
"T_LIMIT_TYP": "00000000000000000000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2283.2-2283.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 8 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$157": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000010100011",
"T_LIMIT_TYP": "00000000000000000000000010110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2285.2-2285.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$158": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "1",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010001100",
"T_LIMIT_MIN": "00000000000000000000000001110001",
"T_LIMIT_TYP": "00000000000000000000000001111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2287.2-2287.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$159": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000010100011",
"T_LIMIT_TYP": "00000000000000000000000010110101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2288.2-2288.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
},
"$specify$160": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "1",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setuphold",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010001100",
"T_LIMIT_MIN": "00000000000000000000000001110001",
"T_LIMIT_TYP": "00000000000000000000000001111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2289.2-2289.58"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 9 ],
"DST_EN": [ "1" ],
"SRC": [ 7 ],
"SRC_EN": [ "1" ]
}
}
},
"netnames": {
"CEN": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.34-2137.37"
}
},
"CIN": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.24-2137.27"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.29-2137.32"
}
},
"COUT": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2140.9-2140.13"
}
},
"I0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.8-2137.10"
}
},
"I1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.12-2137.14"
}
},
"I2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.16-2137.18"
}
},
"I3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.20-2137.22"
}
},
"LO": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2138.9-2138.11"
}
},
"O": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2139.9-2139.10"
}
},
"SR": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.39-2137.41"
}
}
}
},
"ICESTORM_RAM": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3167.1-3502.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"NEG_CLK_R": "0",
"NEG_CLK_W": "0",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA_15": {
"direction": "output",
"bits": [ 2 ]
},
"RDATA_14": {
"direction": "output",
"bits": [ 3 ]
},
"RDATA_13": {
"direction": "output",
"bits": [ 4 ]
},
"RDATA_12": {
"direction": "output",
"bits": [ 5 ]
},
"RDATA_11": {
"direction": "output",
"bits": [ 6 ]
},
"RDATA_10": {
"direction": "output",
"bits": [ 7 ]
},
"RDATA_9": {
"direction": "output",
"bits": [ 8 ]
},
"RDATA_8": {
"direction": "output",
"bits": [ 9 ]
},
"RDATA_7": {
"direction": "output",
"bits": [ 10 ]
},
"RDATA_6": {
"direction": "output",
"bits": [ 11 ]
},
"RDATA_5": {
"direction": "output",
"bits": [ 12 ]
},
"RDATA_4": {
"direction": "output",
"bits": [ 13 ]
},
"RDATA_3": {
"direction": "output",
"bits": [ 14 ]
},
"RDATA_2": {
"direction": "output",
"bits": [ 15 ]
},
"RDATA_1": {
"direction": "output",
"bits": [ 16 ]
},
"RDATA_0": {
"direction": "output",
"bits": [ 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR_10": {
"direction": "input",
"bits": [ 21 ]
},
"RADDR_9": {
"direction": "input",
"bits": [ 22 ]
},
"RADDR_8": {
"direction": "input",
"bits": [ 23 ]
},
"RADDR_7": {
"direction": "input",
"bits": [ 24 ]
},
"RADDR_6": {
"direction": "input",
"bits": [ 25 ]
},
"RADDR_5": {
"direction": "input",
"bits": [ 26 ]
},
"RADDR_4": {
"direction": "input",
"bits": [ 27 ]
},
"RADDR_3": {
"direction": "input",
"bits": [ 28 ]
},
"RADDR_2": {
"direction": "input",
"bits": [ 29 ]
},
"RADDR_1": {
"direction": "input",
"bits": [ 30 ]
},
"RADDR_0": {
"direction": "input",
"bits": [ 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR_10": {
"direction": "input",
"bits": [ 35 ]
},
"WADDR_9": {
"direction": "input",
"bits": [ 36 ]
},
"WADDR_8": {
"direction": "input",
"bits": [ 37 ]
},
"WADDR_7": {
"direction": "input",
"bits": [ 38 ]
},
"WADDR_6": {
"direction": "input",
"bits": [ 39 ]
},
"WADDR_5": {
"direction": "input",
"bits": [ 40 ]
},
"WADDR_4": {
"direction": "input",
"bits": [ 41 ]
},
"WADDR_3": {
"direction": "input",
"bits": [ 42 ]
},
"WADDR_2": {
"direction": "input",
"bits": [ 43 ]
},
"WADDR_1": {
"direction": "input",
"bits": [ 44 ]
},
"WADDR_0": {
"direction": "input",
"bits": [ 45 ]
},
"MASK_15": {
"direction": "input",
"bits": [ 46 ]
},
"MASK_14": {
"direction": "input",
"bits": [ 47 ]
},
"MASK_13": {
"direction": "input",
"bits": [ 48 ]
},
"MASK_12": {
"direction": "input",
"bits": [ 49 ]
},
"MASK_11": {
"direction": "input",
"bits": [ 50 ]
},
"MASK_10": {
"direction": "input",
"bits": [ 51 ]
},
"MASK_9": {
"direction": "input",
"bits": [ 52 ]
},
"MASK_8": {
"direction": "input",
"bits": [ 53 ]
},
"MASK_7": {
"direction": "input",
"bits": [ 54 ]
},
"MASK_6": {
"direction": "input",
"bits": [ 55 ]
},
"MASK_5": {
"direction": "input",
"bits": [ 56 ]
},
"MASK_4": {
"direction": "input",
"bits": [ 57 ]
},
"MASK_3": {
"direction": "input",
"bits": [ 58 ]
},
"MASK_2": {
"direction": "input",
"bits": [ 59 ]
},
"MASK_1": {
"direction": "input",
"bits": [ 60 ]
},
"MASK_0": {
"direction": "input",
"bits": [ 61 ]
},
"WDATA_15": {
"direction": "input",
"bits": [ 62 ]
},
"WDATA_14": {
"direction": "input",
"bits": [ 63 ]
},
"WDATA_13": {
"direction": "input",
"bits": [ 64 ]
},
"WDATA_12": {
"direction": "input",
"bits": [ 65 ]
},
"WDATA_11": {
"direction": "input",
"bits": [ 66 ]
},
"WDATA_10": {
"direction": "input",
"bits": [ 67 ]
},
"WDATA_9": {
"direction": "input",
"bits": [ 68 ]
},
"WDATA_8": {
"direction": "input",
"bits": [ 69 ]
},
"WDATA_7": {
"direction": "input",
"bits": [ 70 ]
},
"WDATA_6": {
"direction": "input",
"bits": [ 71 ]
},
"WDATA_5": {
"direction": "input",
"bits": [ 72 ]
},
"WDATA_4": {
"direction": "input",
"bits": [ 73 ]
},
"WDATA_3": {
"direction": "input",
"bits": [ 74 ]
},
"WDATA_2": {
"direction": "input",
"bits": [ 75 ]
},
"WDATA_1": {
"direction": "input",
"bits": [ 76 ]
},
"WDATA_0": {
"direction": "input",
"bits": [ 77 ]
}
},
"cells": {
},
"netnames": {
"MASK_0": {
"hide_name": 0,
"bits": [ 61 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.135-3173.141"
}
},
"MASK_1": {
"hide_name": 0,
"bits": [ 60 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.127-3173.133"
}
},
"MASK_10": {
"hide_name": 0,
"bits": [ 51 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.54-3173.61"
}
},
"MASK_11": {
"hide_name": 0,
"bits": [ 50 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.45-3173.52"
}
},
"MASK_12": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.36-3173.43"
}
},
"MASK_13": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.27-3173.34"
}
},
"MASK_14": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.18-3173.25"
}
},
"MASK_15": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.9-3173.16"
}
},
"MASK_2": {
"hide_name": 0,
"bits": [ 59 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.119-3173.125"
}
},
"MASK_3": {
"hide_name": 0,
"bits": [ 58 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.111-3173.117"
}
},
"MASK_4": {
"hide_name": 0,
"bits": [ 57 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.103-3173.109"
}
},
"MASK_5": {
"hide_name": 0,
"bits": [ 56 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.95-3173.101"
}
},
"MASK_6": {
"hide_name": 0,
"bits": [ 55 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.87-3173.93"
}
},
"MASK_7": {
"hide_name": 0,
"bits": [ 54 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.79-3173.85"
}
},
"MASK_8": {
"hide_name": 0,
"bits": [ 53 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.71-3173.77"
}
},
"MASK_9": {
"hide_name": 0,
"bits": [ 52 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.63-3173.69"
}
},
"RADDR_0": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.100-3170.107"
}
},
"RADDR_1": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.91-3170.98"
}
},
"RADDR_10": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.9-3170.17"
}
},
"RADDR_2": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.82-3170.89"
}
},
"RADDR_3": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.73-3170.80"
}
},
"RADDR_4": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.64-3170.71"
}
},
"RADDR_5": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.55-3170.62"
}
},
"RADDR_6": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.46-3170.53"
}
},
"RADDR_7": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.37-3170.44"
}
},
"RADDR_8": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.28-3170.35"
}
},
"RADDR_9": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.19-3170.26"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.9-3169.13"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.15-3169.20"
}
},
"RDATA_0": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.150-3168.157"
}
},
"RDATA_1": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.141-3168.148"
}
},
"RDATA_10": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.59-3168.67"
}
},
"RDATA_11": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.49-3168.57"
}
},
"RDATA_12": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.39-3168.47"
}
},
"RDATA_13": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.29-3168.37"
}
},
"RDATA_14": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.19-3168.27"
}
},
"RDATA_15": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.9-3168.17"
}
},
"RDATA_2": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.132-3168.139"
}
},
"RDATA_3": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.123-3168.130"
}
},
"RDATA_4": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.114-3168.121"
}
},
"RDATA_5": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.105-3168.112"
}
},
"RDATA_6": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.96-3168.103"
}
},
"RDATA_7": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.87-3168.94"
}
},
"RDATA_8": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.78-3168.85"
}
},
"RDATA_9": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.69-3168.76"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.22-3169.24"
}
},
"WADDR_0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.100-3172.107"
}
},
"WADDR_1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.91-3172.98"
}
},
"WADDR_10": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.9-3172.17"
}
},
"WADDR_2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.82-3172.89"
}
},
"WADDR_3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.73-3172.80"
}
},
"WADDR_4": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.64-3172.71"
}
},
"WADDR_5": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.55-3172.62"
}
},
"WADDR_6": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.46-3172.53"
}
},
"WADDR_7": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.37-3172.44"
}
},
"WADDR_8": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.28-3172.35"
}
},
"WADDR_9": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.19-3172.26"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.9-3171.13"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.15-3171.20"
}
},
"WDATA_0": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.150-3174.157"
}
},
"WDATA_1": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.141-3174.148"
}
},
"WDATA_10": {
"hide_name": 0,
"bits": [ 67 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.59-3174.67"
}
},
"WDATA_11": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.49-3174.57"
}
},
"WDATA_12": {
"hide_name": 0,
"bits": [ 65 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.39-3174.47"
}
},
"WDATA_13": {
"hide_name": 0,
"bits": [ 64 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.29-3174.37"
}
},
"WDATA_14": {
"hide_name": 0,
"bits": [ 63 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.19-3174.27"
}
},
"WDATA_15": {
"hide_name": 0,
"bits": [ 62 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.9-3174.17"
}
},
"WDATA_2": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.132-3174.139"
}
},
"WDATA_3": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.123-3174.130"
}
},
"WDATA_4": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.114-3174.121"
}
},
"WDATA_5": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.105-3174.112"
}
},
"WDATA_6": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.96-3174.103"
}
},
"WDATA_7": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.87-3174.94"
}
},
"WDATA_8": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.78-3174.85"
}
},
"WDATA_9": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.69-3174.76"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.22-3171.24"
}
}
}
},
"SB_CARRY": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.1-260.10"
},
"ports": {
"CO": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"CI": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"CI": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.43-228.45"
}
},
"CO": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.25-228.27"
}
},
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.35-228.37"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.39-228.41"
}
}
}
},
"SB_DFF": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:265.1-300.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.8-267.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.11-267.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:266.13-266.14"
}
}
}
},
"SB_DFFE": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303.1-347.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:305.8-305.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:307.8-307.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:306.8-306.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:304.13-304.14"
}
}
}
},
"SB_DFFER": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_bypass": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:653.1-730.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:655.8-655.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:658.8-658.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:654.13-654.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:657.8-657.9"
}
}
}
},
"SB_DFFES": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794.1-871.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796.8-796.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799.8-799.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797.8-797.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795.13-795.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798.8-798.9"
}
}
}
},
"SB_DFFESR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:592.1-650.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:594.8-594.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:597.8-597.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:595.8-595.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:593.13-593.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:596.8-596.9"
}
}
}
},
"SB_DFFESS": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733.1-791.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735.8-735.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738.8-738.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736.8-736.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734.13-734.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737.8-737.9"
}
}
}
},
"SB_DFFN": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876.1-911.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"D": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878.8-878.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878.11-878.12"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877.13-877.14"
}
}
}
},
"SB_DFFNE": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914.1-958.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916.8-916.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918.8-918.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917.8-917.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915.13-915.14"
}
}
}
},
"SB_DFFNER": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1264.1-1341.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1266.8-1266.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1269.8-1269.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1267.8-1267.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1265.13-1265.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1268.8-1268.9"
}
}
}
},
"SB_DFFNES": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1405.1-1483.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1407.8-1407.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1410.8-1410.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1408.8-1408.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1406.13-1406.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1409.8-1409.9"
}
}
}
},
"SB_DFFNESR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1203.1-1261.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"R": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1205.8-1205.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1208.8-1208.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1206.8-1206.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1204.13-1204.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1207.8-1207.9"
}
}
}
},
"SB_DFFNESS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1344.1-1402.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"E": {
"direction": "input",
"bits": [ 4 ]
},
"S": {
"direction": "input",
"bits": [ 5 ]
},
"D": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1346.8-1346.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1349.8-1349.9"
}
},
"E": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1347.8-1347.9"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1345.13-1345.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1348.8-1348.9"
}
}
}
},
"SB_DFFNR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"abc9_flop": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011.1-1079.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.8-1013.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.14-1013.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012.13-1012.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.11-1013.12"
}
}
}
},
"SB_DFFNS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132.1-1200.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.8-1134.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.14-1134.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133.13-1133.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.11-1134.12"
}
}
}
},
"SB_DFFNSR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961.1-1008.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.8-963.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.14-963.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962.13-962.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.11-963.12"
}
}
}
},
"SB_DFFNSS": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082.1-1129.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.8-1084.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.14-1084.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083.13-1083.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.11-1084.12"
}
}
}
},
"SB_DFFR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:400.1-468.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.8-402.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.14-402.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:401.13-401.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.11-402.12"
}
}
}
},
"SB_DFFS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:521.1-589.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.8-523.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.14-523.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522.13-522.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.11-523.12"
}
}
}
},
"SB_DFFSR": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:350.1-397.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"R": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.8-352.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.14-352.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:351.13-351.14"
}
},
"R": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.11-352.12"
}
}
}
},
"SB_DFFSS": {
"attributes": {
"abc9_flop": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:471.1-518.10"
},
"ports": {
"Q": {
"direction": "output",
"bits": [ 2 ]
},
"C": {
"direction": "input",
"bits": [ 3 ]
},
"S": {
"direction": "input",
"bits": [ 4 ]
},
"D": {
"direction": "input",
"bits": [ 5 ]
}
},
"cells": {
},
"netnames": {
"C": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.8-473.9"
}
},
"D": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.14-473.15"
}
},
"Q": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"init": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:472.13-472.14"
}
},
"S": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.11-473.12"
}
}
}
},
"SB_FILTER_50NS": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2856.1-2860.10"
},
"ports": {
"FILTERIN": {
"direction": "input",
"bits": [ 2 ]
},
"FILTEROUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"FILTERIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2857.8-2857.16"
}
},
"FILTEROUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2858.9-2858.18"
}
}
}
},
"SB_GB": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162.1-172.10"
},
"ports": {
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"direction": "input",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:164.9-164.29"
}
},
"USER_SIGNAL_TO_GLOBAL_BUFFER": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:163.9-163.37"
}
}
}
},
"SB_GB_IO": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:123.1-160.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"GLOBAL_BUFFER_OUTPUT": {
"direction": "output",
"bits": [ 3 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 4 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 5 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 7 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 9 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 10 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 11 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 12 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:127.9-127.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:133.9-133.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:134.9-134.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:131.9-131.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:132.9-132.16"
}
},
"GLOBAL_BUFFER_OUTPUT": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:125.9-125.29"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:128.9-128.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:126.9-126.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129.9-129.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:130.9-130.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:124.9-124.20"
}
}
}
},
"SB_HFOSC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2664.1-2681.10"
},
"parameter_default_values": {
"CLKHF_DIV": "0b00",
"TRIM_EN": "0b0"
},
"ports": {
"TRIM0": {
"direction": "input",
"bits": [ 2 ]
},
"TRIM1": {
"direction": "input",
"bits": [ 3 ]
},
"TRIM2": {
"direction": "input",
"bits": [ 4 ]
},
"TRIM3": {
"direction": "input",
"bits": [ 5 ]
},
"TRIM4": {
"direction": "input",
"bits": [ 6 ]
},
"TRIM5": {
"direction": "input",
"bits": [ 7 ]
},
"TRIM6": {
"direction": "input",
"bits": [ 8 ]
},
"TRIM7": {
"direction": "input",
"bits": [ 9 ]
},
"TRIM8": {
"direction": "input",
"bits": [ 10 ]
},
"TRIM9": {
"direction": "input",
"bits": [ 11 ]
},
"CLKHFPU": {
"direction": "input",
"bits": [ 12 ]
},
"CLKHFEN": {
"direction": "input",
"bits": [ 13 ]
},
"CLKHF": {
"direction": "output",
"bits": [ 14 ]
}
},
"cells": {
},
"netnames": {
"CLKHF": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2677.9-2677.14"
}
},
"CLKHFEN": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2676.8-2676.15"
}
},
"CLKHFPU": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2675.8-2675.15"
}
},
"TRIM0": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2665.8-2665.13"
}
},
"TRIM1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2666.8-2666.13"
}
},
"TRIM2": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2667.8-2667.13"
}
},
"TRIM3": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2668.8-2668.13"
}
},
"TRIM4": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2669.8-2669.13"
}
},
"TRIM5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2670.8-2670.13"
}
},
"TRIM6": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2671.8-2671.13"
}
},
"TRIM7": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2672.8-2672.13"
}
},
"TRIM8": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2673.8-2673.13"
}
},
"TRIM9": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2674.8-2674.13"
}
}
}
},
"SB_I2C": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2733.1-2773.10"
},
"parameter_default_values": {
"BUS_ADDR74": "0b0001",
"I2C_SLAVE_INIT_ADDR": "0b1111100001"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"SCLI": {
"direction": "input",
"bits": [ 21 ]
},
"SDAI": {
"direction": "input",
"bits": [ 22 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 23 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 24 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 30 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 31 ]
},
"I2CIRQ": {
"direction": "output",
"bits": [ 32 ]
},
"I2CWKUP": {
"direction": "output",
"bits": [ 33 ]
},
"SCLO": {
"direction": "output",
"bits": [ 34 ]
},
"SCLOE": {
"direction": "output",
"bits": [ 35 ]
},
"SDAO": {
"direction": "output",
"bits": [ 36 ]
},
"SDAOE": {
"direction": "output",
"bits": [ 37 ]
}
},
"cells": {
},
"netnames": {
"I2CIRQ": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2764.9-2764.15"
}
},
"I2CWKUP": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2765.9-2765.16"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2763.9-2763.15"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2744.9-2744.16"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2743.9-2743.16"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2742.9-2742.16"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2741.9-2741.16"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2740.9-2740.16"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2739.9-2739.16"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2738.9-2738.16"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2737.9-2737.16"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2734.9-2734.15"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2752.9-2752.16"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2751.9-2751.16"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2750.9-2750.16"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2749.9-2749.16"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2748.9-2748.16"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2747.9-2747.16"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2746.9-2746.16"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2745.9-2745.16"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2762.9-2762.16"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2761.9-2761.16"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2760.9-2760.16"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2759.9-2759.16"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2758.9-2758.16"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2757.9-2757.16"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2756.9-2756.16"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2755.9-2755.16"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2735.9-2735.14"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2736.9-2736.15"
}
},
"SCLI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2753.9-2753.13"
}
},
"SCLO": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2766.9-2766.13"
}
},
"SCLOE": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2767.9-2767.14"
}
},
"SDAI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2754.9-2754.13"
}
},
"SDAO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2768.9-2768.13"
}
},
"SDAOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2769.9-2769.14"
}
}
}
},
"SB_IO": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17.1-121.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:20.9-20.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:26.9-26.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:27.9-27.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:24.9-24.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:25.9-25.16"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:21.9-21.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:19.9-19.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:22.9-22.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:23.9-23.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:18.9-18.20"
}
}
}
},
"SB_IO_I3C": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2862.1-2929.10"
},
"parameter_default_values": {
"IO_STANDARD": "SB_LVCMOS",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000",
"PULLUP": "0",
"WEAK_PULLUP": "0"
},
"ports": {
"PACKAGE_PIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCH_INPUT_VALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCK_ENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUT_CLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUT_CLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUT_ENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"D_OUT_0": {
"direction": "input",
"bits": [ 8 ]
},
"D_OUT_1": {
"direction": "input",
"bits": [ 9 ]
},
"D_IN_0": {
"direction": "output",
"bits": [ 10 ]
},
"D_IN_1": {
"direction": "output",
"bits": [ 11 ]
},
"PU_ENB": {
"direction": "input",
"bits": [ 12 ]
},
"WEAK_PU_ENB": {
"direction": "input",
"bits": [ 13 ]
}
},
"cells": {
},
"netnames": {
"CLOCK_ENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2865.9-2865.21"
}
},
"D_IN_0": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2871.9-2871.15"
}
},
"D_IN_1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2872.9-2872.15"
}
},
"D_OUT_0": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2869.9-2869.16"
}
},
"D_OUT_1": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2870.9-2870.16"
}
},
"INPUT_CLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2866.9-2866.18"
}
},
"LATCH_INPUT_VALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2864.9-2864.26"
}
},
"OUTPUT_CLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2867.9-2867.19"
}
},
"OUTPUT_ENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2868.9-2868.22"
}
},
"PACKAGE_PIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2863.9-2863.20"
}
},
"PU_ENB": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2873.9-2873.15"
}
},
"WEAK_PU_ENB": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2874.9-2874.20"
}
}
}
},
"SB_IO_OD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2931.1-2993.10"
},
"parameter_default_values": {
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000"
},
"ports": {
"PACKAGEPIN": {
"direction": "inout",
"bits": [ 2 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 3 ]
},
"CLOCKENABLE": {
"direction": "input",
"bits": [ 4 ]
},
"INPUTCLK": {
"direction": "input",
"bits": [ 5 ]
},
"OUTPUTCLK": {
"direction": "input",
"bits": [ 6 ]
},
"OUTPUTENABLE": {
"direction": "input",
"bits": [ 7 ]
},
"DOUT1": {
"direction": "input",
"bits": [ 8 ]
},
"DOUT0": {
"direction": "input",
"bits": [ 9 ]
},
"DIN1": {
"direction": "output",
"bits": [ 10 ]
},
"DIN0": {
"direction": "output",
"bits": [ 11 ]
}
},
"cells": {
},
"netnames": {
"CLOCKENABLE": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2934.9-2934.20"
}
},
"DIN0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2941.9-2941.13"
}
},
"DIN1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2940.9-2940.13"
}
},
"DOUT0": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2939.9-2939.14"
}
},
"DOUT1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2938.9-2938.14"
}
},
"INPUTCLK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2935.9-2935.17"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2933.9-2933.24"
}
},
"OUTPUTCLK": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2936.9-2936.18"
}
},
"OUTPUTENABLE": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2937.9-2937.21"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2932.9-2932.19"
}
}
}
},
"SB_LEDDA_IP": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2830.1-2853.10"
},
"ports": {
"LEDDCS": {
"direction": "input",
"bits": [ 2 ]
},
"LEDDCLK": {
"direction": "input",
"bits": [ 3 ]
},
"LEDDDAT7": {
"direction": "input",
"bits": [ 4 ]
},
"LEDDDAT6": {
"direction": "input",
"bits": [ 5 ]
},
"LEDDDAT5": {
"direction": "input",
"bits": [ 6 ]
},
"LEDDDAT4": {
"direction": "input",
"bits": [ 7 ]
},
"LEDDDAT3": {
"direction": "input",
"bits": [ 8 ]
},
"LEDDDAT2": {
"direction": "input",
"bits": [ 9 ]
},
"LEDDDAT1": {
"direction": "input",
"bits": [ 10 ]
},
"LEDDDAT0": {
"direction": "input",
"bits": [ 11 ]
},
"LEDDADDR3": {
"direction": "input",
"bits": [ 12 ]
},
"LEDDADDR2": {
"direction": "input",
"bits": [ 13 ]
},
"LEDDADDR1": {
"direction": "input",
"bits": [ 14 ]
},
"LEDDADDR0": {
"direction": "input",
"bits": [ 15 ]
},
"LEDDDEN": {
"direction": "input",
"bits": [ 16 ]
},
"LEDDEXE": {
"direction": "input",
"bits": [ 17 ]
},
"LEDDRST": {
"direction": "input",
"bits": [ 18 ]
},
"PWMOUT0": {
"direction": "output",
"bits": [ 19 ]
},
"PWMOUT1": {
"direction": "output",
"bits": [ 20 ]
},
"PWMOUT2": {
"direction": "output",
"bits": [ 21 ]
},
"LEDDON": {
"direction": "output",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"LEDDADDR0": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2844.8-2844.17"
}
},
"LEDDADDR1": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2843.8-2843.17"
}
},
"LEDDADDR2": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2842.8-2842.17"
}
},
"LEDDADDR3": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2841.8-2841.17"
}
},
"LEDDCLK": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2832.8-2832.15"
}
},
"LEDDCS": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2831.8-2831.14"
}
},
"LEDDDAT0": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2840.8-2840.16"
}
},
"LEDDDAT1": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2839.8-2839.16"
}
},
"LEDDDAT2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2838.8-2838.16"
}
},
"LEDDDAT3": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2837.8-2837.16"
}
},
"LEDDDAT4": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2836.8-2836.16"
}
},
"LEDDDAT5": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2835.8-2835.16"
}
},
"LEDDDAT6": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2834.8-2834.16"
}
},
"LEDDDAT7": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2833.8-2833.16"
}
},
"LEDDDEN": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2845.8-2845.15"
}
},
"LEDDEXE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2846.8-2846.15"
}
},
"LEDDON": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2851.9-2851.15"
}
},
"LEDDRST": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2847.8-2847.15"
}
},
"PWMOUT0": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2848.9-2848.16"
}
},
"PWMOUT1": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2849.9-2849.16"
}
},
"PWMOUT2": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2850.9-2850.16"
}
}
}
},
"SB_LED_DRV_CUR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2709.1-2713.10"
},
"ports": {
"EN": {
"direction": "input",
"bits": [ 2 ]
},
"LEDPU": {
"direction": "output",
"bits": [ 3 ]
}
},
"cells": {
},
"netnames": {
"EN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2710.8-2710.10"
}
},
"LEDPU": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2711.9-2711.14"
}
}
}
},
"SB_LFOSC": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2684.1-2689.10"
},
"ports": {
"CLKLFPU": {
"direction": "input",
"bits": [ 2 ]
},
"CLKLFEN": {
"direction": "input",
"bits": [ 3 ]
},
"CLKLF": {
"direction": "output",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"CLKLF": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2687.9-2687.14"
}
},
"CLKLFEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2686.8-2686.15"
}
},
"CLKLFPU": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2685.8-2685.15"
}
}
}
},
"SB_LUT4": {
"attributes": {
"abc9_lut": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:177.1-225.10"
},
"parameter_default_values": {
"LUT_INIT": "0000000000000000"
},
"ports": {
"O": {
"direction": "output",
"bits": [ 2 ]
},
"I0": {
"direction": "input",
"bits": [ 3 ]
},
"I1": {
"direction": "input",
"bits": [ 4 ]
},
"I2": {
"direction": "input",
"bits": [ 5 ]
},
"I3": {
"direction": "input",
"bits": [ 6 ]
}
},
"cells": {
},
"netnames": {
"I0": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:179.8-179.10"
}
},
"I1": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:180.8-180.10"
}
},
"I2": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:181.8-181.10"
}
},
"I3": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:182.8-182.10"
}
},
"O": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178.9-178.10"
}
}
}
},
"SB_MAC16": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2996.1-3164.10"
},
"parameter_default_values": {
"A_REG": "0",
"A_SIGNED": "0",
"BOTADDSUB_CARRYSELECT": "00",
"BOTADDSUB_LOWERINPUT": "00",
"BOTADDSUB_UPPERINPUT": "0",
"BOTOUTPUT_SELECT": "00",
"BOT_8x8_MULT_REG": "0",
"B_REG": "0",
"B_SIGNED": "0",
"C_REG": "0",
"D_REG": "0",
"MODE_8x8": "0",
"NEG_TRIGGER": "0",
"PIPELINE_16x16_MULT_REG1": "0",
"PIPELINE_16x16_MULT_REG2": "0",
"TOPADDSUB_CARRYSELECT": "00",
"TOPADDSUB_LOWERINPUT": "00",
"TOPADDSUB_UPPERINPUT": "0",
"TOPOUTPUT_SELECT": "00",
"TOP_8x8_MULT_REG": "0"
},
"ports": {
"CLK": {
"direction": "input",
"bits": [ 2 ]
},
"CE": {
"direction": "input",
"bits": [ 3 ]
},
"C": {
"direction": "input",
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
},
"A": {
"direction": "input",
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
},
"B": {
"direction": "input",
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
},
"D": {
"direction": "input",
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
},
"AHOLD": {
"direction": "input",
"bits": [ 68 ]
},
"BHOLD": {
"direction": "input",
"bits": [ 69 ]
},
"CHOLD": {
"direction": "input",
"bits": [ 70 ]
},
"DHOLD": {
"direction": "input",
"bits": [ 71 ]
},
"IRSTTOP": {
"direction": "input",
"bits": [ 72 ]
},
"IRSTBOT": {
"direction": "input",
"bits": [ 73 ]
},
"ORSTTOP": {
"direction": "input",
"bits": [ 74 ]
},
"ORSTBOT": {
"direction": "input",
"bits": [ 75 ]
},
"OLOADTOP": {
"direction": "input",
"bits": [ 76 ]
},
"OLOADBOT": {
"direction": "input",
"bits": [ 77 ]
},
"ADDSUBTOP": {
"direction": "input",
"bits": [ 78 ]
},
"ADDSUBBOT": {
"direction": "input",
"bits": [ 79 ]
},
"OHOLDTOP": {
"direction": "input",
"bits": [ 80 ]
},
"OHOLDBOT": {
"direction": "input",
"bits": [ 81 ]
},
"CI": {
"direction": "input",
"bits": [ 82 ]
},
"ACCUMCI": {
"direction": "input",
"bits": [ 83 ]
},
"SIGNEXTIN": {
"direction": "input",
"bits": [ 84 ]
},
"O": {
"direction": "output",
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
},
"CO": {
"direction": "output",
"bits": [ 117 ]
},
"ACCUMCO": {
"direction": "output",
"bits": [ 118 ]
},
"SIGNEXTOUT": {
"direction": "output",
"bits": [ 119 ]
}
},
"cells": {
},
"netnames": {
"A": {
"hide_name": 0,
"bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.18-2998.19"
}
},
"ACCUMCI": {
"hide_name": 0,
"bits": [ 83 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.12-3005.19"
}
},
"ACCUMCO": {
"hide_name": 0,
"bits": [ 118 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.13-3007.20"
}
},
"ADDSUBBOT": {
"hide_name": 0,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3003.19-3003.28"
}
},
"ADDSUBTOP": {
"hide_name": 0,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3003.8-3003.17"
}
},
"AHOLD": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.8-2999.13"
}
},
"B": {
"hide_name": 0,
"bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.21-2998.22"
}
},
"BHOLD": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.15-2999.20"
}
},
"C": {
"hide_name": 0,
"bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.15-2998.16"
}
},
"CE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2997.13-2997.15"
}
},
"CHOLD": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.22-2999.27"
}
},
"CI": {
"hide_name": 0,
"bits": [ 82 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.8-3005.10"
}
},
"CLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2997.8-2997.11"
}
},
"CO": {
"hide_name": 0,
"bits": [ 117 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.9-3007.11"
}
},
"D": {
"hide_name": 0,
"bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.24-2998.25"
}
},
"DHOLD": {
"hide_name": 0,
"bits": [ 71 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.29-2999.34"
}
},
"IRSTBOT": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3000.17-3000.24"
}
},
"IRSTTOP": {
"hide_name": 0,
"bits": [ 72 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3000.8-3000.15"
}
},
"O": {
"hide_name": 0,
"bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3006.16-3006.17"
}
},
"OHOLDBOT": {
"hide_name": 0,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3004.18-3004.26"
}
},
"OHOLDTOP": {
"hide_name": 0,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3004.8-3004.16"
}
},
"OLOADBOT": {
"hide_name": 0,
"bits": [ 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3002.18-3002.26"
}
},
"OLOADTOP": {
"hide_name": 0,
"bits": [ 76 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3002.8-3002.16"
}
},
"ORSTBOT": {
"hide_name": 0,
"bits": [ 75 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3001.17-3001.24"
}
},
"ORSTTOP": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3001.8-3001.15"
}
},
"SIGNEXTIN": {
"hide_name": 0,
"bits": [ 84 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.21-3005.30"
}
},
"SIGNEXTOUT": {
"hide_name": 0,
"bits": [ 119 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.22-3007.32"
}
}
}
},
"SB_PLL40_2F_CORE": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2521.1-2553.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTA": "GENCLK",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2530.10-2530.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2528.16-2528.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2527.10-2527.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2532.10-2532.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2529.10-2529.14"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2523.10-2523.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2525.10-2525.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2524.10-2524.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2526.10-2526.23"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2522.10-2522.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2531.10-2531.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2535.10-2535.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2534.10-2534.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2533.10-2533.13"
}
}
}
},
"SB_PLL40_2F_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2556.1-2588.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTA": "GENCLK",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "00",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2565.10-2565.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2563.16-2563.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2562.10-2562.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2567.10-2567.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2564.10-2564.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2557.10-2557.20"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2558.10-2558.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2560.10-2560.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2559.10-2559.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2561.10-2561.23"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2566.10-2566.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2570.10-2570.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2569.10-2569.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2568.10-2568.13"
}
}
}
},
"SB_PLL40_2_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2487.1-2518.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE_PORTA": "0",
"ENABLE_ICEGATE_PORTB": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT_PORTB": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCOREA": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBALA": {
"direction": "output",
"bits": [ 4 ]
},
"PLLOUTCOREB": {
"direction": "output",
"bits": [ 5 ]
},
"PLLOUTGLOBALB": {
"direction": "output",
"bits": [ 6 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 7 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"LOCK": {
"direction": "output",
"bits": [ 16 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 17 ]
},
"RESETB": {
"direction": "input",
"bits": [ 18 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 19 ]
},
"SDO": {
"direction": "output",
"bits": [ 20 ]
},
"SDI": {
"direction": "input",
"bits": [ 21 ]
},
"SCLK": {
"direction": "input",
"bits": [ 22 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2496.10-2496.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2494.16-2494.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2493.10-2493.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2498.10-2498.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2495.10-2495.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2488.10-2488.20"
}
},
"PLLOUTCOREA": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2489.10-2489.21"
}
},
"PLLOUTCOREB": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2491.10-2491.21"
}
},
"PLLOUTGLOBALA": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2490.10-2490.23"
}
},
"PLLOUTGLOBALB": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2492.10-2492.23"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2497.10-2497.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2501.10-2501.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.10-2500.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2499.10-2499.13"
}
}
}
},
"SB_PLL40_CORE": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2425.1-2453.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"REFERENCECLK": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2432.10-2432.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2430.16-2430.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2429.10-2429.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2434.10-2434.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2431.10-2431.14"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2427.10-2427.20"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2428.10-2428.22"
}
},
"REFERENCECLK": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2426.10-2426.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2433.10-2433.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2437.10-2437.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2436.10-2436.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2435.10-2435.13"
}
}
}
},
"SB_PLL40_PAD": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2456.1-2484.10"
},
"parameter_default_values": {
"DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
"DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED",
"DIVF": "0000000",
"DIVQ": "000",
"DIVR": "0000",
"ENABLE_ICEGATE": "0",
"EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001",
"FDA_FEEDBACK": "0000",
"FDA_RELATIVE": "0000",
"FEEDBACK_PATH": "SIMPLE",
"FILTER_RANGE": "000",
"PLLOUT_SELECT": "GENCLK",
"SHIFTREG_DIV_MODE": "0",
"TEST_MODE": "0"
},
"ports": {
"PACKAGEPIN": {
"direction": "input",
"bits": [ 2 ]
},
"PLLOUTCORE": {
"direction": "output",
"bits": [ 3 ]
},
"PLLOUTGLOBAL": {
"direction": "output",
"bits": [ 4 ]
},
"EXTFEEDBACK": {
"direction": "input",
"bits": [ 5 ]
},
"DYNAMICDELAY": {
"direction": "input",
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
},
"LOCK": {
"direction": "output",
"bits": [ 14 ]
},
"BYPASS": {
"direction": "input",
"bits": [ 15 ]
},
"RESETB": {
"direction": "input",
"bits": [ 16 ]
},
"LATCHINPUTVALUE": {
"direction": "input",
"bits": [ 17 ]
},
"SDO": {
"direction": "output",
"bits": [ 18 ]
},
"SDI": {
"direction": "input",
"bits": [ 19 ]
},
"SCLK": {
"direction": "input",
"bits": [ 20 ]
}
},
"cells": {
},
"netnames": {
"BYPASS": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2463.10-2463.16"
}
},
"DYNAMICDELAY": {
"hide_name": 0,
"bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2461.16-2461.28"
}
},
"EXTFEEDBACK": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2460.10-2460.21"
}
},
"LATCHINPUTVALUE": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2465.10-2465.25"
}
},
"LOCK": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2462.10-2462.14"
}
},
"PACKAGEPIN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2457.10-2457.20"
}
},
"PLLOUTCORE": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2458.10-2458.20"
}
},
"PLLOUTGLOBAL": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2459.10-2459.22"
}
},
"RESETB": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2464.10-2464.16"
}
},
"SCLK": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2468.10-2468.14"
}
},
"SDI": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2467.10-2467.13"
}
},
"SDO": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2466.10-2466.13"
}
}
}
},
"SB_RAM40_4K": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1487.1-1724.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$245": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$246": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$247": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$248": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$90": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.3-1661.51"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$91": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.3-1663.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$92": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1665.3-1665.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$93": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1667.3-1667.32"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$94": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.3-1669.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$95": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1671.3-1671.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$96": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.3-1673.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$97": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1675.3-1675.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$98": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "1",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1677.3-1677.44"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$245_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$246_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$247_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$248_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1497.16-1497.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1492.16-1492.21"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1489.16-1489.20"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1491.16-1491.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1496.16-1496.21"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1493.16-1493.20"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1498.16-1498.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1495.16-1495.18"
}
}
}
},
"SB_RAM40_4KNR": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1726.1-1860.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLK": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797$249": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799$250": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805$251": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809$252": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$100": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.3-1799.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$101": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1801.3-1801.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$102": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1803.3-1803.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$103": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.3-1805.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$104": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1807.3-1807.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$105": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.3-1809.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$106": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1811.3-1811.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$107": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "1",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1813.3-1813.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
},
"$specify$99": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.3-1797.51"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797$249_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799$250_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805$251_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809$252_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1736.16-1736.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.16-1731.21"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.16-1729.21"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1728.16-1728.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1727.16-1727.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1730.16-1730.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1735.16-1735.21"
}
},
"WCLK": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1732.16-1732.20"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1733.16-1733.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.16-1737.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1734.16-1734.18"
}
}
}
},
"SB_RAM40_4KNRNW": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1998.1-2132.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLKN": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069$257": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071$258": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077$259": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081$260": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$117": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.3-2069.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$118": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.3-2071.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$119": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2073.3-2073.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$120": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2075.3-2075.33"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$121": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.3-2077.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$122": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2079.3-2079.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$123": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.3-2081.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$124": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2083.3-2083.34"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$125": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "1",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2085.3-2085.45"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069$257_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071$258_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077$259_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081$260_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2008.16-2008.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.16-2003.21"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.16-2001.21"
}
},
"RCLKN": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2000.16-2000.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1999.16-1999.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2002.16-2002.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2007.16-2007.21"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2005.16-2005.21"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2004.16-2004.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.16-2009.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2006.16-2006.18"
}
}
}
},
"SB_RAM40_4KNW": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1862.1-1996.10"
},
"parameter_default_values": {
"INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
"INIT_FILE": " ",
"READ_MODE": "00000000000000000000000000000000",
"WRITE_MODE": "00000000000000000000000000000000"
},
"ports": {
"RDATA": {
"direction": "output",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
},
"RCLK": {
"direction": "input",
"bits": [ 18 ]
},
"RCLKE": {
"direction": "input",
"bits": [ 19 ]
},
"RE": {
"direction": "input",
"bits": [ 20 ]
},
"RADDR": {
"direction": "input",
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"WCLKN": {
"direction": "input",
"bits": [ 32 ]
},
"WCLKE": {
"direction": "input",
"bits": [ 33 ]
},
"WE": {
"direction": "input",
"bits": [ 34 ]
},
"WADDR": {
"direction": "input",
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
},
"MASK": {
"direction": "input",
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
},
"WDATA": {
"direction": "input",
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
}
},
"cells": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933$253": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 78 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935$254": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 20 ],
"B": [ 19 ],
"Y": [ 79 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941$255": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 80 ]
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945$256": {
"hide_name": 1,
"type": "$logic_and",
"parameters": {
"A_SIGNED": "00000000000000000000000000000000",
"A_WIDTH": "00000000000000000000000000000001",
"B_SIGNED": "00000000000000000000000000000000",
"B_WIDTH": "00000000000000000000000000000001",
"Y_WIDTH": "00000000000000000000000000000001"
},
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46"
},
"port_directions": {
"A": "input",
"B": "input",
"Y": "output"
},
"connections": {
"A": [ 34 ],
"B": [ 33 ],
"Y": [ 81 ]
}
},
"$specify$108": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100010010",
"T_LIMIT_MIN": "00000000000000000000000100010010",
"T_LIMIT_TYP": "00000000000000000000000100010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.3-1933.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 78 ],
"SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"SRC_EN": [ "1" ]
}
},
"$specify$109": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011001011",
"T_LIMIT_MIN": "00000000000000000000000011001011",
"T_LIMIT_TYP": "00000000000000000000000011001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.3-1935.52"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ 79 ],
"SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"SRC_EN": [ "1" ]
}
},
"$specify$110": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1937.3-1937.36"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 19 ],
"SRC_EN": [ "1" ]
}
},
"$specify$111": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000001100010",
"T_LIMIT_MIN": "00000000000000000000000001100010",
"T_LIMIT_TYP": "00000000000000000000000001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1939.3-1939.32"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 18 ],
"DST_EN": [ "1" ],
"SRC": [ 20 ],
"SRC_EN": [ "1" ]
}
},
"$specify$112": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000001011",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000011100000",
"T_LIMIT_MIN": "00000000000000000000000011100000",
"T_LIMIT_TYP": "00000000000000000000000011100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.3-1941.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 80 ],
"SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"SRC_EN": [ "1" ]
}
},
"$specify$113": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000100001011",
"T_LIMIT_MIN": "00000000000000000000000100001011",
"T_LIMIT_TYP": "00000000000000000000000100001011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1943.3-1943.37"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 33 ],
"SRC_EN": [ "1" ]
}
},
"$specify$114": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000010000",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010100001",
"T_LIMIT_MIN": "00000000000000000000000010100001",
"T_LIMIT_TYP": "00000000000000000000000010100001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.3-1945.53"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ 81 ],
"SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"SRC_EN": [ "1" ]
}
},
"$specify$115": {
"hide_name": 1,
"type": "$specrule",
"parameters": {
"DST_PEN": "1",
"DST_POL": "1",
"DST_WIDTH": "00000000000000000000000000000001",
"SRC_PEN": "0",
"SRC_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"TYPE": "$setup",
"T_LIMIT2_MAX": "00000000000000000000000000000000",
"T_LIMIT2_MIN": "00000000000000000000000000000000",
"T_LIMIT2_TYP": "00000000000000000000000000000000",
"T_LIMIT_MAX": "00000000000000000000000010000101",
"T_LIMIT_MIN": "00000000000000000000000010000101",
"T_LIMIT_TYP": "00000000000000000000000010000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1947.3-1947.34"
},
"port_directions": {
"DST": "input",
"DST_EN": "output",
"SRC": "input",
"SRC_EN": "output"
},
"connections": {
"DST": [ 32 ],
"DST_EN": [ "1" ],
"SRC": [ 34 ],
"SRC_EN": [ "1" ]
}
},
"$specify$116": {
"hide_name": 1,
"type": "$specify3",
"parameters": {
"DAT_DST_PEN": "0",
"DAT_DST_POL": "0",
"DST_WIDTH": "00000000000000000000000000010000",
"EDGE_EN": "1",
"EDGE_POL": "1",
"FULL": "1",
"SRC_DST_PEN": "0",
"SRC_DST_POL": "0",
"SRC_WIDTH": "00000000000000000000000000000001",
"T_FALL_MAX": "00000000000000000000100001100010",
"T_FALL_MIN": "00000000000000000000100001100010",
"T_FALL_TYP": "00000000000000000000100001100010",
"T_RISE_MAX": "00000000000000000000100001100010",
"T_RISE_MIN": "00000000000000000000100001100010",
"T_RISE_TYP": "00000000000000000000100001100010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1949.3-1949.44"
},
"port_directions": {
"DAT": "input",
"DST": "input",
"EN": "input",
"SRC": "input"
},
"connections": {
"DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
"DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"EN": [ "1" ],
"SRC": [ 18 ]
}
}
},
"netnames": {
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933$253_Y": {
"hide_name": 1,
"bits": [ 78 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935$254_Y": {
"hide_name": 1,
"bits": [ 79 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941$255_Y": {
"hide_name": 1,
"bits": [ 80 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46"
}
},
"$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945$256_Y": {
"hide_name": 1,
"bits": [ 81 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46"
}
},
"MASK": {
"hide_name": 0,
"bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
"attributes": {
"defaultvalue": "0000000000000000",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1872.16-1872.20"
}
},
"RADDR": {
"hide_name": 0,
"bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.16-1867.21"
}
},
"RCLK": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1864.16-1864.20"
}
},
"RCLKE": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.16-1865.21"
}
},
"RDATA": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1863.16-1863.21"
}
},
"RE": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1866.16-1866.18"
}
},
"WADDR": {
"hide_name": 0,
"bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1871.16-1871.21"
}
},
"WCLKE": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1869.16-1869.21"
}
},
"WCLKN": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1868.16-1868.21"
}
},
"WDATA": {
"hide_name": 0,
"bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.16-1873.21"
}
},
"WE": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"defaultvalue": "0",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1870.16-1870.18"
}
}
}
},
"SB_RGBA_DRV": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2692.1-2706.10"
},
"parameter_default_values": {
"CURRENT_MODE": "0b0",
"RGB0_CURRENT": "0b000000",
"RGB1_CURRENT": "0b000000",
"RGB2_CURRENT": "0b000000"
},
"ports": {
"CURREN": {
"direction": "input",
"bits": [ 2 ]
},
"RGBLEDEN": {
"direction": "input",
"bits": [ 3 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"CURREN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2693.8-2693.14"
}
},
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2698.9-2698.13"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2695.8-2695.15"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2699.9-2699.13"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2696.8-2696.15"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2700.9-2700.13"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2697.8-2697.15"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2694.8-2694.16"
}
}
}
},
"SB_RGB_DRV": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2716.1-2730.10"
},
"parameter_default_values": {
"CURRENT_MODE": "0b0",
"RGB0_CURRENT": "0b000000",
"RGB1_CURRENT": "0b000000",
"RGB2_CURRENT": "0b000000"
},
"ports": {
"RGBLEDEN": {
"direction": "input",
"bits": [ 2 ]
},
"RGB0PWM": {
"direction": "input",
"bits": [ 3 ]
},
"RGB1PWM": {
"direction": "input",
"bits": [ 4 ]
},
"RGB2PWM": {
"direction": "input",
"bits": [ 5 ]
},
"RGBPU": {
"direction": "input",
"bits": [ 6 ]
},
"RGB0": {
"direction": "output",
"bits": [ 7 ]
},
"RGB1": {
"direction": "output",
"bits": [ 8 ]
},
"RGB2": {
"direction": "output",
"bits": [ 9 ]
}
},
"cells": {
},
"netnames": {
"RGB0": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2722.9-2722.13"
}
},
"RGB0PWM": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2718.8-2718.15"
}
},
"RGB1": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2723.9-2723.13"
}
},
"RGB1PWM": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2719.8-2719.15"
}
},
"RGB2": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2724.9-2724.13"
}
},
"RGB2PWM": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2720.8-2720.15"
}
},
"RGBLEDEN": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2717.8-2717.16"
}
},
"RGBPU": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2721.8-2721.13"
}
}
}
},
"SB_SPI": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2776.1-2827.10"
},
"parameter_default_values": {
"BUS_ADDR74": "0b0000"
},
"ports": {
"SBCLKI": {
"direction": "input",
"bits": [ 2 ]
},
"SBRWI": {
"direction": "input",
"bits": [ 3 ]
},
"SBSTBI": {
"direction": "input",
"bits": [ 4 ]
},
"SBADRI7": {
"direction": "input",
"bits": [ 5 ]
},
"SBADRI6": {
"direction": "input",
"bits": [ 6 ]
},
"SBADRI5": {
"direction": "input",
"bits": [ 7 ]
},
"SBADRI4": {
"direction": "input",
"bits": [ 8 ]
},
"SBADRI3": {
"direction": "input",
"bits": [ 9 ]
},
"SBADRI2": {
"direction": "input",
"bits": [ 10 ]
},
"SBADRI1": {
"direction": "input",
"bits": [ 11 ]
},
"SBADRI0": {
"direction": "input",
"bits": [ 12 ]
},
"SBDATI7": {
"direction": "input",
"bits": [ 13 ]
},
"SBDATI6": {
"direction": "input",
"bits": [ 14 ]
},
"SBDATI5": {
"direction": "input",
"bits": [ 15 ]
},
"SBDATI4": {
"direction": "input",
"bits": [ 16 ]
},
"SBDATI3": {
"direction": "input",
"bits": [ 17 ]
},
"SBDATI2": {
"direction": "input",
"bits": [ 18 ]
},
"SBDATI1": {
"direction": "input",
"bits": [ 19 ]
},
"SBDATI0": {
"direction": "input",
"bits": [ 20 ]
},
"MI": {
"direction": "input",
"bits": [ 21 ]
},
"SI": {
"direction": "input",
"bits": [ 22 ]
},
"SCKI": {
"direction": "input",
"bits": [ 23 ]
},
"SCSNI": {
"direction": "input",
"bits": [ 24 ]
},
"SBDATO7": {
"direction": "output",
"bits": [ 25 ]
},
"SBDATO6": {
"direction": "output",
"bits": [ 26 ]
},
"SBDATO5": {
"direction": "output",
"bits": [ 27 ]
},
"SBDATO4": {
"direction": "output",
"bits": [ 28 ]
},
"SBDATO3": {
"direction": "output",
"bits": [ 29 ]
},
"SBDATO2": {
"direction": "output",
"bits": [ 30 ]
},
"SBDATO1": {
"direction": "output",
"bits": [ 31 ]
},
"SBDATO0": {
"direction": "output",
"bits": [ 32 ]
},
"SBACKO": {
"direction": "output",
"bits": [ 33 ]
},
"SPIIRQ": {
"direction": "output",
"bits": [ 34 ]
},
"SPIWKUP": {
"direction": "output",
"bits": [ 35 ]
},
"SO": {
"direction": "output",
"bits": [ 36 ]
},
"SOE": {
"direction": "output",
"bits": [ 37 ]
},
"MO": {
"direction": "output",
"bits": [ 38 ]
},
"MOE": {
"direction": "output",
"bits": [ 39 ]
},
"SCKO": {
"direction": "output",
"bits": [ 40 ]
},
"SCKOE": {
"direction": "output",
"bits": [ 41 ]
},
"MCSNO3": {
"direction": "output",
"bits": [ 42 ]
},
"MCSNO2": {
"direction": "output",
"bits": [ 43 ]
},
"MCSNO1": {
"direction": "output",
"bits": [ 44 ]
},
"MCSNO0": {
"direction": "output",
"bits": [ 45 ]
},
"MCSNOE3": {
"direction": "output",
"bits": [ 46 ]
},
"MCSNOE2": {
"direction": "output",
"bits": [ 47 ]
},
"MCSNOE1": {
"direction": "output",
"bits": [ 48 ]
},
"MCSNOE0": {
"direction": "output",
"bits": [ 49 ]
}
},
"cells": {
},
"netnames": {
"MCSNO0": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2820.9-2820.15"
}
},
"MCSNO1": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2819.9-2819.15"
}
},
"MCSNO2": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2818.9-2818.15"
}
},
"MCSNO3": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2817.9-2817.15"
}
},
"MCSNOE0": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2824.9-2824.16"
}
},
"MCSNOE1": {
"hide_name": 0,
"bits": [ 48 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2823.9-2823.16"
}
},
"MCSNOE2": {
"hide_name": 0,
"bits": [ 47 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2822.9-2822.16"
}
},
"MCSNOE3": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2821.9-2821.16"
}
},
"MI": {
"hide_name": 0,
"bits": [ 21 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2796.9-2796.11"
}
},
"MO": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2813.9-2813.11"
}
},
"MOE": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2814.9-2814.12"
}
},
"SBACKO": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2808.9-2808.15"
}
},
"SBADRI0": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2787.9-2787.16"
}
},
"SBADRI1": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2786.9-2786.16"
}
},
"SBADRI2": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2785.9-2785.16"
}
},
"SBADRI3": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2784.9-2784.16"
}
},
"SBADRI4": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2783.9-2783.16"
}
},
"SBADRI5": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2782.9-2782.16"
}
},
"SBADRI6": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2781.9-2781.16"
}
},
"SBADRI7": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2780.9-2780.16"
}
},
"SBCLKI": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2777.9-2777.15"
}
},
"SBDATI0": {
"hide_name": 0,
"bits": [ 20 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2795.9-2795.16"
}
},
"SBDATI1": {
"hide_name": 0,
"bits": [ 19 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2794.9-2794.16"
}
},
"SBDATI2": {
"hide_name": 0,
"bits": [ 18 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2793.9-2793.16"
}
},
"SBDATI3": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2792.9-2792.16"
}
},
"SBDATI4": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2791.9-2791.16"
}
},
"SBDATI5": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2790.9-2790.16"
}
},
"SBDATI6": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2789.9-2789.16"
}
},
"SBDATI7": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2788.9-2788.16"
}
},
"SBDATO0": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2807.9-2807.16"
}
},
"SBDATO1": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2806.9-2806.16"
}
},
"SBDATO2": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2805.9-2805.16"
}
},
"SBDATO3": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2804.9-2804.16"
}
},
"SBDATO4": {
"hide_name": 0,
"bits": [ 28 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2803.9-2803.16"
}
},
"SBDATO5": {
"hide_name": 0,
"bits": [ 27 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2802.9-2802.16"
}
},
"SBDATO6": {
"hide_name": 0,
"bits": [ 26 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2801.9-2801.16"
}
},
"SBDATO7": {
"hide_name": 0,
"bits": [ 25 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2800.9-2800.16"
}
},
"SBRWI": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2778.9-2778.14"
}
},
"SBSTBI": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2779.9-2779.15"
}
},
"SCKI": {
"hide_name": 0,
"bits": [ 23 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2798.9-2798.13"
}
},
"SCKO": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2815.9-2815.13"
}
},
"SCKOE": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2816.9-2816.14"
}
},
"SCSNI": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2799.9-2799.14"
}
},
"SI": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2797.9-2797.11"
}
},
"SO": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2811.9-2811.11"
}
},
"SOE": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2812.9-2812.12"
}
},
"SPIIRQ": {
"hide_name": 0,
"bits": [ 34 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2809.9-2809.15"
}
},
"SPIWKUP": {
"hide_name": 0,
"bits": [ 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2810.9-2810.16"
}
}
}
},
"SB_SPRAM256KA": {
"attributes": {
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2600.1-2661.10"
},
"ports": {
"ADDRESS": {
"direction": "input",
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
},
"DATAIN": {
"direction": "input",
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
},
"MASKWREN": {
"direction": "input",
"bits": [ 32, 33, 34, 35 ]
},
"WREN": {
"direction": "input",
"bits": [ 36 ]
},
"CHIPSELECT": {
"direction": "input",
"bits": [ 37 ]
},
"CLOCK": {
"direction": "input",
"bits": [ 38 ]
},
"STANDBY": {
"direction": "input",
"bits": [ 39 ]
},
"SLEEP": {
"direction": "input",
"bits": [ 40 ]
},
"POWEROFF": {
"direction": "input",
"bits": [ 41 ]
},
"DATAOUT": {
"direction": "output",
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
}
},
"cells": {
},
"netnames": {
"ADDRESS": {
"hide_name": 0,
"bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2601.15-2601.22"
}
},
"CHIPSELECT": {
"hide_name": 0,
"bits": [ 37 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.14-2604.24"
}
},
"CLOCK": {
"hide_name": 0,
"bits": [ 38 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.26-2604.31"
}
},
"DATAIN": {
"hide_name": 0,
"bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2602.15-2602.21"
}
},
"DATAOUT": {
"hide_name": 0,
"bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2605.20-2605.27"
}
},
"MASKWREN": {
"hide_name": 0,
"bits": [ 32, 33, 34, 35 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2603.14-2603.22"
}
},
"POWEROFF": {
"hide_name": 0,
"bits": [ 41 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.49-2604.57"
}
},
"SLEEP": {
"hide_name": 0,
"bits": [ 40 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.42-2604.47"
}
},
"STANDBY": {
"hide_name": 0,
"bits": [ 39 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.33-2604.40"
}
},
"WREN": {
"hide_name": 0,
"bits": [ 36 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.8-2604.12"
}
}
}
},
"SB_WARMBOOT": {
"attributes": {
"keep": "00000000000000000000000000000001",
"blackbox": "00000000000000000000000000000001",
"cells_not_processed": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2593.1-2598.10"
},
"ports": {
"BOOT": {
"direction": "input",
"bits": [ 2 ]
},
"S1": {
"direction": "input",
"bits": [ 3 ]
},
"S0": {
"direction": "input",
"bits": [ 4 ]
}
},
"cells": {
},
"netnames": {
"BOOT": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2594.8-2594.12"
}
},
"S0": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2596.8-2596.10"
}
},
"S1": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2595.8-2595.10"
}
}
}
},
"top": {
"attributes": {
"hdlname": "top",
"top": "00000000000000000000000000000001",
"src": "top.v:9.1-602.10"
},
"ports": {
"i_glob_clock": {
"direction": "input",
"bits": [ 2 ]
},
"i_rst_b": {
"direction": "input",
"bits": [ 3 ]
},
"o_rx_h_tx_l": {
"direction": "output",
"bits": [ 4 ]
},
"o_rx_h_tx_l_b": {
"direction": "output",
"bits": [ 5 ]
},
"o_tr_vc1": {
"direction": "output",
"bits": [ 6 ]
},
"o_tr_vc1_b": {
"direction": "output",
"bits": [ 7 ]
},
"o_tr_vc2": {
"direction": "output",
"bits": [ 8 ]
},
"o_shdn_rx_lna": {
"direction": "output",
"bits": [ 9 ]
},
"o_shdn_tx_lna": {
"direction": "output",
"bits": [ 10 ]
},
"o_iq_tx_p": {
"direction": "output",
"bits": [ 11 ]
},
"o_iq_tx_n": {
"direction": "output",
"bits": [ 12 ]
},
"o_iq_tx_clk_p": {
"direction": "output",
"bits": [ 13 ]
},
"o_iq_tx_clk_n": {
"direction": "output",
"bits": [ 14 ]
},
"i_iq_rx_09_p": {
"direction": "input",
"bits": [ 15 ]
},
"i_iq_rx_24_n": {
"direction": "input",
"bits": [ 16 ]
},
"i_iq_rx_clk_p": {
"direction": "input",
"bits": [ 17 ]
},
"o_mixer_fm": {
"direction": "output",
"bits": [ "x" ]
},
"o_mixer_en": {
"direction": "output",
"bits": [ "x" ]
},
"i_config": {
"direction": "input",
"bits": [ 18, 19, 20, 21 ]
},
"i_button": {
"direction": "input",
"bits": [ 22 ]
},
"io_pmod": {
"direction": "inout",
"bits": [ 23, "0", "0", 24, 25, 26, 27, 28 ]
},
"o_led0": {
"direction": "output",
"bits": [ 29 ]
},
"o_led1": {
"direction": "output",
"bits": [ 30 ]
},
"i_smi_a2": {
"direction": "input",
"bits": [ 31 ]
},
"i_smi_a3": {
"direction": "input",
"bits": [ 32 ]
},
"i_smi_soe_se": {
"direction": "input",
"bits": [ 33 ]
},
"i_smi_swe_srw": {
"direction": "input",
"bits": [ 24 ]
},
"io_smi_data": {
"direction": "inout",
"bits": [ 34, 35, 36, 37, 38, 39, 40, 41 ]
},
"o_smi_write_req": {
"direction": "output",
"bits": [ "z" ]
},
"o_smi_read_req": {
"direction": "output",
"bits": [ 42 ]
},
"i_mosi": {
"direction": "input",
"bits": [ 43 ]
},
"i_sck": {
"direction": "input",
"bits": [ 44 ]
},
"i_ss": {
"direction": "input",
"bits": [ 45 ]
},
"o_miso": {
"direction": "output",
"bits": [ 46 ]
}
},
"cells": {
"i_button_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 22 ],
"I2": [ 47 ],
"I3": [ 48 ],
"O": [ 49 ]
}
},
"i_config_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010100111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 21 ],
"I1": [ 50 ],
"I2": [ 51 ],
"I3": [ 47 ],
"O": [ 52 ]
}
},
"i_config_SB_LUT4_I0_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010100111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 19 ],
"I1": [ 53 ],
"I2": [ 51 ],
"I3": [ 54 ],
"O": [ 55 ]
}
},
"i_config_SB_LUT4_I0_1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 56 ],
"I3": [ 57 ],
"O": [ 58 ]
}
},
"i_config_SB_LUT4_I0_1_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 59 ],
"I2": [ 54 ],
"I3": [ 47 ],
"O": [ 60 ]
}
},
"i_rst_b_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 63 ]
}
},
"i_rst_b_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 3 ],
"O": [ 64 ]
}
},
"i_ss_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 45 ],
"O": [ 65 ]
}
},
"io_ctrl_ins.i_cs_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 67 ],
"E": [ 68 ],
"Q": [ 69 ],
"R": [ 70 ]
}
},
"io_ctrl_ins.i_cs_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 71 ],
"I3": [ 72 ],
"O": [ 67 ]
}
},
"io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 73 ],
"E": [ 74 ],
"Q": [ 9 ]
}
},
"io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 75 ],
"I2": [ 76 ],
"I3": [ 77 ],
"O": [ 73 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 78 ],
"E": [ 74 ],
"Q": [ 10 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001110101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 79 ],
"I1": [ 59 ],
"I2": [ 76 ],
"I3": [ 77 ],
"O": [ 78 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 10 ],
"I1": [ 56 ],
"I2": [ 80 ],
"I3": [ 57 ],
"O": [ 81 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 82 ],
"I2": [ 51 ],
"I3": [ 81 ],
"O": [ 83 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 84 ],
"E": [ 85 ],
"Q": [ 86 ],
"R": [ 64 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 87 ],
"E": [ 85 ],
"Q": [ 88 ],
"R": [ 64 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_1": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 85 ],
"Q": [ 90 ],
"R": [ 64 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_2": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 91 ],
"E": [ 85 ],
"Q": [ 59 ],
"R": [ 64 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_3": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 92 ],
"E": [ 85 ],
"Q": [ 76 ],
"R": [ 64 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_3_Q_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 90 ],
"I3": [ 88 ],
"O": [ 77 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 90 ],
"I2": [ 59 ],
"I3": [ 76 ],
"O": [ 93 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 88 ],
"I3": [ 93 ],
"O": [ 74 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 69 ],
"I1": [ 94 ],
"I2": [ 95 ],
"I3": [ 96 ],
"O": [ 85 ]
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111111111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 97 ],
"I2": [ 56 ],
"I3": [ 98 ],
"O": [ 96 ]
}
},
"io_ctrl_ins.mixer_en_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 99 ],
"E": [ 74 ],
"Q": [ 100 ]
}
},
"io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110010101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 101 ],
"I1": [ 59 ],
"I2": [ 76 ],
"I3": [ 77 ],
"O": [ 99 ]
}
},
"io_ctrl_ins.mixer_en_state_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110010000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 56 ],
"I1": [ 102 ],
"I2": [ 100 ],
"I3": [ 57 ],
"O": [ 103 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 104 ],
"E": [ 105 ],
"Q": [ 106 ],
"R": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 108 ],
"E": [ 105 ],
"Q": [ 109 ],
"R": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111100011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 18 ],
"I1": [ 54 ],
"I2": [ 110 ],
"I3": [ 111 ],
"O": [ 108 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 112 ],
"E": [ 113 ],
"Q": [ 114 ],
"R": [ 115 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 86 ],
"I2": [ 96 ],
"I3": [ 83 ],
"O": [ 112 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 97 ],
"I2": [ 56 ],
"I3": [ 105 ],
"O": [ 113 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 56 ],
"I2": [ 98 ],
"I3": [ 57 ],
"O": [ 115 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 88 ],
"I1": [ 96 ],
"I2": [ 116 ],
"I3": [ 117 ],
"O": [ 104 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 54 ],
"I3": [ 115 ],
"O": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 118 ],
"E": [ 105 ],
"Q": [ 119 ],
"S": [ 107 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 90 ],
"I1": [ 96 ],
"I2": [ 103 ],
"I3": [ 120 ],
"O": [ 118 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 49 ],
"E": [ 121 ],
"Q": [ 122 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 123 ],
"E": [ 121 ],
"Q": [ 124 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 125 ],
"E": [ 121 ],
"Q": [ 126 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 20 ],
"I2": [ 47 ],
"I3": [ 127 ],
"O": [ 125 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 128 ],
"E": [ 105 ],
"Q": [ 129 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000010001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 69 ],
"I1": [ 130 ],
"I2": [ 54 ],
"I3": [ 47 ],
"O": [ 121 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000100010000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 69 ],
"I1": [ 130 ],
"I2": [ 98 ],
"I3": [ 57 ],
"O": [ 105 ]
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 95 ],
"O": [ 130 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 131 ],
"E": [ 132 ],
"Q": [ 133 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 134 ],
"E": [ 132 ],
"Q": [ 50 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 135 ],
"E": [ 132 ],
"Q": [ 136 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 91 ],
"E": [ 132 ],
"Q": [ 53 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 92 ],
"E": [ 132 ],
"Q": [ 137 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 84 ],
"E": [ 132 ],
"Q": [ 82 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 87 ],
"E": [ 132 ],
"Q": [ 138 ]
}
},
"io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 132 ],
"Q": [ 139 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 92 ],
"E": [ 140 ],
"Q": [ 141 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 84 ],
"E": [ 140 ],
"Q": [ 80 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 87 ],
"E": [ 140 ],
"Q": [ 142 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 140 ],
"Q": [ 102 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 56 ],
"I2": [ 143 ],
"I3": [ 57 ],
"O": [ 140 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 143 ],
"I3": [ 51 ],
"O": [ 132 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 143 ],
"I3": [ 58 ],
"O": [ 144 ]
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 3 ],
"I1": [ 69 ],
"I2": [ 94 ],
"I3": [ 95 ],
"O": [ 143 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 131 ],
"E": [ 144 ],
"Q": [ 145 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 134 ],
"E": [ 144 ],
"Q": [ 146 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 135 ],
"E": [ 144 ],
"Q": [ 147 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 91 ],
"E": [ 144 ],
"Q": [ 148 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 92 ],
"E": [ 144 ],
"Q": [ 149 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 84 ],
"E": [ 144 ],
"Q": [ 79 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 87 ],
"E": [ 144 ],
"Q": [ 75 ]
}
},
"io_ctrl_ins.rf_pin_state_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 144 ],
"Q": [ 101 ]
}
},
"io_ctrl_ins.rx_h_b_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 150 ],
"E": [ 74 ],
"Q": [ 5 ]
}
},
"io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 146 ],
"I2": [ 77 ],
"I3": [ 151 ],
"O": [ 150 ]
}
},
"io_ctrl_ins.rx_h_b_state_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 5 ],
"I2": [ 58 ],
"I3": [ 52 ],
"O": [ 123 ]
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 152 ],
"E": [ 74 ],
"Q": [ 4 ]
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 145 ],
"I2": [ 77 ],
"I3": [ 151 ],
"O": [ 152 ]
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 59 ],
"I1": [ 86 ],
"I2": [ 76 ],
"I3": [ 77 ],
"O": [ 151 ]
}
},
"io_ctrl_ins.rx_h_state_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010100111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 4 ],
"I1": [ 133 ],
"I2": [ 51 ],
"I3": [ 58 ],
"O": [ 48 ]
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 153 ],
"E": [ 74 ],
"Q": [ 7 ]
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 148 ],
"I2": [ 77 ],
"I3": [ 154 ],
"O": [ 153 ]
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111110001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 7 ],
"I1": [ 58 ],
"I2": [ 55 ],
"I3": [ 60 ],
"O": [ 128 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 155 ],
"E": [ 74 ],
"Q": [ 6 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 147 ],
"I2": [ 77 ],
"I3": [ 154 ],
"O": [ 155 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 59 ],
"I1": [ 86 ],
"I2": [ 76 ],
"I3": [ 77 ],
"O": [ 154 ]
}
},
"io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010100111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 6 ],
"I1": [ 136 ],
"I2": [ 51 ],
"I3": [ 58 ],
"O": [ 127 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 156 ],
"E": [ 74 ],
"Q": [ 8 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110010101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 149 ],
"I1": [ 59 ],
"I2": [ 76 ],
"I3": [ 77 ],
"O": [ 156 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 8 ],
"I1": [ 56 ],
"I2": [ 141 ],
"I3": [ 57 ],
"O": [ 110 ]
}
},
"io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0101111100010011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 137 ],
"I1": [ 76 ],
"I2": [ 51 ],
"I3": [ 96 ],
"O": [ 111 ]
}
},
"io_pmod_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 157 ],
"O": [ 23 ]
}
},
"iq_rx_09": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVDS_INPUT",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:293.7-298.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_IN_1": "output",
"INPUT_CLK": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 158 ],
"D_IN_1": [ 159 ],
"INPUT_CLK": [ 157 ],
"PACKAGE_PIN": [ 15 ]
}
},
"iq_rx_24": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVDS_INPUT",
"NEG_TRIGGER": "0",
"PIN_TYPE": "000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:278.7-284.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_IN_1": "output",
"INPUT_CLK": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 160 ],
"D_IN_1": [ 161 ],
"INPUT_CLK": [ 157 ],
"PACKAGE_PIN": [ 16 ]
}
},
"iq_rx_clk": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVDS_INPUT",
"PIN_TYPE": "000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:260.7-263.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 157 ],
"PACKAGE_PIN": [ 17 ]
}
},
"iq_tx_clk_n": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVCMOS",
"PIN_TYPE": "011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:339.5-342.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_OUT_0": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_OUT_0": [ 23 ],
"PACKAGE_PIN": [ 14 ]
}
},
"iq_tx_clk_p": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVCMOS",
"PIN_TYPE": "011001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:330.5-333.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_OUT_0": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_OUT_0": [ 157 ],
"PACKAGE_PIN": [ 13 ]
}
},
"iq_tx_n": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVCMOS",
"PIN_TYPE": "010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:319.5-324.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_OUT_0": "input",
"D_OUT_1": "input",
"OUTPUT_CLK": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_OUT_0": [ "0" ],
"D_OUT_1": [ "0" ],
"OUTPUT_CLK": [ 23 ],
"PACKAGE_PIN": [ 12 ]
}
},
"iq_tx_p": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"IO_STANDARD": "SB_LVCMOS",
"PIN_TYPE": "010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:308.5-313.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_OUT_0": "input",
"D_OUT_1": "input",
"OUTPUT_CLK": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_OUT_0": [ "1" ],
"D_OUT_1": [ "1" ],
"OUTPUT_CLK": [ 23 ],
"PACKAGE_PIN": [ 11 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 162 ],
"E": [ 163 ],
"Q": [ 164 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 163 ],
"I3": [ 165 ],
"O": [ 166 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 167 ],
"E": [ 166 ],
"Q": [ 168 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 169 ],
"E": [ 166 ],
"Q": [ 170 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 171 ],
"E": [ 172 ],
"Q": [ 173 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_1": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 174 ],
"E": [ 172 ],
"Q": [ 175 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010100010000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 170 ],
"I1": [ 176 ],
"I2": [ "1" ],
"I3": [ 177 ],
"O": [ 174 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_1_D_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 175 ],
"O": [ 176 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_1_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 178 ],
"O": [ 177 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_2": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 179 ],
"E": [ 172 ],
"Q": [ 178 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0111001101010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 178 ],
"I1": [ 168 ],
"I2": [ 170 ],
"I3": [ 165 ],
"O": [ 179 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001000101000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 170 ],
"I1": [ 173 ],
"I2": [ "1" ],
"I3": [ 180 ],
"O": [ 171 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 177 ],
"CO": [ 180 ],
"I0": [ 176 ],
"I1": [ "1" ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0101000011011101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 168 ],
"I1": [ 170 ],
"I2": [ 181 ],
"I3": [ 165 ],
"O": [ 172 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 170 ],
"O": [ 182 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 170 ],
"I3": [ 167 ],
"O": [ 169 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 168 ],
"I2": [ 170 ],
"I3": [ 181 ],
"O": [ 167 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 175 ],
"I1": [ 178 ],
"I2": [ 173 ],
"I3": [ 170 ],
"O": [ 165 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 158 ],
"I3": [ 159 ],
"O": [ 181 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 158 ],
"I1": [ 159 ],
"I2": [ 168 ],
"I3": [ 170 ],
"O": [ 163 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_Q_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100101010101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 159 ],
"I1": [ 164 ],
"I2": [ 168 ],
"I3": [ 165 ],
"O": [ 183 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 28 ],
"I2": [ 184 ],
"I3": [ 185 ],
"O": [ 162 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 186 ],
"Q": [ 184 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_DFFER_E": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 84 ],
"E": [ 186 ],
"Q": [ 187 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 135 ],
"E": [ 188 ],
"Q": [ 189 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 189 ],
"I3": [ 190 ],
"O": [ 191 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 97 ],
"I2": [ 56 ],
"I3": [ 192 ],
"O": [ 186 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 97 ],
"I2": [ 56 ],
"I3": [ 192 ],
"O": [ 188 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 92 ],
"E": [ 188 ],
"Q": [ 193 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_1": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 84 ],
"E": [ 188 ],
"Q": [ 194 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_2": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 87 ],
"E": [ 188 ],
"Q": [ 195 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_3": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 188 ],
"Q": [ 196 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 197 ],
"I1": [ 94 ],
"I2": [ 95 ],
"I3": [ 198 ],
"O": [ 192 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0111011100100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 97 ],
"I1": [ 56 ],
"I2": [ 198 ],
"I3": [ 98 ],
"O": [ 199 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I2_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010011010101111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 97 ],
"I1": [ 56 ],
"I2": [ 198 ],
"I3": [ 98 ],
"O": [ 47 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I2_1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 97 ],
"I2": [ 56 ],
"I3": [ 98 ],
"O": [ 54 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 197 ],
"I2": [ 95 ],
"I3": [ 199 ],
"O": [ 200 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 97 ],
"I2": [ 56 ],
"I3": [ 198 ],
"O": [ 190 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 97 ],
"I3": [ 198 ],
"O": [ 57 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 134 ],
"E": [ 188 ],
"Q": [ 201 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 196 ],
"I3": [ 190 ],
"O": [ 202 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 193 ],
"I3": [ 190 ],
"O": [ 203 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 194 ],
"I3": [ 190 ],
"O": [ 204 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 195 ],
"I3": [ 190 ],
"O": [ 205 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 206 ],
"I2": [ 207 ],
"I3": [ 208 ],
"O": [ 198 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I3_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 91 ],
"E": [ 188 ],
"Q": [ 185 ],
"R": [ 64 ]
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I3_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 185 ],
"I3": [ 190 ],
"O": [ 209 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 210 ],
"E": [ 211 ],
"Q": [ 212 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 213 ],
"E": [ 211 ],
"Q": [ 214 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_10": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 215 ],
"E": [ 211 ],
"Q": [ 216 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_10_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 217 ],
"I3": [ 170 ],
"O": [ 215 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_11": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 218 ],
"E": [ 211 ],
"Q": [ 219 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_11_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 220 ],
"I3": [ 170 ],
"O": [ 218 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_12": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 221 ],
"E": [ 211 ],
"Q": [ 222 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_12_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 216 ],
"I3": [ 170 ],
"O": [ 221 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_13": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 223 ],
"E": [ 211 ],
"Q": [ 224 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_13_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 219 ],
"I3": [ 170 ],
"O": [ 223 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_14": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 225 ],
"E": [ 211 ],
"Q": [ 226 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_14_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 222 ],
"I3": [ 170 ],
"O": [ 225 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_15": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 227 ],
"E": [ 211 ],
"Q": [ 228 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_15_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 224 ],
"I3": [ 170 ],
"O": [ 227 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_16": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 229 ],
"E": [ 211 ],
"Q": [ 230 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_16_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 226 ],
"I3": [ 170 ],
"O": [ 229 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_17": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 231 ],
"E": [ 211 ],
"Q": [ 232 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_17_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 228 ],
"I3": [ 170 ],
"O": [ 231 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_18": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 233 ],
"E": [ 211 ],
"Q": [ 234 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_18_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 230 ],
"I3": [ 170 ],
"O": [ 233 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_19": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 235 ],
"E": [ 211 ],
"Q": [ 236 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_19_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 232 ],
"I3": [ 170 ],
"O": [ 235 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 237 ],
"I3": [ 170 ],
"O": [ 213 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 238 ],
"E": [ 211 ],
"Q": [ 239 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_20": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 240 ],
"E": [ 211 ],
"Q": [ 241 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_20_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 234 ],
"I3": [ 170 ],
"O": [ 240 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_21": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 242 ],
"E": [ 211 ],
"Q": [ 243 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_21_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 236 ],
"I3": [ 170 ],
"O": [ 242 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_22": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 244 ],
"E": [ 211 ],
"Q": [ 245 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_22_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 241 ],
"I3": [ 170 ],
"O": [ 244 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_23": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 246 ],
"E": [ 211 ],
"Q": [ 247 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_23_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 243 ],
"I3": [ 170 ],
"O": [ 246 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_24": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 248 ],
"E": [ 211 ],
"Q": [ 249 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_24_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 245 ],
"I3": [ 170 ],
"O": [ 248 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_25": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 250 ],
"E": [ 211 ],
"Q": [ 251 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_25_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 247 ],
"I3": [ 170 ],
"O": [ 250 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_26": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 252 ],
"E": [ 211 ],
"Q": [ 253 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_26_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 249 ],
"I3": [ 170 ],
"O": [ 252 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_27": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 254 ],
"E": [ 211 ],
"Q": [ 255 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_27_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 251 ],
"I3": [ 170 ],
"O": [ 254 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_28": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 256 ],
"E": [ 211 ],
"Q": [ 257 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_28_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 253 ],
"I3": [ 170 ],
"O": [ 256 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_29": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 258 ],
"E": [ 211 ],
"Q": [ 259 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_29_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 255 ],
"I3": [ 170 ],
"O": [ 258 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 212 ],
"I3": [ 170 ],
"O": [ 238 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 260 ],
"E": [ 211 ],
"Q": [ 261 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 214 ],
"I3": [ 170 ],
"O": [ 260 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 262 ],
"E": [ 211 ],
"Q": [ 263 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 239 ],
"I3": [ 170 ],
"O": [ 262 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 264 ],
"E": [ 211 ],
"Q": [ 265 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 261 ],
"I3": [ 170 ],
"O": [ 264 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 266 ],
"E": [ 211 ],
"Q": [ 267 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 263 ],
"I3": [ 170 ],
"O": [ 266 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 268 ],
"E": [ 211 ],
"Q": [ 269 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 265 ],
"I3": [ 170 ],
"O": [ 268 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 270 ],
"E": [ 211 ],
"Q": [ 217 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_8_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 267 ],
"I3": [ 170 ],
"O": [ 270 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 271 ],
"E": [ 211 ],
"Q": [ 220 ],
"R": [ 182 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_9_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 269 ],
"I3": [ 170 ],
"O": [ 271 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 272 ],
"I3": [ 170 ],
"O": [ 210 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 158 ],
"E": [ 211 ],
"Q": [ 237 ]
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 183 ],
"E": [ 211 ],
"Q": [ 272 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 273 ],
"E": [ 274 ],
"Q": [ 275 ],
"R": [ 64 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 274 ],
"I3": [ 276 ],
"O": [ 277 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 278 ],
"E": [ 277 ],
"Q": [ 279 ],
"R": [ 64 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 280 ],
"E": [ 277 ],
"Q": [ 281 ],
"R": [ 64 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 281 ],
"O": [ 282 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 279 ],
"I2": [ 281 ],
"I3": [ 283 ],
"O": [ 280 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 279 ],
"I2": [ 281 ],
"I3": [ 283 ],
"O": [ 278 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 161 ],
"I3": [ 160 ],
"O": [ 283 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 161 ],
"I1": [ 160 ],
"I2": [ 279 ],
"I3": [ 281 ],
"O": [ 274 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_Q_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 161 ],
"I2": [ 275 ],
"I3": [ 284 ],
"O": [ 285 ]
}
},
"lvds_rx_24_inst.i_sync_input_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111111000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 27 ],
"I2": [ 189 ],
"I3": [ 187 ],
"O": [ 273 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 286 ],
"E": [ 287 ],
"Q": [ 288 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 289 ],
"E": [ 287 ],
"Q": [ 290 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_10": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 291 ],
"E": [ 287 ],
"Q": [ 292 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_10_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 293 ],
"I3": [ 281 ],
"O": [ 291 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_11": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 294 ],
"E": [ 287 ],
"Q": [ 295 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_11_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 296 ],
"I3": [ 281 ],
"O": [ 294 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_12": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 297 ],
"E": [ 287 ],
"Q": [ 298 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_12_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 292 ],
"I3": [ 281 ],
"O": [ 297 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_13": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 299 ],
"E": [ 287 ],
"Q": [ 300 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_13_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 295 ],
"I3": [ 281 ],
"O": [ 299 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_14": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 301 ],
"E": [ 287 ],
"Q": [ 302 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_14_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 298 ],
"I3": [ 281 ],
"O": [ 301 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_15": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 303 ],
"E": [ 287 ],
"Q": [ 304 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_15_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 300 ],
"I3": [ 281 ],
"O": [ 303 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_16": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 305 ],
"E": [ 287 ],
"Q": [ 306 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_16_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 302 ],
"I3": [ 281 ],
"O": [ 305 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_17": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 307 ],
"E": [ 287 ],
"Q": [ 308 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_17_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 304 ],
"I3": [ 281 ],
"O": [ 307 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_18": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 309 ],
"E": [ 287 ],
"Q": [ 310 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_18_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 306 ],
"I3": [ 281 ],
"O": [ 309 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_19": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 311 ],
"E": [ 287 ],
"Q": [ 312 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_19_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 308 ],
"I3": [ 281 ],
"O": [ 311 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 313 ],
"I3": [ 281 ],
"O": [ 289 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 314 ],
"E": [ 287 ],
"Q": [ 315 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_20": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 316 ],
"E": [ 287 ],
"Q": [ 317 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_20_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 310 ],
"I3": [ 281 ],
"O": [ 316 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_21": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 318 ],
"E": [ 287 ],
"Q": [ 319 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_21_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 312 ],
"I3": [ 281 ],
"O": [ 318 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_22": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 320 ],
"E": [ 287 ],
"Q": [ 321 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_22_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 317 ],
"I3": [ 281 ],
"O": [ 320 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_23": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 322 ],
"E": [ 287 ],
"Q": [ 323 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_23_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 319 ],
"I3": [ 281 ],
"O": [ 322 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_24": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 324 ],
"E": [ 287 ],
"Q": [ 325 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_24_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 321 ],
"I3": [ 281 ],
"O": [ 324 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_25": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 326 ],
"E": [ 287 ],
"Q": [ 327 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_25_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 323 ],
"I3": [ 281 ],
"O": [ 326 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_26": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 328 ],
"E": [ 287 ],
"Q": [ 329 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_26_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 325 ],
"I3": [ 281 ],
"O": [ 328 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_27": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 330 ],
"E": [ 287 ],
"Q": [ 331 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_27_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 327 ],
"I3": [ 281 ],
"O": [ 330 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_28": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 332 ],
"E": [ 287 ],
"Q": [ 333 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_28_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 329 ],
"I3": [ 281 ],
"O": [ 332 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_29": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 334 ],
"E": [ 287 ],
"Q": [ 335 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_29_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 331 ],
"I3": [ 281 ],
"O": [ 334 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 288 ],
"I3": [ 281 ],
"O": [ 314 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 336 ],
"E": [ 287 ],
"Q": [ 337 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 290 ],
"I3": [ 281 ],
"O": [ 336 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 338 ],
"E": [ 287 ],
"Q": [ 339 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 315 ],
"I3": [ 281 ],
"O": [ 338 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 340 ],
"E": [ 287 ],
"Q": [ 341 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 337 ],
"I3": [ 281 ],
"O": [ 340 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 342 ],
"E": [ 287 ],
"Q": [ 343 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 339 ],
"I3": [ 281 ],
"O": [ 342 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 344 ],
"E": [ 287 ],
"Q": [ 345 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 341 ],
"I3": [ 281 ],
"O": [ 344 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 346 ],
"E": [ 287 ],
"Q": [ 293 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_8_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 343 ],
"I3": [ 281 ],
"O": [ 346 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 347 ],
"E": [ 287 ],
"Q": [ 296 ],
"R": [ 282 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_9_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 345 ],
"I3": [ 281 ],
"O": [ 347 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 348 ],
"I3": [ 281 ],
"O": [ 286 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 349 ],
"E": [ 287 ],
"Q": [ 313 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 285 ],
"E": [ 287 ],
"Q": [ 348 ]
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 160 ],
"O": [ 349 ]
}
},
"lvds_tx_inst.r_pulled_SB_DFFNESR_Q": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_tx.v:58.5-128.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 350 ],
"E": [ 64 ],
"Q": [ 351 ],
"R": [ 64 ]
}
},
"lvds_tx_inst.r_pulled_SB_DFFNESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 352 ],
"O": [ 350 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 351 ],
"I2": [ 353 ],
"I3": [ 354 ],
"O": [ 355 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 356 ],
"I2": [ 355 ],
"I3": [ 357 ],
"O": [ 358 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 359 ],
"I1": [ 360 ],
"I2": [ 361 ],
"I3": [ 362 ],
"O": [ 363 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 364 ],
"I2": [ 365 ],
"I3": [ 366 ],
"O": [ 367 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 368 ],
"I1": [ 369 ],
"I2": [ 370 ],
"I3": [ 371 ],
"O": [ 372 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 373 ],
"I1": [ 374 ],
"I2": [ 375 ],
"I3": [ 376 ],
"O": [ 359 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 374 ],
"I1": [ 377 ],
"I2": [ 368 ],
"I3": [ 378 ],
"O": [ 360 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110011000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 379 ],
"I2": [ 380 ],
"I3": [ 381 ],
"O": [ 378 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 382 ],
"I3": [ 383 ],
"O": [ 361 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_2_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011101010100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 353 ],
"I1": [ 384 ],
"I2": [ 373 ],
"I3": [ 364 ],
"O": [ 382 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_2_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001100111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 385 ],
"I1": [ 386 ],
"I2": [ 387 ],
"I3": [ 388 ],
"O": [ 383 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_2_I2_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 389 ],
"I3": [ 379 ],
"O": [ 388 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 390 ],
"I1": [ 391 ],
"I2": [ 385 ],
"I3": [ 392 ],
"O": [ 362 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110011000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 377 ],
"I2": [ 390 ],
"I3": [ 393 ],
"O": [ 376 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010100000111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 353 ],
"I1": [ 380 ],
"I2": [ 394 ],
"I3": [ 354 ],
"O": [ 356 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001001000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 393 ],
"I1": [ 381 ],
"I2": [ 395 ],
"I3": [ 396 ],
"O": [ 357 ]
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 351 ],
"O": [ 397 ]
}
},
"o_led0_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 398 ],
"Q": [ 29 ],
"R": [ 64 ]
}
},
"o_led0_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001001101011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 139 ],
"I1": [ 29 ],
"I2": [ 51 ],
"I3": [ 54 ],
"O": [ 120 ]
}
},
"o_led1_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 87 ],
"E": [ 398 ],
"Q": [ 30 ],
"R": [ 64 ]
}
},
"o_led1_SB_DFFER_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 69 ],
"I1": [ 94 ],
"I2": [ 95 ],
"I3": [ 54 ],
"O": [ 398 ]
}
},
"o_led1_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001001101011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 138 ],
"I1": [ 30 ],
"I2": [ 51 ],
"I3": [ 54 ],
"O": [ 117 ]
}
},
"o_led1_SB_LUT4_I1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 97 ],
"I2": [ 56 ],
"I3": [ 98 ],
"O": [ 51 ]
}
},
"o_led1_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1011100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 9 ],
"I1": [ 56 ],
"I2": [ 142 ],
"I3": [ 57 ],
"O": [ 116 ]
}
},
"o_miso_$_TBUF__Y": {
"hide_name": 0,
"type": "$_TBUF_",
"parameters": {
},
"attributes": {
"src": "top.v:150.19-150.43"
},
"port_directions": {
"A": "input",
"E": "input",
"Y": "output"
},
"connections": {
"A": [ 399 ],
"E": [ 65 ],
"Y": [ 46 ]
}
},
"o_smi_read_req_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 400 ],
"I2": [ 401 ],
"I3": [ 402 ],
"O": [ 42 ]
}
},
"r_counter_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 2 ],
"D": [ 403 ],
"Q": [ 66 ],
"R": [ 64 ]
}
},
"r_counter_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 66 ],
"O": [ 403 ]
}
},
"r_tx_data_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 404 ],
"E": [ 405 ],
"Q": [ 406 ]
}
},
"r_tx_data_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 407 ],
"E": [ 405 ],
"Q": [ 408 ]
}
},
"r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010111000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 124 ],
"I1": [ 409 ],
"I2": [ 410 ],
"I3": [ 411 ],
"O": [ 407 ]
}
},
"r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 412 ],
"E": [ 200 ],
"Q": [ 409 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 201 ],
"I3": [ 190 ],
"O": [ 412 ]
}
},
"r_tx_data_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 413 ],
"E": [ 405 ],
"Q": [ 414 ]
}
},
"r_tx_data_SB_DFFE_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 415 ],
"I2": [ 410 ],
"I3": [ 416 ],
"O": [ 413 ]
}
},
"r_tx_data_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 417 ],
"E": [ 405 ],
"Q": [ 418 ]
}
},
"r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010111000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 129 ],
"I1": [ 419 ],
"I2": [ 410 ],
"I3": [ 411 ],
"O": [ 417 ]
}
},
"r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 209 ],
"E": [ 200 ],
"Q": [ 419 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 420 ],
"E": [ 405 ],
"Q": [ 421 ]
}
},
"r_tx_data_SB_DFFE_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010111000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 109 ],
"I1": [ 422 ],
"I2": [ 410 ],
"I3": [ 411 ],
"O": [ 420 ]
}
},
"r_tx_data_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 203 ],
"E": [ 200 ],
"Q": [ 422 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 423 ],
"E": [ 405 ],
"Q": [ 424 ]
}
},
"r_tx_data_SB_DFFE_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 425 ],
"I1": [ 410 ],
"I2": [ 426 ],
"I3": [ 427 ],
"O": [ 423 ]
}
},
"r_tx_data_SB_DFFE_Q_5_D_SB_LUT4_O_I0_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 204 ],
"E": [ 200 ],
"Q": [ 425 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_5_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001001101011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 428 ],
"I1": [ 114 ],
"I2": [ 429 ],
"I3": [ 411 ],
"O": [ 427 ]
}
},
"r_tx_data_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 430 ],
"E": [ 405 ],
"Q": [ 431 ]
}
},
"r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 432 ],
"I2": [ 410 ],
"I3": [ 433 ],
"O": [ 430 ]
}
},
"r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 205 ],
"E": [ 200 ],
"Q": [ 432 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001001101011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 434 ],
"I1": [ 106 ],
"I2": [ 429 ],
"I3": [ 411 ],
"O": [ 433 ]
}
},
"r_tx_data_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:229.3-243.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 2 ],
"D": [ 435 ],
"E": [ 405 ],
"Q": [ 436 ]
}
},
"r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111001011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 437 ],
"I1": [ 410 ],
"I2": [ 426 ],
"I3": [ 438 ],
"O": [ 435 ]
}
},
"r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 202 ],
"E": [ 200 ],
"Q": [ 437 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001001101011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 439 ],
"I1": [ 119 ],
"I2": [ 429 ],
"I3": [ 411 ],
"O": [ 438 ]
}
},
"r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 440 ],
"I1": [ 441 ],
"I2": [ 69 ],
"I3": [ 197 ],
"O": [ 429 ]
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 442 ],
"I2": [ 410 ],
"I3": [ 443 ],
"O": [ 404 ]
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 444 ],
"E": [ 200 ],
"Q": [ 442 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 445 ],
"I3": [ 190 ],
"O": [ 444 ]
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I2_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 131 ],
"E": [ 188 ],
"Q": [ 445 ],
"R": [ 64 ]
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 122 ],
"I2": [ 411 ],
"I3": [ 426 ],
"O": [ 443 ]
}
},
"rx_fifo.empty_o_SB_DFFSS_Q": {
"hide_name": 0,
"type": "SB_DFFSS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:84.2-92.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:20.59-20.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 446 ],
"Q": [ 401 ],
"S": [ 64 ]
}
},
"rx_fifo.empty_o_SB_DFFSS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110110011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 447 ],
"I1": [ 448 ],
"I2": [ 449 ],
"I3": [ 450 ],
"O": [ 446 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 401 ],
"I1": [ 451 ],
"I2": [ 452 ],
"I3": [ 453 ],
"O": [ 454 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 455 ],
"I2": [ 456 ],
"I3": [ 457 ],
"O": [ 453 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110011101111110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 458 ],
"I1": [ 459 ],
"I2": [ 460 ],
"I3": [ 461 ],
"O": [ 462 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001101001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 463 ],
"I1": [ 464 ],
"I2": [ 465 ],
"I3": [ 466 ],
"O": [ 467 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010011010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 468 ],
"I1": [ 469 ],
"I2": [ 470 ],
"I3": [ 471 ],
"O": [ 466 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 469 ],
"I3": [ 463 ],
"O": [ 472 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 461 ],
"I3": [ 473 ],
"O": [ 471 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 474 ],
"I2": [ 475 ],
"I3": [ 476 ],
"O": [ 477 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 456 ],
"I1": [ 478 ],
"I2": [ 479 ],
"I3": [ 480 ],
"O": [ 474 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001010000111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 468 ],
"I1": [ 481 ],
"I2": [ 472 ],
"I3": [ 471 ],
"O": [ 475 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001101111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 456 ],
"I1": [ 478 ],
"I2": [ 479 ],
"I3": [ 482 ],
"O": [ 476 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1_SB_LUT4_O_2_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110000000000110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 464 ],
"I1": [ 483 ],
"I2": [ 456 ],
"I3": [ 457 ],
"O": [ 482 ]
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 464 ],
"I2": [ 483 ],
"I3": [ 455 ],
"O": [ 480 ]
}
},
"rx_fifo.full_o_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:57.2-65.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 484 ],
"Q": [ 485 ],
"R": [ 64 ]
}
},
"rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111110000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 486 ],
"I1": [ 487 ],
"I2": [ 488 ],
"I3": [ 489 ],
"O": [ 484 ]
}
},
"rx_fifo.full_o_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101000000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 485 ],
"I1": [ 490 ],
"I2": [ 491 ],
"I3": [ 492 ],
"O": [ 493 ]
}
},
"rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 494 ],
"I2": [ 493 ],
"I3": [ 495 ],
"O": [ 487 ]
}
},
"rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 496 ],
"I1": [ 497 ],
"I2": [ 498 ],
"I3": [ 499 ],
"O": [ 486 ]
}
},
"rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 500 ],
"I1": [ 501 ],
"I2": [ 502 ],
"I3": [ 503 ],
"O": [ 488 ]
}
},
"rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 504 ],
"I1": [ 505 ],
"I2": [ 506 ],
"I3": [ 507 ],
"O": [ 489 ]
}
},
"rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 508 ],
"I1": [ 509 ],
"I2": [ 510 ],
"I3": [ 511 ],
"O": [ 494 ]
}
},
"rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010100000111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 490 ],
"I1": [ 512 ],
"I2": [ 513 ],
"I3": [ 492 ],
"O": [ 495 ]
}
},
"rx_fifo.full_o_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 485 ],
"I2": [ 168 ],
"I3": [ 165 ],
"O": [ 514 ]
}
},
"rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 514 ],
"E": [ 515 ],
"Q": [ 516 ],
"R": [ 64 ]
}
},
"rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 168 ],
"I3": [ 170 ],
"O": [ 515 ]
}
},
"rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 517 ],
"Q": [ 518 ],
"R": [ 64 ]
}
},
"rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q_SB_DFFER_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 441 ],
"I1": [ 94 ],
"I2": [ 95 ],
"I3": [ 54 ],
"O": [ 517 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 485 ],
"I3": [ 284 ],
"O": [ 519 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 279 ],
"I3": [ 276 ],
"O": [ 284 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0101000011011101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 279 ],
"I1": [ 281 ],
"I2": [ 283 ],
"I3": [ 276 ],
"O": [ 520 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 521 ],
"I1": [ 522 ],
"I2": [ 523 ],
"I3": [ 281 ],
"O": [ 276 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 524 ],
"E": [ 520 ],
"Q": [ 521 ],
"R": [ 64 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_DFFER_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 281 ],
"I3": [ 525 ],
"O": [ 524 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010101000101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 521 ],
"I1": [ 522 ],
"I2": [ 523 ],
"I3": [ 279 ],
"O": [ 525 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 521 ],
"O": [ 526 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_I3_O_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 526 ],
"CO": [ 527 ],
"I0": [ 528 ],
"I1": [ "1" ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 529 ],
"E": [ 520 ],
"Q": [ 522 ],
"R": [ 64 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010100010000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 281 ],
"I1": [ 528 ],
"I2": [ "1" ],
"I3": [ 526 ],
"O": [ 529 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 522 ],
"O": [ 528 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 530 ],
"E": [ 520 ],
"Q": [ 523 ],
"R": [ 64 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001000101000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 281 ],
"I1": [ 523 ],
"I2": [ "1" ],
"I3": [ 527 ],
"O": [ 530 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 519 ],
"E": [ 531 ],
"Q": [ 532 ],
"R": [ 64 ]
}
},
"rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 279 ],
"I3": [ 281 ],
"O": [ 531 ]
}
},
"rx_fifo.mem_i.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 560, "0", "0", "0", 561, "0", "0", "0", 562, "0", "0", "0", 563, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_i.0.0_WCLKE_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 491 ],
"O": [ 564 ]
}
},
"rx_fifo.mem_i.0.0_WCLKE_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111111000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 532 ],
"I2": [ 518 ],
"I3": [ 516 ],
"O": [ 491 ]
}
},
"rx_fifo.mem_i.0.0_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 228 ],
"I2": [ 304 ],
"I3": [ 518 ],
"O": [ 562 ]
}
},
"rx_fifo.mem_i.0.0_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 230 ],
"I2": [ 306 ],
"I3": [ 518 ],
"O": [ 561 ]
}
},
"rx_fifo.mem_i.0.0_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 226 ],
"I2": [ 302 ],
"I3": [ 518 ],
"O": [ 560 ]
}
},
"rx_fifo.mem_i.0.0_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 308 ],
"I2": [ 232 ],
"I3": [ 518 ],
"O": [ 563 ]
}
},
"rx_fifo.mem_i.0.1": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 581, "0", "0", "0", 582, "0", "0", "0", 583, "0", "0", "0", 584, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_i.0.1_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 236 ],
"I2": [ 312 ],
"I3": [ 518 ],
"O": [ 583 ]
}
},
"rx_fifo.mem_i.0.1_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 241 ],
"I2": [ 317 ],
"I3": [ 518 ],
"O": [ 582 ]
}
},
"rx_fifo.mem_i.0.1_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 310 ],
"I2": [ 234 ],
"I3": [ 518 ],
"O": [ 581 ]
}
},
"rx_fifo.mem_i.0.1_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 319 ],
"I2": [ 243 ],
"I3": [ 518 ],
"O": [ 584 ]
}
},
"rx_fifo.mem_i.0.2": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 601, "0", "0", "0", 602, "0", "0", "0", 603, "0", "0", "0", 604, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_i.0.2_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 323 ],
"I2": [ 247 ],
"I3": [ 518 ],
"O": [ 603 ]
}
},
"rx_fifo.mem_i.0.2_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 249 ],
"I2": [ 325 ],
"I3": [ 518 ],
"O": [ 602 ]
}
},
"rx_fifo.mem_i.0.2_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 321 ],
"I2": [ 245 ],
"I3": [ 518 ],
"O": [ 601 ]
}
},
"rx_fifo.mem_i.0.2_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 251 ],
"I2": [ 327 ],
"I3": [ 518 ],
"O": [ 604 ]
}
},
"rx_fifo.mem_i.0.3": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 621, "0", "0", "0", 622, "0", "0", "0", 623, "0", "0", "0", 624, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_i.0.3_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 331 ],
"I2": [ 255 ],
"I3": [ 518 ],
"O": [ 623 ]
}
},
"rx_fifo.mem_i.0.3_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 333 ],
"I2": [ 257 ],
"I3": [ 518 ],
"O": [ 622 ]
}
},
"rx_fifo.mem_i.0.3_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 329 ],
"I2": [ 253 ],
"I3": [ 518 ],
"O": [ 621 ]
}
},
"rx_fifo.mem_i.0.3_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 335 ],
"I2": [ 259 ],
"I3": [ 518 ],
"O": [ 624 ]
}
},
"rx_fifo.mem_q.0.0": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 641, "0", "0", "0", 642, "0", "0", "0", 643, "0", "0", "0", 644, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_q.0.0_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 237 ],
"I2": [ 313 ],
"I3": [ 518 ],
"O": [ 643 ]
}
},
"rx_fifo.mem_q.0.0_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 212 ],
"I2": [ 288 ],
"I3": [ 518 ],
"O": [ 642 ]
}
},
"rx_fifo.mem_q.0.0_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 348 ],
"I2": [ 272 ],
"I3": [ 518 ],
"O": [ 641 ]
}
},
"rx_fifo.mem_q.0.0_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 290 ],
"I2": [ 214 ],
"I3": [ 518 ],
"O": [ 644 ]
}
},
"rx_fifo.mem_q.0.1": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 661, "0", "0", "0", 662, "0", "0", "0", 663, "0", "0", "0", 664, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_q.0.1_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 337 ],
"I2": [ 261 ],
"I3": [ 518 ],
"O": [ 663 ]
}
},
"rx_fifo.mem_q.0.1_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 263 ],
"I2": [ 339 ],
"I3": [ 518 ],
"O": [ 662 ]
}
},
"rx_fifo.mem_q.0.1_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 315 ],
"I2": [ 239 ],
"I3": [ 518 ],
"O": [ 661 ]
}
},
"rx_fifo.mem_q.0.1_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 341 ],
"I2": [ 265 ],
"I3": [ 518 ],
"O": [ 664 ]
}
},
"rx_fifo.mem_q.0.2": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 681, "0", "0", "0", 682, "0", "0", "0", 683, "0", "0", "0", 684, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_q.0.2_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 269 ],
"I2": [ 345 ],
"I3": [ 518 ],
"O": [ 683 ]
}
},
"rx_fifo.mem_q.0.2_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 217 ],
"I2": [ 293 ],
"I3": [ 518 ],
"O": [ 682 ]
}
},
"rx_fifo.mem_q.0.2_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 267 ],
"I2": [ 343 ],
"I3": [ 518 ],
"O": [ 681 ]
}
},
"rx_fifo.mem_q.0.2_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 220 ],
"I2": [ 296 ],
"I3": [ 518 ],
"O": [ 684 ]
}
},
"rx_fifo.mem_q.0.3": {
"hide_name": 0,
"type": "SB_RAM40_4K",
"parameters": {
"INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
"READ_MODE": "10",
"WRITE_MODE": "10"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765"
},
"port_directions": {
"MASK": "input",
"RADDR": "input",
"RCLK": "input",
"RCLKE": "input",
"RDATA": "output",
"RE": "input",
"WADDR": "input",
"WCLK": "input",
"WCLKE": "input",
"WDATA": "input",
"WE": "input"
},
"connections": {
"MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"RADDR": [ 456, 455, 464, 463, 469, 468, 461, 459, 479, 533, "0" ],
"RCLK": [ 66 ],
"RCLKE": [ 534 ],
"RDATA": [ 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700 ],
"RE": [ "1" ],
"WADDR": [ 551, 552, 553, 554, 555, 556, 557, 558, 512, 559, "0" ],
"WCLK": [ 157 ],
"WCLKE": [ 491 ],
"WDATA": [ "0", 701, "0", "0", "0", 702, "0", "0", "0", 703, "0", "0", "0", 704, "0", "0" ],
"WE": [ "1" ]
}
},
"rx_fifo.mem_q.0.3_WDATA_1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 295 ],
"I2": [ 219 ],
"I3": [ 518 ],
"O": [ 703 ]
}
},
"rx_fifo.mem_q.0.3_WDATA_2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 222 ],
"I2": [ 298 ],
"I3": [ 518 ],
"O": [ 702 ]
}
},
"rx_fifo.mem_q.0.3_WDATA_3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000011001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 216 ],
"I2": [ 292 ],
"I3": [ 518 ],
"O": [ 701 ]
}
},
"rx_fifo.mem_q.0.3_WDATA_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 300 ],
"I2": [ 224 ],
"I3": [ 518 ],
"O": [ 704 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 705 ],
"E": [ 706 ],
"Q": [ 461 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 707 ],
"E": [ 706 ],
"Q": [ 468 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 708 ],
"E": [ 706 ],
"Q": [ 469 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 708 ],
"I3": [ 707 ],
"O": [ 709 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 707 ],
"I3": [ 705 ],
"O": [ 710 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 469 ],
"I3": [ 711 ],
"O": [ 708 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 711 ],
"CO": [ 712 ],
"I0": [ "0" ],
"I1": [ 469 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 712 ],
"CO": [ 713 ],
"I0": [ "0" ],
"I1": [ 468 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 713 ],
"CO": [ 714 ],
"I0": [ "0" ],
"I1": [ 461 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 459 ],
"I3": [ 714 ],
"O": [ 715 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 705 ],
"I3": [ 715 ],
"O": [ 716 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 479 ],
"I3": [ 451 ],
"O": [ 717 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 468 ],
"I3": [ 712 ],
"O": [ 707 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 461 ],
"I3": [ 713 ],
"O": [ 705 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 718 ],
"CO": [ 711 ],
"I0": [ "0" ],
"I1": [ 463 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 719 ],
"E": [ 706 ],
"Q": [ 463 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_3_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 719 ],
"I3": [ 708 ],
"O": [ 720 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 463 ],
"I3": [ 718 ],
"O": [ 719 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 721 ],
"CO": [ 718 ],
"I0": [ "0" ],
"I1": [ 464 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 722 ],
"E": [ 706 ],
"Q": [ 464 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 722 ],
"I3": [ 719 ],
"O": [ 723 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000000001000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 458 ],
"I1": [ 717 ],
"I2": [ 534 ],
"I3": [ 715 ],
"O": [ 724 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001001000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 473 ],
"I1": [ 470 ],
"I2": [ 709 ],
"I3": [ 710 ],
"O": [ 725 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 464 ],
"I3": [ 721 ],
"O": [ 722 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 726 ],
"CO": [ 721 ],
"I0": [ "0" ],
"I1": [ 455 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 727 ],
"E": [ 706 ],
"Q": [ 455 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 727 ],
"I3": [ 722 ],
"O": [ 728 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001001000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 481 ],
"I1": [ 483 ],
"I2": [ 728 ],
"I3": [ 720 ],
"O": [ 447 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 462 ],
"I1": [ 454 ],
"I2": [ 467 ],
"I3": [ 477 ],
"O": [ 448 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 460 ],
"I2": [ 729 ],
"I3": [ 716 ],
"O": [ 449 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1001000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 465 ],
"I1": [ 723 ],
"I2": [ 724 ],
"I3": [ 725 ],
"O": [ 450 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 730 ],
"I3": [ 727 ],
"O": [ 731 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I3_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001001000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 457 ],
"I1": [ 478 ],
"I2": [ 732 ],
"I3": [ 731 ],
"O": [ 729 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 452 ],
"I3": [ 730 ],
"O": [ 732 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 455 ],
"I3": [ 726 ],
"O": [ 727 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 733 ],
"CO": [ 726 ],
"I0": [ "0" ],
"I1": [ 456 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 533 ],
"CO": [ 733 ],
"I0": [ "0" ],
"I1": [ 479 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 456 ],
"I3": [ 733 ],
"O": [ 730 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 479 ],
"I3": [ 533 ],
"O": [ 452 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 730 ],
"E": [ 706 ],
"Q": [ 456 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 452 ],
"E": [ 706 ],
"Q": [ 479 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 734 ],
"E": [ 706 ],
"Q": [ 533 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_8_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 533 ],
"O": [ 734 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 715 ],
"E": [ 706 ],
"Q": [ 459 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 716 ],
"E": [ 706 ],
"Q": [ 735 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 710 ],
"E": [ 706 ],
"Q": [ 736 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 709 ],
"E": [ 706 ],
"Q": [ 737 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 720 ],
"E": [ 706 ],
"Q": [ 738 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 723 ],
"E": [ 706 ],
"Q": [ 739 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 728 ],
"E": [ 706 ],
"Q": [ 740 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 731 ],
"E": [ 706 ],
"Q": [ 741 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 732 ],
"E": [ 706 ],
"Q": [ 742 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 743 ],
"E": [ 706 ],
"Q": [ 744 ],
"R": [ 64 ]
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 479 ],
"O": [ 743 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 459 ],
"Q": [ 745 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 735 ],
"Q": [ 746 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 736 ],
"Q": [ 747 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 737 ],
"Q": [ 748 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 738 ],
"Q": [ 749 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 739 ],
"Q": [ 750 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 740 ],
"Q": [ 751 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_7": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 741 ],
"Q": [ 752 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_8": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 742 ],
"Q": [ 753 ]
}
},
"rx_fifo.rd_addr_gray_wr_SB_DFF_Q_9": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 744 ],
"Q": [ 754 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 745 ],
"Q": [ 490 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 746 ],
"Q": [ 755 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 747 ],
"Q": [ 496 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 748 ],
"Q": [ 756 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 749 ],
"Q": [ 757 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 750 ],
"Q": [ 758 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 751 ],
"Q": [ 759 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 752 ],
"Q": [ 760 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_8": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 753 ],
"Q": [ 508 ]
}
},
"rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_9": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 754 ],
"Q": [ 513 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 761 ],
"E": [ 564 ],
"Q": [ 557 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 762 ],
"E": [ 564 ],
"Q": [ 556 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 763 ],
"E": [ 564 ],
"Q": [ 555 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 764 ],
"E": [ 564 ],
"Q": [ 554 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 765 ],
"E": [ 564 ],
"Q": [ 553 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 766 ],
"E": [ 564 ],
"Q": [ 552 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 767 ],
"E": [ 564 ],
"Q": [ 551 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 768 ],
"E": [ 564 ],
"Q": [ 512 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 768 ],
"I3": [ 767 ],
"O": [ 509 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010100000111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 490 ],
"I1": [ 513 ],
"I2": [ 768 ],
"I3": [ 769 ],
"O": [ 770 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 551 ],
"I1": [ 508 ],
"I2": [ 491 ],
"I3": [ 770 ],
"O": [ 506 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110011000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 756 ],
"I2": [ 771 ],
"I3": [ 772 ],
"O": [ 504 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 773 ],
"I3": [ 774 ],
"O": [ 505 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 757 ],
"I1": [ 775 ],
"I2": [ 771 ],
"I3": [ 776 ],
"O": [ 773 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 758 ],
"I1": [ 777 ],
"I2": [ 775 ],
"I3": [ 778 ],
"O": [ 774 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 552 ],
"I3": [ 779 ],
"O": [ 780 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 553 ],
"I3": [ 781 ],
"O": [ 777 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 779 ],
"CO": [ 781 ],
"I0": [ "0" ],
"I1": [ 552 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 512 ],
"CO": [ 779 ],
"I0": [ "0" ],
"I1": [ 551 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 554 ],
"I3": [ 782 ],
"O": [ 775 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110011000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 759 ],
"I2": [ 780 ],
"I3": [ 777 ],
"O": [ 778 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 781 ],
"CO": [ 782 ],
"I0": [ "0" ],
"I1": [ 553 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000010010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 772 ],
"I1": [ 783 ],
"I2": [ 784 ],
"I3": [ 785 ],
"O": [ 507 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 555 ],
"I3": [ 786 ],
"O": [ 771 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110011000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 760 ],
"I2": [ 787 ],
"I3": [ 780 ],
"O": [ 776 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 551 ],
"I3": [ 512 ],
"O": [ 787 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 786 ],
"CO": [ 788 ],
"I0": [ "0" ],
"I1": [ 555 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 788 ],
"CO": [ 789 ],
"I0": [ "0" ],
"I1": [ 556 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 789 ],
"CO": [ 790 ],
"I0": [ "0" ],
"I1": [ 557 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 557 ],
"I3": [ 789 ],
"O": [ 791 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 558 ],
"I3": [ 790 ],
"O": [ 769 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 556 ],
"I3": [ 788 ],
"O": [ 772 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 490 ],
"I2": [ 755 ],
"I3": [ 791 ],
"O": [ 783 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 496 ],
"I3": [ 791 ],
"O": [ 784 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 755 ],
"I2": [ 791 ],
"I3": [ 769 ],
"O": [ 785 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 782 ],
"CO": [ 786 ],
"I0": [ "0" ],
"I1": [ 554 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 760 ],
"I2": [ 767 ],
"I3": [ 766 ],
"O": [ 510 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 759 ],
"I2": [ 766 ],
"I3": [ 765 ],
"O": [ 511 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 512 ],
"I3": [ 559 ],
"O": [ 768 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 792 ],
"E": [ 564 ],
"Q": [ 559 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_8_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 559 ],
"O": [ 792 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 557 ],
"I3": [ 793 ],
"O": [ 761 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 793 ],
"CO": [ 794 ],
"I0": [ "0" ],
"I1": [ 557 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 558 ],
"I3": [ 794 ],
"O": [ 492 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 756 ],
"I2": [ 763 ],
"I3": [ 762 ],
"O": [ 795 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 796 ],
"CO": [ 793 ],
"I0": [ "0" ],
"I1": [ 556 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 797 ],
"CO": [ 796 ],
"I0": [ "0" ],
"I1": [ 555 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 798 ],
"CO": [ 797 ],
"I0": [ "0" ],
"I1": [ 554 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 799 ],
"CO": [ 798 ],
"I0": [ "0" ],
"I1": [ 553 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 800 ],
"CO": [ 799 ],
"I0": [ "0" ],
"I1": [ 552 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 801 ],
"CO": [ 800 ],
"I0": [ "0" ],
"I1": [ 551 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 559 ],
"CO": [ 801 ],
"I0": [ "0" ],
"I1": [ 512 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 552 ],
"I3": [ 800 ],
"O": [ 766 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 551 ],
"I3": [ 801 ],
"O": [ 767 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 554 ],
"I3": [ 798 ],
"O": [ 764 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 765 ],
"I3": [ 764 ],
"O": [ 802 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 553 ],
"I3": [ 799 ],
"O": [ 765 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 556 ],
"I3": [ 796 ],
"O": [ 762 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 763 ],
"I3": [ 762 ],
"O": [ 803 ]
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 555 ],
"I3": [ 797 ],
"O": [ 763 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 492 ],
"E": [ 564 ],
"Q": [ 558 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 804 ],
"E": [ 564 ],
"Q": [ 805 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 761 ],
"I3": [ 492 ],
"O": [ 804 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 497 ],
"E": [ 564 ],
"Q": [ 806 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 803 ],
"E": [ 564 ],
"Q": [ 807 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 808 ],
"E": [ 564 ],
"Q": [ 809 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 757 ],
"I3": [ 808 ],
"O": [ 501 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0001001100110001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 755 ],
"I1": [ 810 ],
"I2": [ 761 ],
"I3": [ 492 ],
"O": [ 500 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010001011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 756 ],
"I1": [ 758 ],
"I2": [ 802 ],
"I3": [ 803 ],
"O": [ 502 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011101011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 755 ],
"I1": [ 761 ],
"I2": [ 492 ],
"I3": [ 795 ],
"O": [ 503 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 760 ],
"I2": [ 767 ],
"I3": [ 766 ],
"O": [ 810 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 764 ],
"I3": [ 763 ],
"O": [ 808 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 802 ],
"E": [ 564 ],
"Q": [ 811 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 812 ],
"E": [ 564 ],
"Q": [ 813 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 759 ],
"I3": [ 812 ],
"O": [ 498 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 762 ],
"I3": [ 761 ],
"O": [ 497 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 758 ],
"I3": [ 802 ],
"O": [ 499 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 766 ],
"I3": [ 765 ],
"O": [ 812 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 814 ],
"E": [ 564 ],
"Q": [ 815 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 767 ],
"I3": [ 766 ],
"O": [ 814 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 509 ],
"E": [ 564 ],
"Q": [ 816 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 817 ],
"E": [ 564 ],
"Q": [ 818 ],
"R": [ 64 ]
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 512 ],
"O": [ 817 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 558 ],
"Q": [ 819 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 805 ],
"Q": [ 820 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 806 ],
"Q": [ 821 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 807 ],
"Q": [ 822 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 809 ],
"Q": [ 823 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 811 ],
"Q": [ 824 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 813 ],
"Q": [ 825 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_7": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 815 ],
"Q": [ 826 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_8": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 816 ],
"Q": [ 827 ]
}
},
"rx_fifo.wr_addr_gray_rd_SB_DFF_Q_9": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 818 ],
"Q": [ 828 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 819 ],
"Q": [ 458 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 820 ],
"Q": [ 460 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 821 ],
"Q": [ 473 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 822 ],
"Q": [ 470 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 823 ],
"Q": [ 481 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 824 ],
"Q": [ 465 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 825 ],
"Q": [ 483 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_7": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 826 ],
"Q": [ 457 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_8": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 827 ],
"Q": [ 478 ]
}
},
"rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_9": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 828 ],
"Q": [ 451 ]
}
},
"smi_ctrl_ins.i_cs_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 829 ],
"E": [ 68 ],
"Q": [ 441 ],
"R": [ 70 ]
}
},
"smi_ctrl_ins.i_cs_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 71 ],
"I3": [ 72 ],
"O": [ 829 ]
}
},
"smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q": {
"hide_name": 0,
"type": "SB_DFFNSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 831 ],
"Q": [ 61 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1": {
"hide_name": 0,
"type": "SB_DFFNSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 832 ],
"Q": [ 62 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 62 ],
"O": [ 832 ]
}
},
"smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 831 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 518 ],
"E": [ 833 ],
"Q": [ 428 ],
"R": [ 96 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 400 ],
"E": [ 833 ],
"Q": [ 434 ],
"R": [ 96 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESS_Q": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 401 ],
"E": [ 833 ],
"Q": [ 439 ],
"S": [ 96 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 441 ],
"I1": [ 97 ],
"I2": [ 130 ],
"I3": [ 98 ],
"O": [ 833 ]
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 206 ],
"I2": [ 207 ],
"I3": [ 208 ],
"O": [ 98 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 834 ],
"E": [ 3 ],
"Q": [ 835 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 836 ],
"E": [ 3 ],
"Q": [ 837 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 838 ],
"I3": [ 839 ],
"O": [ 836 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 840 ],
"I1": [ 841 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 838 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 842 ],
"I1": [ 843 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 839 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 844 ],
"E": [ 3 ],
"Q": [ 845 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 846 ],
"I3": [ 847 ],
"O": [ 844 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 848 ],
"I1": [ 849 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 846 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 850 ],
"I1": [ 851 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 847 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 852 ],
"E": [ 3 ],
"Q": [ 853 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 854 ],
"I3": [ 855 ],
"O": [ 852 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 856 ],
"I1": [ 857 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 854 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 858 ],
"I1": [ 859 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 855 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 860 ],
"E": [ 3 ],
"Q": [ 861 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 862 ],
"I3": [ 863 ],
"O": [ 860 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010001100100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 864 ],
"I1": [ 61 ],
"I2": [ 62 ],
"I3": [ 865 ],
"O": [ 862 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 866 ],
"I1": [ 867 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 863 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 868 ],
"E": [ 3 ],
"Q": [ 869 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 870 ],
"I3": [ 871 ],
"O": [ 868 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010001100100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 872 ],
"I1": [ 61 ],
"I2": [ 62 ],
"I3": [ 873 ],
"O": [ 870 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 874 ],
"I1": [ 875 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 871 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 876 ],
"E": [ 3 ],
"Q": [ 877 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 878 ],
"I3": [ 879 ],
"O": [ 876 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010001100100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 880 ],
"I1": [ 61 ],
"I2": [ 62 ],
"I3": [ 881 ],
"O": [ 878 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 882 ],
"I1": [ 883 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 879 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 884 ],
"E": [ 3 ],
"Q": [ 885 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 886 ],
"I3": [ 887 ],
"O": [ 884 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010001100100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 888 ],
"I1": [ 61 ],
"I2": [ 62 ],
"I3": [ 889 ],
"O": [ 886 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 890 ],
"I1": [ 891 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 887 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 892 ],
"I3": [ 893 ],
"O": [ 834 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000101000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 894 ],
"I1": [ 895 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 892 ]
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 896 ],
"I1": [ 897 ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 893 ]
}
},
"smi_ctrl_ins.r_dir_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 89 ],
"E": [ 898 ],
"Q": [ 402 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_dir_SB_DFFER_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 441 ],
"I1": [ 94 ],
"I2": [ 95 ],
"I3": [ 51 ],
"O": [ 898 ]
}
},
"smi_ctrl_ins.r_fifo_pull_1_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:154.5-163.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 899 ],
"Q": [ 900 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 401 ],
"I2": [ 900 ],
"I3": [ 899 ],
"O": [ 534 ]
}
},
"smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I2_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 534 ],
"O": [ 706 ]
}
},
"smi_ctrl_ins.r_fifo_pull_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:154.5-163.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 901 ],
"Q": [ 899 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 618 ],
"E": [ 63 ],
"Q": [ 896 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 610 ],
"E": [ 63 ],
"Q": [ 842 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_10": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 574 ],
"E": [ 63 ],
"Q": [ 851 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_11": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 566 ],
"E": [ 63 ],
"Q": [ 859 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_12": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 548 ],
"E": [ 63 ],
"Q": [ 867 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_13": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 540 ],
"E": [ 63 ],
"Q": [ 875 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_14": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 544 ],
"E": [ 63 ],
"Q": [ 883 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_15": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 536 ],
"E": [ 63 ],
"Q": [ 891 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_16": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 698 ],
"E": [ 63 ],
"Q": [ 894 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_17": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 690 ],
"E": [ 63 ],
"Q": [ 840 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_18": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 694 ],
"E": [ 63 ],
"Q": [ 848 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_19": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 686 ],
"E": [ 63 ],
"Q": [ 856 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 614 ],
"E": [ 63 ],
"Q": [ 850 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_20": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 678 ],
"E": [ 63 ],
"Q": [ 864 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_21": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 670 ],
"E": [ 63 ],
"Q": [ 872 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_22": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 674 ],
"E": [ 63 ],
"Q": [ 880 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_23": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 666 ],
"E": [ 63 ],
"Q": [ 888 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_24": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 658 ],
"E": [ 63 ],
"Q": [ 895 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_25": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 650 ],
"E": [ 63 ],
"Q": [ 841 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_26": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 654 ],
"E": [ 63 ],
"Q": [ 849 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_27": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 646 ],
"E": [ 63 ],
"Q": [ 857 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_28": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 638 ],
"E": [ 63 ],
"Q": [ 865 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_29": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 630 ],
"E": [ 63 ],
"Q": [ 873 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 606 ],
"E": [ 63 ],
"Q": [ 858 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_30": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 634 ],
"E": [ 63 ],
"Q": [ 881 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_31": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 626 ],
"E": [ 63 ],
"Q": [ 889 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 598 ],
"E": [ 63 ],
"Q": [ 866 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 590 ],
"E": [ 63 ],
"Q": [ 874 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 594 ],
"E": [ 63 ],
"Q": [ 882 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 586 ],
"E": [ 63 ],
"Q": [ 890 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 578 ],
"E": [ 63 ],
"Q": [ 897 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 830 ],
"D": [ 570 ],
"E": [ 63 ],
"Q": [ 843 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:271.5-280.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 902 ],
"Q": [ 903 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 400 ],
"I2": [ 902 ],
"I3": [ 903 ],
"O": [ 904 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 904 ],
"O": [ 905 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 906 ],
"I2": [ 907 ],
"I3": [ 904 ],
"O": [ 908 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010100000111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 909 ],
"I1": [ 910 ],
"I2": [ 911 ],
"I3": [ 912 ],
"O": [ 913 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011001101011010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 914 ],
"I1": [ 915 ],
"I2": [ 916 ],
"I3": [ 917 ],
"O": [ 918 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 919 ],
"I3": [ 920 ],
"O": [ 917 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110011000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 921 ],
"I2": [ 922 ],
"I3": [ 920 ],
"O": [ 923 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 924 ],
"I3": [ 925 ],
"O": [ 922 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 926 ],
"I1": [ 916 ],
"I2": [ 927 ],
"I3": [ 923 ],
"O": [ 928 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 929 ],
"I3": [ 928 ],
"O": [ 930 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 931 ],
"I2": [ 932 ],
"I3": [ 933 ],
"O": [ 934 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 935 ],
"I1": [ 936 ],
"I2": [ 937 ],
"I3": [ 938 ],
"O": [ 939 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000100000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 908 ],
"I1": [ 913 ],
"I2": [ 918 ],
"I3": [ 940 ],
"O": [ 941 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0010100000111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 909 ],
"I1": [ 942 ],
"I2": [ 910 ],
"I3": [ 943 ],
"O": [ 931 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 944 ],
"I1": [ 945 ],
"I2": [ 922 ],
"I3": [ 946 ],
"O": [ 929 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 906 ],
"I3": [ 942 ],
"O": [ 947 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011110011000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 948 ],
"I2": [ 947 ],
"I3": [ 945 ],
"O": [ 946 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 949 ],
"I3": [ 950 ],
"O": [ 920 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 950 ],
"CO": [ 951 ],
"I0": [ "0" ],
"I1": [ 949 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 951 ],
"CO": [ 952 ],
"I0": [ "0" ],
"I1": [ 953 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 952 ],
"CO": [ 954 ],
"I0": [ "0" ],
"I1": [ 955 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 954 ],
"CO": [ 956 ],
"I0": [ "0" ],
"I1": [ 957 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 953 ],
"I3": [ 951 ],
"O": [ 915 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 955 ],
"I3": [ 952 ],
"O": [ 916 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001010111110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 909 ],
"I1": [ 958 ],
"I2": [ 927 ],
"I3": [ 912 ],
"O": [ 959 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 925 ],
"CO": [ 950 ],
"I0": [ "0" ],
"I1": [ 924 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 960 ],
"CO": [ 925 ],
"I0": [ "0" ],
"I1": [ 961 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 942 ],
"CO": [ 960 ],
"I0": [ "0" ],
"I1": [ 906 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 961 ],
"I3": [ 960 ],
"O": [ 945 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001111011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 914 ],
"I1": [ 915 ],
"I2": [ 916 ],
"I3": [ 959 ],
"O": [ 940 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 957 ],
"I3": [ 954 ],
"O": [ 927 ]
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 962 ],
"I3": [ 956 ],
"O": [ 912 ]
}
},
"smi_ctrl_ins.r_fifo_push_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:271.5-280.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 963 ],
"Q": [ 902 ],
"R": [ 64 ]
}
},
"smi_ctrl_ins.soe_and_reset_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 33 ],
"I3": [ 3 ],
"O": [ 830 ]
}
},
"smi_ctrl_ins.swe_and_reset_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 24 ],
"I3": [ 3 ],
"O": [ 964 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 964 ],
"D": [ 965 ],
"Q": [ 966 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 964 ],
"D": [ 967 ],
"Q": [ 968 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 969 ],
"I3": [ 970 ],
"O": [ 967 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 964 ],
"D": [ 971 ],
"Q": [ 972 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 969 ],
"I3": [ 968 ],
"O": [ 971 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 964 ],
"D": [ 973 ],
"Q": [ 970 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 974 ],
"I3": [ 975 ],
"O": [ 973 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000100000001010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 3 ],
"I1": [ 969 ],
"I2": [ 966 ],
"I3": [ 970 ],
"O": [ 974 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 969 ],
"I2": [ 968 ],
"I3": [ 972 ],
"O": [ 975 ]
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 969 ],
"I3": [ 972 ],
"O": [ 965 ]
}
},
"smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q": {
"hide_name": 0,
"type": "SB_DFFNE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:124.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 830 ],
"D": [ 976 ],
"E": [ 3 ],
"Q": [ 901 ]
}
},
"smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 61 ],
"I3": [ 62 ],
"O": [ 976 ]
}
},
"smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q": {
"hide_name": 0,
"type": "SB_DFFNSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "smi_ctrl.v:189.5-269.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 964 ],
"D": [ 977 ],
"Q": [ 963 ],
"R": [ 978 ]
}
},
"smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 969 ],
"O": [ 977 ]
}
},
"smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011001100111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 966 ],
"I3": [ 970 ],
"O": [ 978 ]
}
},
"smi_io0": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:526.5-531.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 979 ],
"D_OUT_0": [ 885 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 34 ]
}
},
"smi_io1": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:535.5-540.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 980 ],
"D_OUT_0": [ 877 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 35 ]
}
},
"smi_io2": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:544.5-549.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 981 ],
"D_OUT_0": [ 869 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 36 ]
}
},
"smi_io3": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:553.5-558.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 982 ],
"D_OUT_0": [ 861 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 37 ]
}
},
"smi_io4": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:562.5-567.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 983 ],
"D_OUT_0": [ 853 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 38 ]
}
},
"smi_io5": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:571.5-576.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 984 ],
"D_OUT_0": [ 845 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 39 ]
}
},
"smi_io6": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:580.5-585.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 985 ],
"D_OUT_0": [ 837 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 40 ]
}
},
"smi_io7": {
"hide_name": 0,
"type": "SB_IO",
"parameters": {
"PIN_TYPE": "101001",
"PULLUP": "0"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "top.v:589.5-594.4"
},
"port_directions": {
"CLOCK_ENABLE": "input",
"D_IN_0": "output",
"D_OUT_0": "input",
"OUTPUT_ENABLE": "input",
"PACKAGE_PIN": "inout"
},
"connections": {
"CLOCK_ENABLE": [ "1" ],
"D_IN_0": [ 969 ],
"D_OUT_0": [ 835 ],
"OUTPUT_ENABLE": [ 402 ],
"PACKAGE_PIN": [ 41 ]
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 986 ],
"E": [ 68 ],
"Q": [ 440 ],
"R": [ 70 ]
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 71 ],
"I3": [ 72 ],
"O": [ 986 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111011101000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 440 ],
"I1": [ 441 ],
"I2": [ 69 ],
"I3": [ 197 ],
"O": [ 987 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000010111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 440 ],
"I1": [ 441 ],
"I2": [ 69 ],
"I3": [ 197 ],
"O": [ 410 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_1_O_SB_DFFER_Q": {
"hide_name": 0,
"type": "SB_DFFER",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 191 ],
"E": [ 200 ],
"Q": [ 415 ],
"R": [ 64 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 126 ],
"I2": [ 411 ],
"I3": [ 426 ],
"O": [ 416 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 440 ],
"I1": [ 441 ],
"I2": [ 69 ],
"I3": [ 197 ],
"O": [ 426 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 440 ],
"I1": [ 441 ],
"I2": [ 69 ],
"I3": [ 197 ],
"O": [ 411 ]
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 987 ],
"O": [ 405 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 988 ],
"E": [ 989 ],
"Q": [ 131 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 71 ],
"E": [ 989 ],
"Q": [ 134 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 72 ],
"E": [ 989 ],
"Q": [ 135 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 990 ],
"E": [ 989 ],
"Q": [ 91 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 991 ],
"E": [ 989 ],
"Q": [ 92 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 992 ],
"E": [ 989 ],
"Q": [ 84 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 993 ],
"E": [ 989 ],
"Q": [ 87 ]
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 994 ],
"E": [ 989 ],
"Q": [ 89 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 995 ],
"E": [ 996 ],
"Q": [ 95 ],
"R": [ 997 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 998 ],
"I3": [ 999 ],
"O": [ 995 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0011000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 998 ],
"I2": [ 1000 ],
"I3": [ 1001 ],
"O": [ 1002 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010100000100000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 3 ],
"I1": [ 1003 ],
"I2": [ 1004 ],
"I3": [ 1005 ],
"O": [ 996 ]
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 988 ],
"I2": [ 998 ],
"I3": [ 999 ],
"O": [ 1005 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 990 ],
"E": [ 68 ],
"Q": [ 206 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 991 ],
"E": [ 68 ],
"Q": [ 207 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 992 ],
"E": [ 68 ],
"Q": [ 208 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 993 ],
"E": [ 68 ],
"Q": [ 97 ]
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 994 ],
"E": [ 68 ],
"Q": [ 56 ]
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 999 ],
"E": [ 1006 ],
"Q": [ 94 ],
"R": [ 997 ]
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1000 ],
"I3": [ 1001 ],
"O": [ 999 ]
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1007 ],
"I2": [ 1008 ],
"I3": [ 1009 ],
"O": [ 1006 ]
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_R_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1003 ],
"O": [ 997 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 406 ],
"E": [ 1010 ],
"Q": [ 1011 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 408 ],
"E": [ 1010 ],
"Q": [ 1012 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 414 ],
"E": [ 1010 ],
"Q": [ 1013 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 418 ],
"E": [ 1010 ],
"Q": [ 1014 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 421 ],
"E": [ 1010 ],
"Q": [ 1015 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 424 ],
"E": [ 1010 ],
"Q": [ 1016 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 431 ],
"E": [ 1010 ],
"Q": [ 1017 ]
}
},
"spi_if_ins.r_tx_byte_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 436 ],
"E": [ 1010 ],
"Q": [ 1018 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1007 ],
"E": [ 1019 ],
"Q": [ 1020 ],
"R": [ 1003 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0101011100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1003 ],
"I1": [ 998 ],
"I2": [ 999 ],
"I3": [ 1008 ],
"O": [ 1019 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 45 ],
"I3": [ 1020 ],
"O": [ 1021 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 45 ],
"I3": [ 1020 ],
"O": [ 1022 ]
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1021 ],
"I2": [ 1023 ],
"I3": [ 1024 ],
"O": [ 1025 ]
}
},
"spi_if_ins.spi.SCKr_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:62.3-62.62|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1026 ],
"Q": [ 1027 ]
}
},
"spi_if_ins.spi.SCKr_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:62.3-62.62|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1028 ],
"Q": [ 1026 ]
}
},
"spi_if_ins.spi.SCKr_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:62.3-62.62|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 44 ],
"Q": [ 1028 ]
}
},
"spi_if_ins.spi.SCKr_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111111100110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1027 ],
"I2": [ 1026 ],
"I3": [ 1021 ],
"O": [ 1024 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1029 ],
"E": [ 1030 ],
"Q": [ 988 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1031 ],
"E": [ 1030 ],
"Q": [ 71 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1032 ],
"E": [ 1030 ],
"Q": [ 72 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1033 ],
"E": [ 1030 ],
"Q": [ 990 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1034 ],
"E": [ 1030 ],
"Q": [ 991 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1035 ],
"E": [ 1030 ],
"Q": [ 992 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1036 ],
"E": [ 1030 ],
"Q": [ 993 ]
}
},
"spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1037 ],
"E": [ 1030 ],
"Q": [ 994 ]
}
},
"spi_if_ins.spi.o_rx_data_valid_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1030 ],
"Q": [ 1003 ]
}
},
"spi_if_ins.spi.o_rx_data_valid_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 3 ],
"I1": [ 1003 ],
"I2": [ 998 ],
"I3": [ 999 ],
"O": [ 68 ]
}
},
"spi_if_ins.spi.o_rx_data_valid_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 1003 ],
"I3": [ 1002 ],
"O": [ 989 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1038 ],
"E": [ 1024 ],
"Q": [ 399 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000011001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1011 ],
"I2": [ 1021 ],
"I3": [ 1039 ],
"O": [ 1038 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010100011111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1040 ],
"I1": [ 1041 ],
"I2": [ 1042 ],
"I3": [ 1043 ],
"O": [ 1039 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0101001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1044 ],
"I1": [ 1045 ],
"I2": [ 1046 ],
"I3": [ 1047 ],
"O": [ 1041 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001010011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 1048 ],
"I1": [ 1049 ],
"I2": [ 1046 ],
"I3": [ 1047 ],
"O": [ 1042 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1111110000110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1046 ],
"I2": [ 1050 ],
"I3": [ 1051 ],
"O": [ 1043 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2_I2_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1052 ],
"I2": [ 1053 ],
"I3": [ 1047 ],
"O": [ 1050 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1054 ],
"I2": [ 1055 ],
"I3": [ 1047 ],
"O": [ 1051 ]
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000000011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1040 ],
"I2": [ 1046 ],
"I3": [ 1047 ],
"O": [ 1023 ]
}
},
"spi_if_ins.spi.r2_rx_done_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1056 ],
"Q": [ 1057 ]
}
},
"spi_if_ins.spi.r3_rx_done_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1057 ],
"Q": [ 1058 ]
}
},
"spi_if_ins.spi.r3_rx_done_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1058 ],
"I3": [ 1057 ],
"O": [ 1030 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1059 ],
"Q": [ 1060 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1061 ],
"Q": [ 1062 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1062 ],
"I3": [ 1063 ],
"O": [ 1061 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1064 ],
"Q": [ 1063 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1063 ],
"O": [ 1064 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1060 ],
"I3": [ 1065 ],
"O": [ 1059 ]
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1063 ],
"CO": [ 1065 ],
"I0": [ "0" ],
"I1": [ 1062 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1066 ],
"E": [ 1067 ],
"Q": [ 1029 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1068 ],
"E": [ 1067 ],
"Q": [ 1031 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1069 ],
"E": [ 1067 ],
"Q": [ 1032 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1070 ],
"E": [ 1067 ],
"Q": [ 1033 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1071 ],
"E": [ 1067 ],
"Q": [ 1034 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1072 ],
"E": [ 1067 ],
"Q": [ 1035 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1073 ],
"E": [ 1067 ],
"Q": [ 1036 ]
}
},
"spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_7": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 43 ],
"E": [ 1067 ],
"Q": [ 1037 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 44 ],
"D": [ 1074 ],
"E": [ 1075 ],
"Q": [ 1056 ],
"R": [ 45 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 45 ],
"I3": [ 1074 ],
"O": [ 1067 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1060 ],
"I2": [ 1062 ],
"I3": [ 1063 ],
"O": [ 1074 ]
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110101010111010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 45 ],
"I1": [ 1060 ],
"I2": [ 1062 ],
"I3": [ 1063 ],
"O": [ 1075 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1068 ],
"E": [ 65 ],
"Q": [ 1066 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_1": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1069 ],
"E": [ 65 ],
"Q": [ 1068 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_2": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1070 ],
"E": [ 65 ],
"Q": [ 1069 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_3": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1071 ],
"E": [ 65 ],
"Q": [ 1070 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_4": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1072 ],
"E": [ 65 ],
"Q": [ 1071 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_5": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 1073 ],
"E": [ 65 ],
"Q": [ 1072 ]
}
},
"spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_6": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 44 ],
"D": [ 43 ],
"E": [ 65 ],
"Q": [ 1073 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1076 ],
"E": [ 1024 ],
"Q": [ 1047 ],
"R": [ 1021 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1047 ],
"O": [ 1076 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1077 ],
"E": [ 1024 ],
"Q": [ 1040 ],
"S": [ 1021 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1": {
"hide_name": 0,
"type": "SB_DFFESS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1078 ],
"E": [ 1024 ],
"Q": [ 1046 ],
"S": [ 1021 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1046 ],
"I2": [ "1" ],
"I3": [ 1047 ],
"O": [ 1078 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1040 ],
"I2": [ "1" ],
"I3": [ 1079 ],
"O": [ 1077 ]
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1047 ],
"CO": [ 1079 ],
"I0": [ 1046 ],
"I1": [ "1" ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1011 ],
"E": [ 1025 ],
"Q": [ 1044 ],
"R": [ 1022 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1012 ],
"E": [ 1025 ],
"Q": [ 1048 ],
"R": [ 1022 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1013 ],
"E": [ 1025 ],
"Q": [ 1045 ],
"R": [ 1022 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1014 ],
"E": [ 1025 ],
"Q": [ 1049 ],
"R": [ 1022 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1015 ],
"E": [ 1025 ],
"Q": [ 1054 ],
"R": [ 1022 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1016 ],
"E": [ 1025 ],
"Q": [ 1055 ],
"R": [ 1022 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1017 ],
"E": [ 1025 ],
"Q": [ 1052 ],
"R": [ 1022 ]
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1018 ],
"E": [ 1025 ],
"Q": [ 1053 ],
"R": [ 1022 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1080 ],
"E": [ 1081 ],
"Q": [ 998 ],
"R": [ 64 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1082 ],
"E": [ 1081 ],
"Q": [ 1000 ],
"R": [ 64 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 988 ],
"I2": [ 1004 ],
"I3": [ 1083 ],
"O": [ 1082 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1083 ],
"E": [ 1081 ],
"Q": [ 1001 ],
"R": [ 64 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000110000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1003 ],
"I2": [ 1002 ],
"I3": [ 995 ],
"O": [ 1083 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 3 ],
"I2": [ 1007 ],
"I3": [ 1080 ],
"O": [ 1010 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1003 ],
"I3": [ 1004 ],
"O": [ 1080 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 1004 ],
"O": [ 1008 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 998 ],
"I2": [ 1000 ],
"I3": [ 1001 ],
"O": [ 1007 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 1003 ],
"I2": [ 999 ],
"I3": [ 1002 ],
"O": [ 1009 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 998 ],
"I2": [ 1000 ],
"I3": [ 1001 ],
"O": [ 1004 ]
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_E_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0101010111011111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 3 ],
"I1": [ 1007 ],
"I2": [ 1080 ],
"I3": [ 1009 ],
"O": [ 1081 ]
}
},
"sys_ctrl_ins.i_cs_SB_DFFE_Q": {
"hide_name": 0,
"type": "SB_DFFE",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 70 ],
"E": [ 68 ],
"Q": [ 197 ]
}
},
"sys_ctrl_ins.i_cs_SB_DFFE_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000001111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 71 ],
"I3": [ 72 ],
"O": [ 70 ]
}
},
"tx_fifo.empty_o_SB_DFFNSS_Q": {
"hide_name": 0,
"type": "SB_DFFNSS",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:84.2-92.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:18.59-18.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"S": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1084 ],
"Q": [ 352 ],
"S": [ 64 ]
}
},
"tx_fifo.empty_o_SB_DFFNSS_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110101010101010"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 363 ],
"I1": [ 367 ],
"I2": [ 358 ],
"I3": [ 372 ],
"O": [ 1084 ]
}
},
"tx_fifo.empty_o_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0100010000000100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 351 ],
"I1": [ 352 ],
"I2": [ 353 ],
"I3": [ 384 ],
"O": [ 1085 ]
}
},
"tx_fifo.empty_o_SB_LUT4_I1_O_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000010010000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 394 ],
"I1": [ 1086 ],
"I2": [ 1085 ],
"I3": [ 1087 ],
"O": [ 392 ]
}
},
"tx_fifo.empty_o_SB_LUT4_I1_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 380 ],
"I3": [ 1088 ],
"O": [ 1086 ]
}
},
"tx_fifo.empty_o_SB_LUT4_I1_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 385 ],
"I2": [ 386 ],
"I3": [ 387 ],
"O": [ 1087 ]
}
},
"tx_fifo.full_o_SB_DFFSR_Q": {
"hide_name": 0,
"type": "SB_DFFSR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:57.2-65.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1089 ],
"Q": [ 400 ],
"R": [ 64 ]
}
},
"tx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1110101011000000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 930 ],
"I1": [ 934 ],
"I2": [ 939 ],
"I3": [ 941 ],
"O": [ 1089 ]
}
},
"tx_fifo.full_o_SB_LUT4_I1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100110000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 400 ],
"I2": [ 909 ],
"I3": [ 943 ],
"O": [ 932 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1090 ],
"E": [ 397 ],
"Q": [ 373 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1091 ],
"E": [ 397 ],
"Q": [ 374 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1092 ],
"E": [ 397 ],
"Q": [ 377 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1092 ],
"I3": [ 1091 ],
"O": [ 369 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1010001011110011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 386 ],
"I1": [ 389 ],
"I2": [ 1093 ],
"I3": [ 1094 ],
"O": [ 370 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001001000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 375 ],
"I1": [ 391 ],
"I2": [ 1095 ],
"I3": [ 1096 ],
"O": [ 371 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1097 ],
"I3": [ 1092 ],
"O": [ 396 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1086 ],
"I3": [ 1098 ],
"O": [ 395 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 377 ],
"I3": [ 1099 ],
"O": [ 1092 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1099 ],
"CO": [ 1100 ],
"I0": [ "0" ],
"I1": [ 377 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1100 ],
"CO": [ 1101 ],
"I0": [ "0" ],
"I1": [ 374 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1101 ],
"CO": [ 1102 ],
"I0": [ "0" ],
"I1": [ 373 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 384 ],
"I3": [ 1102 ],
"O": [ 354 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1090 ],
"I3": [ 354 ],
"O": [ 366 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 374 ],
"I3": [ 1100 ],
"O": [ 1091 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 373 ],
"I3": [ 1101 ],
"O": [ 1090 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1103 ],
"CO": [ 1099 ],
"I0": [ "0" ],
"I1": [ 390 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1104 ],
"CO": [ 1103 ],
"I0": [ "0" ],
"I1": [ 385 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1105 ],
"CO": [ 1104 ],
"I0": [ "0" ],
"I1": [ 387 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1106 ],
"CO": [ 1105 ],
"I0": [ "0" ],
"I1": [ 379 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1088 ],
"CO": [ 1106 ],
"I0": [ "0" ],
"I1": [ 380 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 387 ],
"I3": [ 1105 ],
"O": [ 1107 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1098 ],
"I3": [ 1107 ],
"O": [ 1093 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 379 ],
"I3": [ 1106 ],
"O": [ 1098 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 390 ],
"I3": [ 1103 ],
"O": [ 1097 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1107 ],
"I3": [ 1108 ],
"O": [ 1094 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 385 ],
"I3": [ 1104 ],
"O": [ 1108 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1097 ],
"E": [ 397 ],
"Q": [ 390 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1108 ],
"E": [ 397 ],
"Q": [ 385 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1107 ],
"E": [ 397 ],
"Q": [ 387 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1098 ],
"E": [ 397 ],
"Q": [ 379 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1086 ],
"E": [ 397 ],
"Q": [ 380 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1109 ],
"E": [ 397 ],
"Q": [ 1088 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_8_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1088 ],
"O": [ 1109 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 354 ],
"E": [ 397 ],
"Q": [ 384 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 366 ],
"E": [ 397 ],
"Q": [ 1110 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111101000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 386 ],
"I1": [ 389 ],
"I2": [ 1093 ],
"I3": [ 1094 ],
"O": [ 365 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1096 ],
"E": [ 397 ],
"Q": [ 1111 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 369 ],
"E": [ 397 ],
"Q": [ 1112 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 396 ],
"E": [ 397 ],
"Q": [ 1113 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1095 ],
"E": [ 397 ],
"Q": [ 1114 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1091 ],
"I3": [ 1090 ],
"O": [ 1096 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1108 ],
"I3": [ 1097 ],
"O": [ 1095 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1094 ],
"E": [ 397 ],
"Q": [ 1115 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1093 ],
"E": [ 397 ],
"Q": [ 1116 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 395 ],
"E": [ 397 ],
"Q": [ 1117 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFNESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:67.2-76.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 157 ],
"D": [ 1118 ],
"E": [ 397 ],
"Q": [ 1119 ],
"R": [ 64 ]
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 380 ],
"O": [ 1118 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 384 ],
"Q": [ 1120 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1110 ],
"Q": [ 1121 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1111 ],
"Q": [ 1122 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1112 ],
"Q": [ 1123 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1113 ],
"Q": [ 1124 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1114 ],
"Q": [ 1125 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1115 ],
"Q": [ 1126 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_7": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1116 ],
"Q": [ 1127 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_8": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1117 ],
"Q": [ 1128 ]
}
},
"tx_fifo.rd_addr_gray_wr_SB_DFF_Q_9": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1119 ],
"Q": [ 1129 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1120 ],
"Q": [ 909 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1121 ],
"Q": [ 958 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1122 ],
"Q": [ 926 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1123 ],
"Q": [ 914 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1124 ],
"Q": [ 919 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1125 ],
"Q": [ 921 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1126 ],
"Q": [ 944 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1127 ],
"Q": [ 948 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_8": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1128 ],
"Q": [ 907 ]
}
},
"tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_9": {
"hide_name": 0,
"type": "SB_DFF",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:52.2-55.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 66 ],
"D": [ 1129 ],
"Q": [ 910 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1130 ],
"E": [ 905 ],
"Q": [ 957 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1131 ],
"E": [ 905 ],
"Q": [ 955 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1132 ],
"E": [ 905 ],
"Q": [ 953 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 914 ],
"I2": [ 1132 ],
"I3": [ 1131 ],
"O": [ 1133 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100000000001100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 948 ],
"I2": [ 1134 ],
"I3": [ 1135 ],
"O": [ 1136 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 953 ],
"I3": [ 1137 ],
"O": [ 1132 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1137 ],
"CO": [ 1138 ],
"I0": [ "0" ],
"I1": [ 953 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 955 ],
"I3": [ 1138 ],
"O": [ 1131 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1139 ],
"CO": [ 1137 ],
"I0": [ "0" ],
"I1": [ 949 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1140 ],
"E": [ 905 ],
"Q": [ 949 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 949 ],
"I3": [ 1139 ],
"O": [ 1140 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1141 ],
"CO": [ 1139 ],
"I0": [ "0" ],
"I1": [ 924 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1142 ],
"E": [ 905 ],
"Q": [ 924 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1135 ],
"I3": [ 1142 ],
"O": [ 1143 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 924 ],
"I3": [ 1141 ],
"O": [ 1142 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1144 ],
"CO": [ 1141 ],
"I0": [ "0" ],
"I1": [ 961 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1145 ],
"CO": [ 1144 ],
"I0": [ "0" ],
"I1": [ 906 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1146 ],
"CO": [ 1145 ],
"I0": [ "0" ],
"I1": [ 942 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 961 ],
"I3": [ 1144 ],
"O": [ 1135 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 906 ],
"I3": [ 1145 ],
"O": [ 1134 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1135 ],
"E": [ 905 ],
"Q": [ 961 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1134 ],
"E": [ 905 ],
"Q": [ 906 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 911 ],
"E": [ 905 ],
"Q": [ 942 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 911 ],
"I3": [ 1134 ],
"O": [ 1147 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1000001001000001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 919 ],
"I1": [ 907 ],
"I2": [ 1147 ],
"I3": [ 1148 ],
"O": [ 933 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1140 ],
"I3": [ 1132 ],
"O": [ 1148 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 942 ],
"I3": [ 1146 ],
"O": [ 911 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1149 ],
"E": [ 905 ],
"Q": [ 1146 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_8_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 1146 ],
"O": [ 1149 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 943 ],
"E": [ 905 ],
"Q": [ 962 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_1": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1150 ],
"E": [ 905 ],
"Q": [ 1151 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1130 ],
"I3": [ 943 ],
"O": [ 1150 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_2": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1152 ],
"E": [ 905 ],
"Q": [ 1153 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_2_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1131 ],
"I3": [ 1130 ],
"O": [ 1152 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_3": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1154 ],
"E": [ 905 ],
"Q": [ 1155 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1132 ],
"I3": [ 1131 ],
"O": [ 1154 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_4": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1148 ],
"E": [ 905 ],
"Q": [ 1156 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_5": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1157 ],
"E": [ 905 ],
"Q": [ 1158 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1142 ],
"I3": [ 1140 ],
"O": [ 1157 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_6": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1143 ],
"E": [ 905 ],
"Q": [ 1159 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1160 ],
"E": [ 905 ],
"Q": [ 1161 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100111101000101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 944 ],
"I1": [ 948 ],
"I2": [ 1160 ],
"I3": [ 1143 ],
"O": [ 935 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 958 ],
"I2": [ 1130 ],
"I3": [ 943 ],
"O": [ 936 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_1": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000001101001"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 926 ],
"I1": [ 1131 ],
"I2": [ 1130 ],
"I3": [ 1162 ],
"O": [ 937 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "1100001100111100"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ 921 ],
"I2": [ 1142 ],
"I3": [ 1140 ],
"O": [ 1162 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_2": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000000100011"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 944 ],
"I1": [ 1136 ],
"I2": [ 1143 ],
"I3": [ 1133 ],
"O": [ 938 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000111111110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 1134 ],
"I3": [ 1135 ],
"O": [ 1160 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_8": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1147 ],
"E": [ 905 ],
"Q": [ 1163 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_9": {
"hide_name": 0,
"type": "SB_DFFESR",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:41.2-49.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119"
},
"port_directions": {
"C": "input",
"D": "input",
"E": "input",
"Q": "output",
"R": "input"
},
"connections": {
"C": [ 66 ],
"D": [ 1164 ],
"E": [ 905 ],
"Q": [ 1165 ],
"R": [ 64 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111111"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ "0" ],
"I3": [ 942 ],
"O": [ 1164 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_D_SB_LUT4_O": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 962 ],
"I3": [ 1166 ],
"O": [ 943 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1167 ],
"CO": [ 1166 ],
"I0": [ "0" ],
"I1": [ 957 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": {
"hide_name": 0,
"type": "SB_CARRY",
"parameters": {
},
"attributes": {
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4"
},
"port_directions": {
"CI": "input",
"CO": "output",
"I0": "input",
"I1": "input"
},
"connections": {
"CI": [ 1138 ],
"CO": [ 1167 ],
"I0": [ "0" ],
"I1": [ 955 ]
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0110100110010110"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 957 ],
"I3": [ 1167 ],
"O": [ 1130 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 962 ],
"Q": [ 1168 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_1": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1151 ],
"Q": [ 1169 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_2": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1153 ],
"Q": [ 1170 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_3": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1155 ],
"Q": [ 1171 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_4": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1156 ],
"Q": [ 1172 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_5": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1158 ],
"Q": [ 1173 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_6": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1159 ],
"Q": [ 1174 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_7": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1161 ],
"Q": [ 1175 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_8": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1163 ],
"Q": [ 1176 ]
}
},
"tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_9": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1165 ],
"Q": [ 1177 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1168 ],
"Q": [ 353 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_1": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1169 ],
"Q": [ 364 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_2": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1170 ],
"Q": [ 375 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_3": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1171 ],
"Q": [ 368 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_4": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1172 ],
"Q": [ 393 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_5": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1173 ],
"Q": [ 391 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_6": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1174 ],
"Q": [ 386 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_7": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1175 ],
"Q": [ 389 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_8": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1176 ],
"Q": [ 381 ]
}
},
"tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_9": {
"hide_name": 0,
"type": "SB_DFFN",
"parameters": {
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "complex_fifo.v:79.2-82.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90"
},
"port_directions": {
"C": "input",
"D": "input",
"Q": "output"
},
"connections": {
"C": [ 157 ],
"D": [ 1177 ],
"Q": [ 394 ]
}
},
"w_lvds_rx_09_d0_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 158 ],
"I1": [ 159 ],
"I2": [ 168 ],
"I3": [ 170 ],
"O": [ 1178 ]
}
},
"w_lvds_rx_09_d0_SB_LUT4_I0_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 1178 ],
"O": [ 211 ]
}
},
"w_lvds_rx_24_d1_SB_LUT4_I0": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011111101"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ 161 ],
"I1": [ 160 ],
"I2": [ 279 ],
"I3": [ 281 ],
"O": [ 1179 ]
}
},
"w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3": {
"hide_name": 0,
"type": "SB_LUT4",
"parameters": {
"LUT_INIT": "0000000011110000"
},
"attributes": {
"module_not_derived": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52"
},
"port_directions": {
"I0": "input",
"I1": "input",
"I2": "input",
"I3": "input",
"O": "output"
},
"connections": {
"I0": [ "0" ],
"I1": [ "0" ],
"I2": [ 3 ],
"I3": [ 1179 ],
"O": [ 287 ]
}
}
},
"netnames": {
"i_button": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"src": "top.v:42.11-42.19"
}
},
"i_config": {
"hide_name": 0,
"bits": [ 18, 19, 20, 21 ],
"attributes": {
"src": "top.v:41.17-41.25"
}
},
"i_config_SB_LUT4_I0_1_O": {
"hide_name": 0,
"bits": [ 7, 58, 55, 60 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"i_glob_clock": {
"hide_name": 0,
"bits": [ 2 ],
"attributes": {
"src": "top.v:10.11-10.23"
}
},
"i_iq_rx_09_p": {
"hide_name": 0,
"bits": [ 15 ],
"attributes": {
"src": "top.v:27.12-27.24"
}
},
"i_iq_rx_24_n": {
"hide_name": 0,
"bits": [ 16 ],
"attributes": {
"src": "top.v:28.12-28.24"
}
},
"i_iq_rx_clk_p": {
"hide_name": 0,
"bits": [ 17 ],
"attributes": {
"src": "top.v:29.12-29.25"
}
},
"i_mosi": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"src": "top.v:85.12-85.18"
}
},
"i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"src": "top.v:11.11-11.18"
}
},
"i_rst_b_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 64 ],
"attributes": {
}
},
"i_sck": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"src": "top.v:86.12-86.17"
}
},
"i_smi_a2": {
"hide_name": 0,
"bits": [ 31 ],
"attributes": {
"src": "top.v:75.11-75.19"
}
},
"i_smi_a3": {
"hide_name": 0,
"bits": [ 32 ],
"attributes": {
"src": "top.v:76.11-76.19"
}
},
"i_smi_soe_se": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"src": "top.v:78.11-78.23"
}
},
"i_smi_swe_srw": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"src": "top.v:79.11-79.24"
}
},
"i_ss": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"src": "top.v:87.12-87.16"
}
},
"int_miso": {
"hide_name": 0,
"bits": [ 399 ],
"attributes": {
"src": "top.v:149.8-149.16"
}
},
"io_ctrl_ins.i_button": {
"hide_name": 0,
"bits": [ 22 ],
"attributes": {
"hdlname": "io_ctrl_ins i_button",
"src": "io_ctrl.v:14.22-14.30"
}
},
"io_ctrl_ins.i_config": {
"hide_name": 0,
"bits": [ 18, 19, 20, 21 ],
"attributes": {
"hdlname": "io_ctrl_ins i_config",
"src": "io_ctrl.v:15.22-15.30"
}
},
"io_ctrl_ins.i_cs": {
"hide_name": 0,
"bits": [ 69 ],
"attributes": {
"hdlname": "io_ctrl_ins i_cs",
"src": "io_ctrl.v:9.22-9.26"
}
},
"io_ctrl_ins.i_cs_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 67 ],
"attributes": {
}
},
"io_ctrl_ins.i_data_in": {
"hide_name": 0,
"bits": [ 89, 87, 84, 92, 91, 135, 134, 131 ],
"attributes": {
"hdlname": "io_ctrl_ins i_data_in",
"src": "io_ctrl.v:7.22-7.31"
}
},
"io_ctrl_ins.i_fetch_cmd": {
"hide_name": 0,
"bits": [ 95 ],
"attributes": {
"hdlname": "io_ctrl_ins i_fetch_cmd",
"src": "io_ctrl.v:10.22-10.33"
}
},
"io_ctrl_ins.i_ioc": {
"hide_name": 0,
"bits": [ 56, 97, 208, 207, 206 ],
"attributes": {
"hdlname": "io_ctrl_ins i_ioc",
"src": "io_ctrl.v:6.22-6.27"
}
},
"io_ctrl_ins.i_load_cmd": {
"hide_name": 0,
"bits": [ 94 ],
"attributes": {
"hdlname": "io_ctrl_ins i_load_cmd",
"src": "io_ctrl.v:11.22-11.32"
}
},
"io_ctrl_ins.i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "io_ctrl_ins i_rst_b",
"src": "io_ctrl.v:3.25-3.32"
}
},
"io_ctrl_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "io_ctrl_ins i_sys_clk",
"src": "io_ctrl.v:4.22-4.31"
}
},
"io_ctrl_ins.led0_state": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"hdlname": "io_ctrl_ins led0_state",
"src": "io_ctrl.v:73.17-73.27"
}
},
"io_ctrl_ins.led1_state": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"hdlname": "io_ctrl_ins led1_state",
"src": "io_ctrl.v:74.17-74.27"
}
},
"io_ctrl_ins.lna_rx_shutdown_state": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"hdlname": "io_ctrl_ins lna_rx_shutdown_state",
"src": "io_ctrl.v:81.17-81.38"
}
},
"io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 73 ],
"attributes": {
}
},
"io_ctrl_ins.lna_tx_shutdown_state": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"hdlname": "io_ctrl_ins lna_tx_shutdown_state",
"src": "io_ctrl.v:82.17-82.38"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 78 ],
"attributes": {
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 82, 51, 81 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 86, 96, 83 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E": {
"hide_name": 0,
"bits": [ 85 ],
"attributes": {
"defaultvalue": "1",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_3_Q": {
"hide_name": 0,
"bits": [ 101, 59, 76, 77 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_Q": {
"hide_name": 0,
"bits": [ 90, 88 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I0_O_SB_LUT4_I3_O_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 3, 88, 93 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.mixer_en_state": {
"hide_name": 0,
"bits": [ 100 ],
"attributes": {
"hdlname": "io_ctrl_ins mixer_en_state",
"src": "io_ctrl.v:78.17-78.31"
}
},
"io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 99 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out": {
"hide_name": 0,
"bits": [ 119, 106, 114, 109, 129, 126, 124, 122 ],
"attributes": {
"hdlname": "io_ctrl_ins o_data_out",
"src": "io_ctrl.v:8.22-8.32"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 108 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 112 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_E": {
"hide_name": 0,
"bits": [ 113 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_R": {
"hide_name": 0,
"bits": [ 54, 115 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 104 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 107 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFESS_Q_D": {
"hide_name": 0,
"bits": [ 118 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_1_D": {
"hide_name": 0,
"bits": [ 123 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_2_D": {
"hide_name": 0,
"bits": [ 125 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_3_D": {
"hide_name": 0,
"bits": [ 128 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 49 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 121 ],
"attributes": {
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 69, 130, 98, 57 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I1_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 97, 56, 105 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.o_led0": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"hdlname": "io_ctrl_ins o_led0",
"src": "io_ctrl.v:16.22-16.28"
}
},
"io_ctrl_ins.o_led1": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"hdlname": "io_ctrl_ins o_led1",
"src": "io_ctrl.v:17.22-17.28"
}
},
"io_ctrl_ins.o_mixer_en": {
"hide_name": 0,
"bits": [ "1" ],
"attributes": {
"hdlname": "io_ctrl_ins o_mixer_en",
"src": "io_ctrl.v:29.22-29.32"
}
},
"io_ctrl_ins.o_mixer_fm": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "io_ctrl_ins o_mixer_fm",
"src": "io_ctrl.v:21.22-21.32"
}
},
"io_ctrl_ins.o_pmod": {
"hide_name": 0,
"bits": [ 102, 142, 80, 141 ],
"attributes": {
"hdlname": "io_ctrl_ins o_pmod",
"src": "io_ctrl.v:18.22-18.28"
}
},
"io_ctrl_ins.o_rx_h_tx_l": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"hdlname": "io_ctrl_ins o_rx_h_tx_l",
"src": "io_ctrl.v:22.22-22.33"
}
},
"io_ctrl_ins.o_rx_h_tx_l_b": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"hdlname": "io_ctrl_ins o_rx_h_tx_l_b",
"src": "io_ctrl.v:23.22-23.35"
}
},
"io_ctrl_ins.o_shdn_rx_lna": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"hdlname": "io_ctrl_ins o_shdn_rx_lna",
"src": "io_ctrl.v:28.22-28.35"
}
},
"io_ctrl_ins.o_shdn_tx_lna": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"hdlname": "io_ctrl_ins o_shdn_tx_lna",
"src": "io_ctrl.v:27.22-27.35"
}
},
"io_ctrl_ins.o_tr_vc1": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"hdlname": "io_ctrl_ins o_tr_vc1",
"src": "io_ctrl.v:24.22-24.30"
}
},
"io_ctrl_ins.o_tr_vc1_b": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"hdlname": "io_ctrl_ins o_tr_vc1_b",
"src": "io_ctrl.v:25.22-25.32"
}
},
"io_ctrl_ins.o_tr_vc2": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"hdlname": "io_ctrl_ins o_tr_vc2",
"src": "io_ctrl.v:26.22-26.30"
}
},
"io_ctrl_ins.pmod_dir_state": {
"hide_name": 0,
"bits": [ 139, 138, 82, 137, 53, 136, 50, 133 ],
"attributes": {
"hdlname": "io_ctrl_ins pmod_dir_state",
"src": "io_ctrl.v:75.17-75.31"
}
},
"io_ctrl_ins.pmod_state": {
"hide_name": 0,
"bits": [ 102, 142, 80, 141 ],
"attributes": {
"hdlname": "io_ctrl_ins pmod_state",
"src": "io_ctrl.v:76.17-76.27"
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 140 ],
"attributes": {
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 143, 51 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2_1_O": {
"hide_name": 0,
"bits": [ 144 ],
"attributes": {
}
},
"io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 132 ],
"attributes": {
}
},
"io_ctrl_ins.rf_pin_state": {
"hide_name": 0,
"bits": [ 101, 75, 79, 149, 148, 147, 146, 145 ],
"attributes": {
"hdlname": "io_ctrl_ins rf_pin_state",
"src": "io_ctrl.v:77.17-77.29"
}
},
"io_ctrl_ins.rx_h_b_state": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"hdlname": "io_ctrl_ins rx_h_b_state",
"src": "io_ctrl.v:84.17-84.29"
}
},
"io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 150 ],
"attributes": {
}
},
"io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3": {
"hide_name": 0,
"bits": [ 5, 58, 52 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.rx_h_state": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"hdlname": "io_ctrl_ins rx_h_state",
"src": "io_ctrl.v:83.17-83.27"
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 152 ],
"attributes": {
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 145, 77, 151 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.rx_h_state_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 74 ],
"attributes": {
}
},
"io_ctrl_ins.rx_h_state_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 22, 47, 48 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.tr_vc_1_b_state": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"hdlname": "io_ctrl_ins tr_vc_1_b_state",
"src": "io_ctrl.v:86.17-86.32"
}
},
"io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 153 ],
"attributes": {
}
},
"io_ctrl_ins.tr_vc_1_state": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"hdlname": "io_ctrl_ins tr_vc_1_state",
"src": "io_ctrl.v:85.17-85.30"
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 155 ],
"attributes": {
}
},
"io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 147, 77, 154 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.tr_vc_1_state_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 20, 47, 127 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_ctrl_ins.tr_vc_2_state": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"hdlname": "io_ctrl_ins tr_vc_2_state",
"src": "io_ctrl.v:87.17-87.30"
}
},
"io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 156 ],
"attributes": {
}
},
"io_ctrl_ins.tr_vc_2_state_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 18, 54, 110, 111 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"io_pmod": {
"hide_name": 0,
"bits": [ 23, "0", "0", 24, 25, 26, 27, 28 ],
"attributes": {
"src": "top.v:43.17-43.24"
}
},
"io_smi_data": {
"hide_name": 0,
"bits": [ 34, 35, 36, 37, 38, 39, 40, 41 ],
"attributes": {
"src": "top.v:80.17-80.28"
}
},
"lvds_clock": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"src": "top.v:253.8-253.18"
}
},
"lvds_clock_buf": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"src": "top.v:254.8-254.22"
}
},
"lvds_rx_09_inst.i_ddr_clk": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_ddr_clk",
"src": "lvds_rx.v:3.17-3.26"
}
},
"lvds_rx_09_inst.i_ddr_data": {
"hide_name": 0,
"bits": [ 159, 158 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_ddr_data",
"src": "lvds_rx.v:4.17-4.27"
}
},
"lvds_rx_09_inst.i_fifo_full": {
"hide_name": 0,
"bits": [ 485 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_fifo_full",
"src": "lvds_rx.v:6.23-6.34"
}
},
"lvds_rx_09_inst.i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_rst_b",
"src": "lvds_rx.v:2.17-2.24"
}
},
"lvds_rx_09_inst.i_sync_input": {
"hide_name": 0,
"bits": [ 162 ],
"attributes": {
"hdlname": "lvds_rx_09_inst i_sync_input",
"src": "lvds_rx.v:10.23-10.35"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E": {
"hide_name": 0,
"bits": [ 163, 165 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 166 ],
"attributes": {
"defaultvalue": "1",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q": {
"hide_name": 0,
"bits": [ 175, 178, 173, 170 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_1_D": {
"hide_name": 0,
"bits": [ 174 ],
"attributes": {
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_1_D_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 170, 176, "1", 177 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_1_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 177 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_2_D": {
"hide_name": 0,
"bits": [ 179 ],
"attributes": {
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 171 ],
"attributes": {
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 180 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_DFFER_Q_E": {
"hide_name": 0,
"bits": [ 172 ],
"attributes": {
"defaultvalue": "1",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D": {
"hide_name": 0,
"bits": [ 169, 167 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "lvds_rx.v:0.0-0.0|lvds_rx.v:43.7-82.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q": {
"hide_name": 0,
"bits": [ 168, 170, 181, 165 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_DFFER_D_Q": {
"hide_name": 0,
"bits": [ 159, 164, 168, 165 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 28, 184, 185 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E": {
"hide_name": 0,
"bits": [ 186 ],
"attributes": {
"defaultvalue": "1",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_DFFER_E_Q": {
"hide_name": 0,
"bits": [ 27, 189, 187 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 191 ],
"attributes": {
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 97, 56, 192 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 188 ],
"attributes": {
"defaultvalue": "1",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_1_Q": {
"hide_name": 0,
"bits": [ 194, 190 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_2_Q": {
"hide_name": 0,
"bits": [ 195, 190 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_3_Q": {
"hide_name": 0,
"bits": [ 196, 190 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_Q": {
"hide_name": 0,
"bits": [ 193, 190 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 197, 94, 95, 198 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I2_1_O": {
"hide_name": 0,
"bits": [ 59, 54, 47 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 197, 95, 199 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I2_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 200 ],
"attributes": {
"defaultvalue": "1",
"src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_1_O": {
"hide_name": 0,
"bits": [ 56, 57 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 201, 190 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 185, 190 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_09_inst.o_fifo_data": {
"hide_name": 0,
"bits": [ 272, 237, 212, 214, 239, 261, 263, 265, 267, 269, 217, 220, 216, 219, 222, 224, 226, 228, 230, 232, 234, 236, 241, 243, 245, 247, 249, 251, 253, 255, 257, 259 ],
"attributes": {
"hdlname": "lvds_rx_09_inst o_fifo_data",
"src": "lvds_rx.v:9.23-9.34"
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_10_D": {
"hide_name": 0,
"bits": [ 215 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_11_D": {
"hide_name": 0,
"bits": [ 218 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_12_D": {
"hide_name": 0,
"bits": [ 221 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_13_D": {
"hide_name": 0,
"bits": [ 223 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_14_D": {
"hide_name": 0,
"bits": [ 225 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_15_D": {
"hide_name": 0,
"bits": [ 227 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_16_D": {
"hide_name": 0,
"bits": [ 229 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_17_D": {
"hide_name": 0,
"bits": [ 231 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_18_D": {
"hide_name": 0,
"bits": [ 233 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_19_D": {
"hide_name": 0,
"bits": [ 235 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 213 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_20_D": {
"hide_name": 0,
"bits": [ 240 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_21_D": {
"hide_name": 0,
"bits": [ 242 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_22_D": {
"hide_name": 0,
"bits": [ 244 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_23_D": {
"hide_name": 0,
"bits": [ 246 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_24_D": {
"hide_name": 0,
"bits": [ 248 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_25_D": {
"hide_name": 0,
"bits": [ 250 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_26_D": {
"hide_name": 0,
"bits": [ 252 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_27_D": {
"hide_name": 0,
"bits": [ 254 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_28_D": {
"hide_name": 0,
"bits": [ 256 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_29_D": {
"hide_name": 0,
"bits": [ 258 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 238 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_3_D": {
"hide_name": 0,
"bits": [ 260 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_4_D": {
"hide_name": 0,
"bits": [ 262 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_5_D": {
"hide_name": 0,
"bits": [ 264 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_6_D": {
"hide_name": 0,
"bits": [ 266 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_7_D": {
"hide_name": 0,
"bits": [ 268 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_8_D": {
"hide_name": 0,
"bits": [ 270 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_9_D": {
"hide_name": 0,
"bits": [ 271 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 210 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 182 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_1_D": {
"hide_name": 0,
"bits": [ 183 ],
"attributes": {
}
},
"lvds_rx_09_inst.o_fifo_write_clk": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"hdlname": "lvds_rx_09_inst o_fifo_write_clk",
"src": "lvds_rx.v:7.23-7.39"
}
},
"lvds_rx_24_inst.i_ddr_clk": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_ddr_clk",
"src": "lvds_rx.v:3.17-3.26"
}
},
"lvds_rx_24_inst.i_fifo_full": {
"hide_name": 0,
"bits": [ 485 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_fifo_full",
"src": "lvds_rx.v:6.23-6.34"
}
},
"lvds_rx_24_inst.i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_rst_b",
"src": "lvds_rx.v:2.17-2.24"
}
},
"lvds_rx_24_inst.i_sync_input": {
"hide_name": 0,
"bits": [ 273 ],
"attributes": {
"hdlname": "lvds_rx_24_inst i_sync_input",
"src": "lvds_rx.v:10.23-10.35"
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E": {
"hide_name": 0,
"bits": [ 274, 276 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 277 ],
"attributes": {
"defaultvalue": "1",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q": {
"hide_name": 0,
"bits": [ 281 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D": {
"hide_name": 0,
"bits": [ 280, 278 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "lvds_rx.v:0.0-0.0|lvds_rx.v:43.7-82.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22"
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q": {
"hide_name": 0,
"bits": [ 279, 281, 283 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.i_sync_input_SB_DFFER_D_Q": {
"hide_name": 0,
"bits": [ 161, 275, 284 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_rx_24_inst.o_fifo_data": {
"hide_name": 0,
"bits": [ 348, 313, 288, 290, 315, 337, 339, 341, 343, 345, 293, 296, 292, 295, 298, 300, 302, 304, 306, 308, 310, 312, 317, 319, 321, 323, 325, 327, 329, 331, 333, 335 ],
"attributes": {
"hdlname": "lvds_rx_24_inst o_fifo_data",
"src": "lvds_rx.v:9.23-9.34"
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_10_D": {
"hide_name": 0,
"bits": [ 291 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_11_D": {
"hide_name": 0,
"bits": [ 294 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_12_D": {
"hide_name": 0,
"bits": [ 297 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_13_D": {
"hide_name": 0,
"bits": [ 299 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_14_D": {
"hide_name": 0,
"bits": [ 301 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_15_D": {
"hide_name": 0,
"bits": [ 303 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_16_D": {
"hide_name": 0,
"bits": [ 305 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_17_D": {
"hide_name": 0,
"bits": [ 307 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_18_D": {
"hide_name": 0,
"bits": [ 309 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_19_D": {
"hide_name": 0,
"bits": [ 311 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 289 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_20_D": {
"hide_name": 0,
"bits": [ 316 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_21_D": {
"hide_name": 0,
"bits": [ 318 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_22_D": {
"hide_name": 0,
"bits": [ 320 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_23_D": {
"hide_name": 0,
"bits": [ 322 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_24_D": {
"hide_name": 0,
"bits": [ 324 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_25_D": {
"hide_name": 0,
"bits": [ 326 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_26_D": {
"hide_name": 0,
"bits": [ 328 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_27_D": {
"hide_name": 0,
"bits": [ 330 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_28_D": {
"hide_name": 0,
"bits": [ 332 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_29_D": {
"hide_name": 0,
"bits": [ 334 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 314 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_3_D": {
"hide_name": 0,
"bits": [ 336 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_4_D": {
"hide_name": 0,
"bits": [ 338 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_5_D": {
"hide_name": 0,
"bits": [ 340 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_6_D": {
"hide_name": 0,
"bits": [ 342 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_7_D": {
"hide_name": 0,
"bits": [ 344 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_8_D": {
"hide_name": 0,
"bits": [ 346 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_9_D": {
"hide_name": 0,
"bits": [ 347 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 286 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 282 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1_D": {
"hide_name": 0,
"bits": [ 285 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 349 ],
"attributes": {
}
},
"lvds_rx_24_inst.o_fifo_write_clk": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"hdlname": "lvds_rx_24_inst o_fifo_write_clk",
"src": "lvds_rx.v:7.23-7.39"
}
},
"lvds_tx_inst.i_debug_lb": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "lvds_tx_inst i_debug_lb",
"src": "lvds_tx.v:13.21-13.31"
}
},
"lvds_tx_inst.i_fifo_empty": {
"hide_name": 0,
"bits": [ 352 ],
"attributes": {
"hdlname": "lvds_tx_inst i_fifo_empty",
"src": "lvds_tx.v:6.21-6.33"
}
},
"lvds_tx_inst.i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "lvds_tx_inst i_rst_b",
"src": "lvds_tx.v:2.21-2.28"
}
},
"lvds_tx_inst.i_sample_gap": {
"hide_name": 0,
"bits": [ "x", "x", "x", "x" ],
"attributes": {
"hdlname": "lvds_tx_inst i_sample_gap",
"src": "lvds_tx.v:10.21-10.33"
}
},
"lvds_tx_inst.o_ddr_data": {
"hide_name": 0,
"bits": [ "0", "0" ],
"attributes": {
"hdlname": "lvds_tx_inst o_ddr_data",
"src": "lvds_tx.v:4.21-4.31"
}
},
"lvds_tx_inst.o_fifo_pull": {
"hide_name": 0,
"bits": [ 351 ],
"attributes": {
"hdlname": "lvds_tx_inst o_fifo_pull",
"src": "lvds_tx.v:8.21-8.32"
}
},
"lvds_tx_inst.o_sync_state_bit": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "lvds_tx_inst o_sync_state_bit",
"src": "lvds_tx.v:15.21-15.37"
}
},
"lvds_tx_inst.o_tx_state_bit": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "lvds_tx_inst o_tx_state_bit",
"src": "lvds_tx.v:14.21-14.35"
}
},
"lvds_tx_inst.r_fifo_data": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "lvds_tx_inst r_fifo_data",
"src": "lvds_tx.v:32.16-32.27"
}
},
"lvds_tx_inst.r_pulled": {
"hide_name": 0,
"bits": [ 351 ],
"attributes": {
"hdlname": "lvds_tx_inst r_pulled",
"src": "lvds_tx.v:33.9-33.17"
}
},
"lvds_tx_inst.r_pulled_SB_DFFNESR_Q_D": {
"hide_name": 0,
"bits": [ 350 ],
"attributes": {
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 356, 355, 357 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 363, 367, 358, 372 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 359, 360, 361, 362 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 374, 377, 368, 378 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_2_I2": {
"hide_name": 0,
"bits": [ 382, 383 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_2_I2_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 385, 386, 387, 388 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_I0_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 373, 374, 375, 376 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"lvds_tx_inst.r_pulled_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 397 ],
"attributes": {
}
},
"lvds_tx_inst.r_state": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "lvds_tx_inst r_state",
"src": "lvds_tx.v:30.9-30.16"
}
},
"o_iq_tx_clk_n": {
"hide_name": 0,
"bits": [ 14 ],
"attributes": {
"src": "top.v:26.12-26.25"
}
},
"o_iq_tx_clk_p": {
"hide_name": 0,
"bits": [ 13 ],
"attributes": {
"src": "top.v:25.12-25.25"
}
},
"o_iq_tx_n": {
"hide_name": 0,
"bits": [ 12 ],
"attributes": {
"src": "top.v:24.12-24.21"
}
},
"o_iq_tx_p": {
"hide_name": 0,
"bits": [ 11 ],
"attributes": {
"src": "top.v:23.12-23.21"
}
},
"o_led0": {
"hide_name": 0,
"bits": [ 29 ],
"attributes": {
"src": "top.v:44.12-44.18"
}
},
"o_led0_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 90, 96, 103, 120 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"o_led1": {
"hide_name": 0,
"bits": [ 30 ],
"attributes": {
"src": "top.v:45.12-45.18"
}
},
"o_led1_SB_DFFER_Q_E": {
"hide_name": 0,
"bits": [ 398 ],
"attributes": {
"defaultvalue": "1",
"src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"o_led1_SB_LUT4_I1_I2": {
"hide_name": 0,
"bits": [ 19, 53, 51, 54 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"o_led1_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 88, 96, 116, 117 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"o_miso": {
"hide_name": 0,
"bits": [ 46 ],
"attributes": {
"src": "top.v:88.12-88.18"
}
},
"o_miso_$_TBUF__Y_E": {
"hide_name": 0,
"bits": [ 65 ],
"attributes": {
}
},
"o_mixer_en": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:38.12-38.22"
}
},
"o_mixer_fm": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:37.12-37.22"
}
},
"o_rx_h_tx_l": {
"hide_name": 0,
"bits": [ 4 ],
"attributes": {
"src": "top.v:14.12-14.23"
}
},
"o_rx_h_tx_l_b": {
"hide_name": 0,
"bits": [ 5 ],
"attributes": {
"src": "top.v:15.12-15.25"
}
},
"o_shdn_rx_lna": {
"hide_name": 0,
"bits": [ 9 ],
"attributes": {
"src": "top.v:19.12-19.25"
}
},
"o_shdn_tx_lna": {
"hide_name": 0,
"bits": [ 10 ],
"attributes": {
"src": "top.v:20.12-20.25"
}
},
"o_smi_read_req": {
"hide_name": 0,
"bits": [ 42 ],
"attributes": {
"src": "top.v:82.12-82.26"
}
},
"o_smi_write_req": {
"hide_name": 0,
"bits": [ "z" ],
"attributes": {
"src": "top.v:81.12-81.27"
}
},
"o_tr_vc1": {
"hide_name": 0,
"bits": [ 6 ],
"attributes": {
"src": "top.v:16.12-16.20"
}
},
"o_tr_vc1_b": {
"hide_name": 0,
"bits": [ 7 ],
"attributes": {
"src": "top.v:17.12-17.22"
}
},
"o_tr_vc2": {
"hide_name": 0,
"bits": [ 8 ],
"attributes": {
"src": "top.v:18.12-18.20"
}
},
"r_counter": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"src": "top.v:95.14-95.23"
}
},
"r_counter_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 403 ],
"attributes": {
}
},
"r_tx_data": {
"hide_name": 0,
"bits": [ 436, 431, 424, 421, 418, 414, 408, 406 ],
"attributes": {
"src": "top.v:100.14-100.23"
}
},
"r_tx_data_SB_DFFE_Q_1_D": {
"hide_name": 0,
"bits": [ 407 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 124, 409, 410, 411 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 412 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_2_D": {
"hide_name": 0,
"bits": [ 413 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_3_D": {
"hide_name": 0,
"bits": [ 417 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 129, 419, 410, 411 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 209 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_4_D": {
"hide_name": 0,
"bits": [ 420 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_4_D_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 109, 422, 410, 411 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_5_D": {
"hide_name": 0,
"bits": [ 423 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_5_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 425, 410, 426, 427 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_6_D": {
"hide_name": 0,
"bits": [ 430 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 432, 410, 433 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_7_D": {
"hide_name": 0,
"bits": [ 435 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 437, 410, 426, 438 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 202, 205, 204, 203 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I0_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 439, 119, 429, 411 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 404 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 442, 410, 443 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 444 ],
"attributes": {
}
},
"r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 445, 190 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.debug_pull": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "rx_fifo debug_pull",
"src": "complex_fifo.v:19.18-19.28"
}
},
"rx_fifo.debug_push": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "rx_fifo debug_push",
"src": "complex_fifo.v:20.18-20.28"
}
},
"rx_fifo.empty_o": {
"hide_name": 0,
"bits": [ 401 ],
"attributes": {
"hdlname": "rx_fifo empty_o",
"src": "complex_fifo.v:17.19-17.26"
}
},
"rx_fifo.empty_o_SB_DFFSS_Q_D": {
"hide_name": 0,
"bits": [ 446 ],
"attributes": {
}
},
"rx_fifo.empty_o_SB_LUT4_I0_I3": {
"hide_name": 0,
"bits": [ 401, 451, 452, 453 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 462, 454, 467, 477 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 463, 464, 465, 466 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 468, 481, 472, 471 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1": {
"hide_name": 0,
"bits": [ 474, 475, 476 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1_SB_LUT4_O_2_I3": {
"hide_name": 0,
"bits": [ 456, 478, 479, 482 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.empty_o_SB_LUT4_I0_O_SB_LUT4_O_2_I1_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 456, 478, 479, 480 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o": {
"hide_name": 0,
"bits": [ 485 ],
"attributes": {
"hdlname": "rx_fifo full_o",
"src": "complex_fifo.v:16.19-16.25"
}
},
"rx_fifo.full_o_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 484 ],
"attributes": {
}
},
"rx_fifo.full_o_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 494, 493, 495 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 486, 487, 488, 489 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 514 ],
"attributes": {
}
},
"rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_E": {
"hide_name": 0,
"bits": [ 515 ],
"attributes": {
"defaultvalue": "1",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q": {
"hide_name": 0,
"bits": [ 532, 518, 516 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q_SB_DFFER_Q_E": {
"hide_name": 0,
"bits": [ 517 ],
"attributes": {
"defaultvalue": "1",
"src": "smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3": {
"hide_name": 0,
"bits": [ 485, 284 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 279, 281, 283, 276 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 520 ],
"attributes": {
"defaultvalue": "1",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0": {
"hide_name": 0,
"bits": [ 521 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 524 ],
"attributes": {
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 281, 525 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I0_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 526 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 522 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 529 ],
"attributes": {
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 281, 528, "1", 526 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 521, 522, 523, 281 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_DFFER_Q_D": {
"hide_name": 0,
"bits": [ 530 ],
"attributes": {
}
},
"rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O_I2_SB_LUT4_I1_I3": {
"hide_name": 0,
"bits": [ 527 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.full_o_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 519 ],
"attributes": {
}
},
"rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E": {
"hide_name": 0,
"bits": [ 531 ],
"attributes": {
"defaultvalue": "1",
"src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"rx_fifo.mem_i.0.0_RDATA": {
"hide_name": 0,
"bits": [ 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_i.0.0_WCLKE": {
"hide_name": 0,
"bits": [ 491 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21"
}
},
"rx_fifo.mem_i.0.0_WDATA": {
"hide_name": 0,
"bits": [ 563 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.0_WDATA_1": {
"hide_name": 0,
"bits": [ 562 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.0_WDATA_2": {
"hide_name": 0,
"bits": [ 561 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.0_WDATA_3": {
"hide_name": 0,
"bits": [ 560 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.1_RDATA": {
"hide_name": 0,
"bits": [ 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579, 580 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_i.0.1_WDATA": {
"hide_name": 0,
"bits": [ 584 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.1_WDATA_1": {
"hide_name": 0,
"bits": [ 583 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.1_WDATA_2": {
"hide_name": 0,
"bits": [ 582 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.1_WDATA_3": {
"hide_name": 0,
"bits": [ 581 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.2_RDATA": {
"hide_name": 0,
"bits": [ 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599, 600 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_i.0.2_WDATA": {
"hide_name": 0,
"bits": [ 604 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.2_WDATA_1": {
"hide_name": 0,
"bits": [ 603 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.2_WDATA_2": {
"hide_name": 0,
"bits": [ 602 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.2_WDATA_3": {
"hide_name": 0,
"bits": [ 601 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.3_RDATA": {
"hide_name": 0,
"bits": [ 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619, 620 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_i.0.3_WDATA": {
"hide_name": 0,
"bits": [ 624 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.3_WDATA_1": {
"hide_name": 0,
"bits": [ 623 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.3_WDATA_2": {
"hide_name": 0,
"bits": [ 622 ],
"attributes": {
}
},
"rx_fifo.mem_i.0.3_WDATA_3": {
"hide_name": 0,
"bits": [ 621 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.0_RDATA": {
"hide_name": 0,
"bits": [ 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639, 640 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_q.0.0_WDATA": {
"hide_name": 0,
"bits": [ 644 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.0_WDATA_1": {
"hide_name": 0,
"bits": [ 643 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.0_WDATA_2": {
"hide_name": 0,
"bits": [ 642 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.0_WDATA_3": {
"hide_name": 0,
"bits": [ 641 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.1_RDATA": {
"hide_name": 0,
"bits": [ 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659, 660 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_q.0.1_WDATA": {
"hide_name": 0,
"bits": [ 664 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.1_WDATA_1": {
"hide_name": 0,
"bits": [ 663 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.1_WDATA_2": {
"hide_name": 0,
"bits": [ 662 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.1_WDATA_3": {
"hide_name": 0,
"bits": [ 661 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.2_RDATA": {
"hide_name": 0,
"bits": [ 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679, 680 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_q.0.2_WDATA": {
"hide_name": 0,
"bits": [ 684 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.2_WDATA_1": {
"hide_name": 0,
"bits": [ 683 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.2_WDATA_2": {
"hide_name": 0,
"bits": [ 682 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.2_WDATA_3": {
"hide_name": 0,
"bits": [ 681 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.3_RDATA": {
"hide_name": 0,
"bits": [ 685, 686, 687, 688, 689, 690, 691, 692, 693, 694, 695, 696, 697, 698, 699, 700 ],
"attributes": {
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21",
"unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15"
}
},
"rx_fifo.mem_q.0.3_WDATA": {
"hide_name": 0,
"bits": [ 704 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.3_WDATA_1": {
"hide_name": 0,
"bits": [ 703 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.3_WDATA_2": {
"hide_name": 0,
"bits": [ 702 ],
"attributes": {
}
},
"rx_fifo.mem_q.0.3_WDATA_3": {
"hide_name": 0,
"bits": [ 701 ],
"attributes": {
}
},
"rx_fifo.rd_addr": {
"hide_name": 0,
"bits": [ 533, 479, 456, 455, 464, 463, 469, 468, 461, 459 ],
"attributes": {
"hdlname": "rx_fifo rd_addr",
"src": "complex_fifo.v:27.23-27.30"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 708, 707 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 473, 470, 709, 710 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 711 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 712 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 713 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 714 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 458, 717, 534, 715 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 707, 705 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_3_D": {
"hide_name": 0,
"bits": [ 719, 708 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_3_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 718 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D": {
"hide_name": 0,
"bits": [ 722, 719 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 465, 723, 724, 725 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 721 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D": {
"hide_name": 0,
"bits": [ 730, 727 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 481, 483, 728, 720 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2_O_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 447, 448, 449, 450 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 457, 478, 732, 731 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 726 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 733 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 452, 730 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_SB_DFFESR_Q_8_D": {
"hide_name": 0,
"bits": [ 734 ],
"attributes": {
}
},
"rx_fifo.rd_addr_gray": {
"hide_name": 0,
"bits": [ 744, 742, 741, 740, 739, 738, 737, 736, 735, 459 ],
"attributes": {
"hdlname": "rx_fifo rd_addr_gray",
"src": "complex_fifo.v:28.23-28.35"
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 460, 729, 716 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D": {
"hide_name": 0,
"bits": [ 743 ],
"attributes": {
}
},
"rx_fifo.rd_addr_gray_wr": {
"hide_name": 0,
"bits": [ 754, 753, 752, 751, 750, 749, 748, 747, 746, 745 ],
"attributes": {
"hdlname": "rx_fifo rd_addr_gray_wr",
"src": "complex_fifo.v:29.23-29.38"
}
},
"rx_fifo.rd_addr_gray_wr_r": {
"hide_name": 0,
"bits": [ 513, 508, 760, 759, 758, 757, 756, 496, 755, 490 ],
"attributes": {
"hdlname": "rx_fifo rd_addr_gray_wr_r",
"src": "complex_fifo.v:30.23-30.40"
}
},
"rx_fifo.rd_clk_i": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "rx_fifo rd_clk_i",
"src": "complex_fifo.v:12.28-12.36"
}
},
"rx_fifo.rd_data_o": {
"hide_name": 0,
"bits": [ 626, 634, 630, 638, 646, 654, 650, 658, 666, 674, 670, 678, 686, 694, 690, 698, 536, 544, 540, 548, 566, 574, 570, 578, 586, 594, 590, 598, 606, 614, 610, 618 ],
"attributes": {
"hdlname": "rx_fifo rd_data_o",
"src": "complex_fifo.v:14.32-14.41"
}
},
"rx_fifo.rd_rst_b_i": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "rx_fifo rd_rst_b_i",
"src": "complex_fifo.v:11.28-11.38"
}
},
"rx_fifo.wr_addr": {
"hide_name": 0,
"bits": [ 559, 512, 551, 552, 553, 554, 555, 556, 557, 558 ],
"attributes": {
"hdlname": "rx_fifo wr_addr",
"src": "complex_fifo.v:23.23-23.30"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D": {
"hide_name": 0,
"bits": [ 768, 767 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O": {
"hide_name": 0,
"bits": [ 551, 508, 491, 770 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 504, 505, 506, 507 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 773, 774 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1": {
"hide_name": 0,
"bits": [ 759, 780, 777 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 781 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_1_I1_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 779 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 758, 777, 775, 778 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I2_SB_LUT4_O_I1_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 782 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 757, 775, 771, 776 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_1_I2": {
"hide_name": 0,
"bits": [ 760, 787, 780 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 786 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 788 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 789 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 790 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 755, 791, 769 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_1_O_SB_LUT4_I3_O_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 772, 783, 784, 785 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 508, 509, 510, 511 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_8_D": {
"hide_name": 0,
"bits": [ 792 ],
"attributes": {
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 762, 761 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 793 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 794 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 755, 761, 492, 795 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 796 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 797 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 798 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 799 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 800 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 801 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 767, 766 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 765, 764 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 763, 762 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 564 ],
"attributes": {
}
},
"rx_fifo.wr_addr_gray": {
"hide_name": 0,
"bits": [ 818, 816, 815, 813, 811, 809, 807, 806, 805, 558 ],
"attributes": {
"hdlname": "rx_fifo wr_addr_gray",
"src": "complex_fifo.v:24.23-24.35"
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 804 ],
"attributes": {
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": {
"hide_name": 0,
"bits": [ 757, 808 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 500, 501, 502, 503 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 755, 810, 761, 492 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D": {
"hide_name": 0,
"bits": [ 756, 758, 802, 803 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D": {
"hide_name": 0,
"bits": [ 759, 812 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 496, 497, 498, 499 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": {
"hide_name": 0,
"bits": [ 814 ],
"attributes": {
}
},
"rx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D": {
"hide_name": 0,
"bits": [ 817 ],
"attributes": {
}
},
"rx_fifo.wr_addr_gray_rd": {
"hide_name": 0,
"bits": [ 828, 827, 826, 825, 824, 823, 822, 821, 820, 819 ],
"attributes": {
"hdlname": "rx_fifo wr_addr_gray_rd",
"src": "complex_fifo.v:25.23-25.38"
}
},
"rx_fifo.wr_addr_gray_rd_r": {
"hide_name": 0,
"bits": [ 451, 478, 457, 483, 465, 481, 470, 473, 460, 458 ],
"attributes": {
"hdlname": "rx_fifo wr_addr_gray_rd_r",
"src": "complex_fifo.v:26.23-26.40"
}
},
"rx_fifo.wr_clk_i": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"hdlname": "rx_fifo wr_clk_i",
"src": "complex_fifo.v:7.28-7.36"
}
},
"rx_fifo.wr_rst_b_i": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "rx_fifo wr_rst_b_i",
"src": "complex_fifo.v:6.28-6.38"
}
},
"smi_ctrl_ins.i_cs": {
"hide_name": 0,
"bits": [ 441 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_cs",
"src": "smi_ctrl.v:9.25-9.29"
}
},
"smi_ctrl_ins.i_cs_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 829 ],
"attributes": {
}
},
"smi_ctrl_ins.i_data_in": {
"hide_name": 0,
"bits": [ 89, 87, 84, 92, 91, 135, 134, 131 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_data_in",
"src": "smi_ctrl.v:7.25-7.34"
}
},
"smi_ctrl_ins.i_fetch_cmd": {
"hide_name": 0,
"bits": [ 95 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_fetch_cmd",
"src": "smi_ctrl.v:10.25-10.36"
}
},
"smi_ctrl_ins.i_ioc": {
"hide_name": 0,
"bits": [ 56, 97, 208, 207, 206 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_ioc",
"src": "smi_ctrl.v:6.25-6.30"
}
},
"smi_ctrl_ins.i_load_cmd": {
"hide_name": 0,
"bits": [ 94 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_load_cmd",
"src": "smi_ctrl.v:11.25-11.35"
}
},
"smi_ctrl_ins.i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_rst_b",
"src": "smi_ctrl.v:3.25-3.32"
}
},
"smi_ctrl_ins.i_rx_fifo_empty": {
"hide_name": 0,
"bits": [ 401 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_rx_fifo_empty",
"src": "smi_ctrl.v:16.25-16.40"
}
},
"smi_ctrl_ins.i_rx_fifo_pulled_data": {
"hide_name": 0,
"bits": [ 626, 634, 630, 638, 646, 654, 650, 658, 666, 674, 670, 678, 686, 694, 690, 698, 536, 544, 540, 548, 566, 574, 570, 578, 586, 594, 590, 598, 606, 614, 610, 618 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_rx_fifo_pulled_data",
"src": "smi_ctrl.v:15.25-15.46"
}
},
"smi_ctrl_ins.i_smi_data_in": {
"hide_name": 0,
"bits": [ 979, 980, 981, 982, 983, 984, 985, 969 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_data_in",
"src": "smi_ctrl.v:27.25-27.38",
"unused_bits": "0 1 2 3 4 5 6"
}
},
"smi_ctrl_ins.i_smi_soe_se": {
"hide_name": 0,
"bits": [ 33 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_soe_se",
"src": "smi_ctrl.v:24.25-24.37"
}
},
"smi_ctrl_ins.i_smi_swe_srw": {
"hide_name": 0,
"bits": [ 24 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_swe_srw",
"src": "smi_ctrl.v:25.25-25.38"
}
},
"smi_ctrl_ins.i_smi_test": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "smi_ctrl_ins i_smi_test",
"src": "smi_ctrl.v:30.25-30.35"
}
},
"smi_ctrl_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_sys_clk",
"src": "smi_ctrl.v:4.25-4.34"
}
},
"smi_ctrl_ins.i_tx_fifo_full": {
"hide_name": 0,
"bits": [ 400 ],
"attributes": {
"hdlname": "smi_ctrl_ins i_tx_fifo_full",
"src": "smi_ctrl.v:20.25-20.39"
}
},
"smi_ctrl_ins.int_cnt_rx": {
"hide_name": 0,
"bits": [ "0", "0", "0", 62, 61 ],
"attributes": {
"hdlname": "smi_ctrl_ins int_cnt_rx",
"src": "smi_ctrl.v:110.15-110.25"
}
},
"smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1_D": {
"hide_name": 0,
"bits": [ 832 ],
"attributes": {
}
},
"smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_D": {
"hide_name": 0,
"bits": [ 831 ],
"attributes": {
}
},
"smi_ctrl_ins.int_cnt_tx": {
"hide_name": 0,
"bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x" ],
"attributes": {
"hdlname": "smi_ctrl_ins int_cnt_tx",
"src": "smi_ctrl.v:174.16-174.26"
}
},
"smi_ctrl_ins.o_data_out": {
"hide_name": 0,
"bits": [ 439, 434, 428, "0", "0", "0", "0", "0" ],
"attributes": {
"hdlname": "smi_ctrl_ins o_data_out",
"src": "smi_ctrl.v:8.25-8.35"
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E": {
"hide_name": 0,
"bits": [ 833 ],
"attributes": {
}
},
"smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 97, 56, 198, 98 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_dir": {
"hide_name": 0,
"bits": [ 402 ],
"attributes": {
"hdlname": "smi_ctrl_ins o_dir",
"src": "smi_ctrl.v:32.25-32.30"
}
},
"smi_ctrl_ins.o_smi_data_out": {
"hide_name": 0,
"bits": [ 885, 877, 869, 861, 853, 845, 837, 835 ],
"attributes": {
"hdlname": "smi_ctrl_ins o_smi_data_out",
"src": "smi_ctrl.v:26.25-26.39"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D": {
"hide_name": 0,
"bits": [ 836 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 838, 839 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D": {
"hide_name": 0,
"bits": [ 844 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 846, 847 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D": {
"hide_name": 0,
"bits": [ 852 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 854, 855 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D": {
"hide_name": 0,
"bits": [ 860 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 862, 863 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D": {
"hide_name": 0,
"bits": [ 868 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 870, 871 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D": {
"hide_name": 0,
"bits": [ 876 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 878, 879 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D": {
"hide_name": 0,
"bits": [ 884 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 886, 887 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D": {
"hide_name": 0,
"bits": [ 834 ],
"attributes": {
}
},
"smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 892, 893 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.o_tx_fifo_clock": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "smi_ctrl_ins o_tx_fifo_clock",
"src": "smi_ctrl.v:21.25-21.40"
}
},
"smi_ctrl_ins.o_tx_fifo_pushed_data": {
"hide_name": 0,
"bits": [ "0", "x", "x", "x", "x", "x", "x", "0", "0", "0", "0", "0", "0", "0", "x", "0", "x", "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x", "0", "x" ],
"attributes": {
"hdlname": "smi_ctrl_ins o_tx_fifo_pushed_data",
"src": "smi_ctrl.v:19.25-19.46"
}
},
"smi_ctrl_ins.r_dir": {
"hide_name": 0,
"bits": [ 402 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_dir",
"src": "smi_ctrl.v:116.9-116.14"
}
},
"smi_ctrl_ins.r_dir_SB_DFFER_Q_E": {
"hide_name": 0,
"bits": [ 898 ],
"attributes": {
"defaultvalue": "1",
"src": "smi_ctrl.v:60.5-104.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9"
}
},
"smi_ctrl_ins.r_fifo_pull": {
"hide_name": 0,
"bits": [ 899 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_pull",
"src": "smi_ctrl.v:112.9-112.20"
}
},
"smi_ctrl_ins.r_fifo_pull_1": {
"hide_name": 0,
"bits": [ 900 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_pull_1",
"src": "smi_ctrl.v:113.9-113.22"
}
},
"smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 534 ],
"attributes": {
"defaultvalue": "1",
"src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21"
}
},
"smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I2_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 706 ],
"attributes": {
}
},
"smi_ctrl_ins.r_fifo_pulled_data": {
"hide_name": 0,
"bits": [ 889, 881, 873, 865, 857, 849, 841, 895, 888, 880, 872, 864, 856, 848, 840, 894, 891, 883, 875, 867, 859, 851, 843, 897, 890, 882, 874, 866, 858, 850, 842, 896 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_pulled_data",
"src": "smi_ctrl.v:117.16-117.34"
}
},
"smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_E": {
"hide_name": 0,
"bits": [ 63 ],
"attributes": {
}
},
"smi_ctrl_ins.r_fifo_push": {
"hide_name": 0,
"bits": [ 902 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_push",
"src": "smi_ctrl.v:179.9-179.20"
}
},
"smi_ctrl_ins.r_fifo_push_1": {
"hide_name": 0,
"bits": [ 903 ],
"attributes": {
"hdlname": "smi_ctrl_ins r_fifo_push_1",
"src": "smi_ctrl.v:180.9-180.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 3, 904 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O": {
"hide_name": 0,
"bits": [ 908, 913, 918, 940 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 914, 915, 916, 917 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 919, 920 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_I2": {
"hide_name": 0,
"bits": [ 921, 922, 920 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 926, 916, 927, 923 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 929, 928 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 930, 934, 939, 941 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_I2_O_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 931, 932, 933 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 948, 947, 945 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_I3_O_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 944, 945, 922, 946 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 950 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 951 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 952 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 954 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 914, 915, 916, 959 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 925 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1_I3_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 960 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 909, 958, 927, 912 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 956 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:61.24-61.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 905 ],
"attributes": {
}
},
"smi_ctrl_ins.soe_and_reset": {
"hide_name": 0,
"bits": [ 830 ],
"attributes": {
"hdlname": "smi_ctrl_ins soe_and_reset",
"src": "smi_ctrl.v:119.10-119.23"
}
},
"smi_ctrl_ins.swe_and_reset": {
"hide_name": 0,
"bits": [ 964 ],
"attributes": {
"hdlname": "smi_ctrl_ins swe_and_reset",
"src": "smi_ctrl.v:182.10-182.23"
}
},
"smi_ctrl_ins.tx_reg_state": {
"hide_name": 0,
"bits": [ 970, 972, 968, 966 ],
"attributes": {
"onehot": "00000000000000000000000000000001"
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1_D": {
"hide_name": 0,
"bits": [ 967 ],
"attributes": {
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2_D": {
"hide_name": 0,
"bits": [ 971 ],
"attributes": {
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D": {
"hide_name": 0,
"bits": [ 973 ],
"attributes": {
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I2": {
"hide_name": 0,
"bits": [ 974, 975 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_D": {
"hide_name": 0,
"bits": [ 965 ],
"attributes": {
}
},
"smi_ctrl_ins.w_fifo_pull_trigger": {
"hide_name": 0,
"bits": [ 901 ],
"attributes": {
"hdlname": "smi_ctrl_ins w_fifo_pull_trigger",
"src": "smi_ctrl.v:114.10-114.29"
}
},
"smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q_D": {
"hide_name": 0,
"bits": [ 976 ],
"attributes": {
}
},
"smi_ctrl_ins.w_fifo_push_trigger": {
"hide_name": 0,
"bits": [ 963 ],
"attributes": {
"hdlname": "smi_ctrl_ins w_fifo_push_trigger",
"src": "smi_ctrl.v:181.10-181.29"
}
},
"smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_D": {
"hide_name": 0,
"bits": [ 977 ],
"attributes": {
}
},
"smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_R": {
"hide_name": 0,
"bits": [ 978 ],
"attributes": {
}
},
"spi_if_ins.i_data_out": {
"hide_name": 0,
"bits": [ 436, 431, 424, 421, 418, 414, 408, 406 ],
"attributes": {
"hdlname": "spi_if_ins i_data_out",
"src": "spi_if.v:9.22-9.32"
}
},
"spi_if_ins.i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "spi_if_ins i_rst_b",
"src": "spi_if.v:4.11-4.18"
}
},
"spi_if_ins.i_spi_cs_b": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"hdlname": "spi_if_ins i_spi_cs_b",
"src": "spi_if.v:18.12-18.22"
}
},
"spi_if_ins.i_spi_mosi": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"hdlname": "spi_if_ins i_spi_mosi",
"src": "spi_if.v:17.12-17.22"
}
},
"spi_if_ins.i_spi_sck": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"hdlname": "spi_if_ins i_spi_sck",
"src": "spi_if.v:15.12-15.21"
}
},
"spi_if_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "spi_if_ins i_sys_clk",
"src": "spi_if.v:5.11-5.20"
}
},
"spi_if_ins.o_cs": {
"hide_name": 0,
"bits": [ 197, 69, 441, 440 ],
"attributes": {
"hdlname": "spi_if_ins o_cs",
"src": "spi_if.v:10.22-10.26"
}
},
"spi_if_ins.o_cs_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 986 ],
"attributes": {
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_1_O": {
"hide_name": 0,
"bits": [ 415, 410, 416 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_3_O": {
"hide_name": 0,
"bits": [ 126, 411, 426 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 3, 987 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_cs_SB_LUT4_I0_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 405 ],
"attributes": {
}
},
"spi_if_ins.o_data_in": {
"hide_name": 0,
"bits": [ 89, 87, 84, 92, 91, 135, 134, 131 ],
"attributes": {
"hdlname": "spi_if_ins o_data_in",
"src": "spi_if.v:8.22-8.31"
}
},
"spi_if_ins.o_data_in_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 989 ],
"attributes": {
}
},
"spi_if_ins.o_fetch_cmd": {
"hide_name": 0,
"bits": [ 95 ],
"attributes": {
"hdlname": "spi_if_ins o_fetch_cmd",
"src": "spi_if.v:11.22-11.33"
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 1003, 1002, 995 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 996 ],
"attributes": {
}
},
"spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 3, 1003, 1004, 1005 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_ioc": {
"hide_name": 0,
"bits": [ 56, 97, 208, 207, 206 ],
"attributes": {
"hdlname": "spi_if_ins o_ioc",
"src": "spi_if.v:7.22-7.27"
}
},
"spi_if_ins.o_ioc_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 68 ],
"attributes": {
}
},
"spi_if_ins.o_load_cmd": {
"hide_name": 0,
"bits": [ 94 ],
"attributes": {
"hdlname": "spi_if_ins o_load_cmd",
"src": "spi_if.v:12.22-12.32"
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 998, 999 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1006 ],
"attributes": {
}
},
"spi_if_ins.o_load_cmd_SB_DFFESR_Q_R": {
"hide_name": 0,
"bits": [ 997 ],
"attributes": {
}
},
"spi_if_ins.o_spi_miso": {
"hide_name": 0,
"bits": [ 399 ],
"attributes": {
"hdlname": "spi_if_ins o_spi_miso",
"src": "spi_if.v:16.12-16.22"
}
},
"spi_if_ins.r_tx_byte": {
"hide_name": 0,
"bits": [ 1018, 1017, 1016, 1015, 1014, 1013, 1012, 1011 ],
"attributes": {
"hdlname": "spi_if_ins r_tx_byte",
"src": "spi_if.v:33.14-33.23"
}
},
"spi_if_ins.r_tx_data_valid": {
"hide_name": 0,
"bits": [ 1020 ],
"attributes": {
"hdlname": "spi_if_ins r_tx_data_valid",
"src": "spi_if.v:32.14-32.29"
}
},
"spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1019 ],
"attributes": {
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O": {
"hide_name": 0,
"bits": [ 1022 ],
"attributes": {
}
},
"spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1027, 1026, 1021 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.SCKr": {
"hide_name": 0,
"bits": [ 1028, 1026, 1027 ],
"attributes": {
"hdlname": "spi_if_ins spi SCKr",
"src": "spi_slave.v:61.13-61.17"
}
},
"spi_if_ins.spi.i_spi_cs_b": {
"hide_name": 0,
"bits": [ 45 ],
"attributes": {
"hdlname": "spi_if_ins spi i_spi_cs_b",
"src": "spi_slave.v:13.16-13.26"
}
},
"spi_if_ins.spi.i_spi_mosi": {
"hide_name": 0,
"bits": [ 43 ],
"attributes": {
"hdlname": "spi_if_ins spi i_spi_mosi",
"src": "spi_slave.v:12.16-12.26"
}
},
"spi_if_ins.spi.i_spi_sck": {
"hide_name": 0,
"bits": [ 44 ],
"attributes": {
"hdlname": "spi_if_ins spi i_spi_sck",
"src": "spi_slave.v:10.16-10.25"
}
},
"spi_if_ins.spi.i_sys_clk": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "spi_if_ins spi i_sys_clk",
"src": "spi_slave.v:3.22-3.31"
}
},
"spi_if_ins.spi.i_tx_byte": {
"hide_name": 0,
"bits": [ 1018, 1017, 1016, 1015, 1014, 1013, 1012, 1011 ],
"attributes": {
"hdlname": "spi_if_ins spi i_tx_byte",
"src": "spi_slave.v:7.22-7.31"
}
},
"spi_if_ins.spi.i_tx_data_valid": {
"hide_name": 0,
"bits": [ 1020 ],
"attributes": {
"hdlname": "spi_if_ins spi i_tx_data_valid",
"src": "spi_slave.v:6.22-6.37"
}
},
"spi_if_ins.spi.o_rx_byte": {
"hide_name": 0,
"bits": [ 994, 993, 992, 991, 990, 72, 71, 988 ],
"attributes": {
"hdlname": "spi_if_ins spi o_rx_byte",
"src": "spi_slave.v:5.22-5.31"
}
},
"spi_if_ins.spi.o_rx_data_valid": {
"hide_name": 0,
"bits": [ 1003 ],
"attributes": {
"hdlname": "spi_if_ins spi o_rx_data_valid",
"src": "spi_slave.v:4.22-4.37"
}
},
"spi_if_ins.spi.o_spi_miso": {
"hide_name": 0,
"bits": [ 399 ],
"attributes": {
"hdlname": "spi_if_ins spi o_spi_miso",
"src": "spi_slave.v:11.16-11.26"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 1038 ],
"attributes": {
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1011, 1021, 1039 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1": {
"hide_name": 0,
"bits": [ 1040, 1041, 1042, 1043 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2_I2": {
"hide_name": 0,
"bits": [ 1046, 1050, 1051 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E": {
"hide_name": 0,
"bits": [ 1021, 1023, 1024 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.r2_rx_done": {
"hide_name": 0,
"bits": [ 1057 ],
"attributes": {
"hdlname": "spi_if_ins spi r2_rx_done",
"src": "spi_slave.v:21.7-21.17"
}
},
"spi_if_ins.spi.r3_rx_done": {
"hide_name": 0,
"bits": [ 1058 ],
"attributes": {
"hdlname": "spi_if_ins spi r3_rx_done",
"src": "spi_slave.v:22.7-22.17"
}
},
"spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 1030 ],
"attributes": {
}
},
"spi_if_ins.spi.r_rx_bit_count": {
"hide_name": 0,
"bits": [ 1063, 1062, 1060 ],
"attributes": {
"hdlname": "spi_if_ins spi r_rx_bit_count",
"src": "spi_slave.v:16.13-16.27"
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1_D": {
"hide_name": 0,
"bits": [ 1061 ],
"attributes": {
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D": {
"hide_name": 0,
"bits": [ 1064 ],
"attributes": {
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 1059 ],
"attributes": {
}
},
"spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1065 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"spi_if_ins.spi.r_rx_byte": {
"hide_name": 0,
"bits": [ 1037, 1036, 1035, 1034, 1033, 1032, 1031, 1029 ],
"attributes": {
"hdlname": "spi_if_ins spi r_rx_byte",
"src": "spi_slave.v:19.13-19.22"
}
},
"spi_if_ins.spi.r_rx_done": {
"hide_name": 0,
"bits": [ 1056 ],
"attributes": {
"hdlname": "spi_if_ins spi r_rx_done",
"src": "spi_slave.v:20.7-20.16"
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 45, 1074 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1067 ],
"attributes": {
}
},
"spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1075 ],
"attributes": {
}
},
"spi_if_ins.spi.r_temp_rx_byte": {
"hide_name": 0,
"bits": [ 1073, 1072, 1071, 1070, 1069, 1068, 1066, "x" ],
"attributes": {
"hdlname": "spi_if_ins spi r_temp_rx_byte",
"src": "spi_slave.v:18.13-18.27"
}
},
"spi_if_ins.spi.r_tx_bit_count": {
"hide_name": 0,
"bits": [ 1047, 1046, 1040 ],
"attributes": {
"hdlname": "spi_if_ins spi r_tx_bit_count",
"src": "spi_slave.v:17.13-17.27"
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 1076 ],
"attributes": {
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1_D": {
"hide_name": 0,
"bits": [ 1078 ],
"attributes": {
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D": {
"hide_name": 0,
"bits": [ 1077 ],
"attributes": {
}
},
"spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1079 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"spi_if_ins.spi.r_tx_byte": {
"hide_name": 0,
"bits": [ 1053, 1052, 1055, 1054, 1049, 1045, 1048, 1044 ],
"attributes": {
"hdlname": "spi_if_ins spi r_tx_byte",
"src": "spi_slave.v:23.13-23.22"
}
},
"spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1025 ],
"attributes": {
}
},
"spi_if_ins.state_if": {
"hide_name": 0,
"bits": [ 1001, 1000, 998 ],
"attributes": {
"hdlname": "spi_if_ins state_if",
"src": "spi_if.v:29.14-29.22"
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 1082 ],
"attributes": {
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 988, 1004, 1083 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 3, 1007, 1080 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1010 ],
"attributes": {
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1003, 1004 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1007, 1008, 1009 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"spi_if_ins.state_if_SB_DFFESR_Q_E": {
"hide_name": 0,
"bits": [ 1081 ],
"attributes": {
}
},
"spi_if_ins.w_rx_data": {
"hide_name": 0,
"bits": [ 994, 993, 992, 991, 990, 72, 71, 988 ],
"attributes": {
"hdlname": "spi_if_ins w_rx_data",
"src": "spi_if.v:31.14-31.23"
}
},
"spi_if_ins.w_rx_data_valid": {
"hide_name": 0,
"bits": [ 1003 ],
"attributes": {
"hdlname": "spi_if_ins w_rx_data_valid",
"src": "spi_if.v:30.14-30.29"
}
},
"sys_ctrl_ins.i_cs": {
"hide_name": 0,
"bits": [ 197 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_cs",
"src": "sys_ctrl.v:9.29-9.33"
}
},
"sys_ctrl_ins.i_cs_SB_DFFE_Q_D": {
"hide_name": 0,
"bits": [ 70 ],
"attributes": {
}
},
"sys_ctrl_ins.i_data_in": {
"hide_name": 0,
"bits": [ 89, 87, 84, 92, 91, 135, 134, 131 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_data_in",
"src": "sys_ctrl.v:7.29-7.38"
}
},
"sys_ctrl_ins.i_fetch_cmd": {
"hide_name": 0,
"bits": [ 95 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_fetch_cmd",
"src": "sys_ctrl.v:10.29-10.40"
}
},
"sys_ctrl_ins.i_ioc": {
"hide_name": 0,
"bits": [ 56, 97, 208, 207, 206 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_ioc",
"src": "sys_ctrl.v:6.29-6.34"
}
},
"sys_ctrl_ins.i_load_cmd": {
"hide_name": 0,
"bits": [ 94 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_load_cmd",
"src": "sys_ctrl.v:11.29-11.39"
}
},
"sys_ctrl_ins.i_rst_b": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_rst_b",
"src": "sys_ctrl.v:3.29-3.36"
}
},
"sys_ctrl_ins.i_sys_clk": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "sys_ctrl_ins i_sys_clk",
"src": "sys_ctrl.v:4.29-4.38"
}
},
"sys_ctrl_ins.o_debug_loopback_tx": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"hdlname": "sys_ctrl_ins o_debug_loopback_tx",
"src": "sys_ctrl.v:17.29-17.48"
}
},
"sys_ctrl_ins.o_tx_sample_gap": {
"hide_name": 0,
"bits": [ "x", "x", "x", "x" ],
"attributes": {
"hdlname": "sys_ctrl_ins o_tx_sample_gap",
"src": "sys_ctrl.v:18.29-18.44"
}
},
"tx_fifo.debug_pull": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "tx_fifo debug_pull",
"src": "complex_fifo.v:19.18-19.28"
}
},
"tx_fifo.debug_push": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"hdlname": "tx_fifo debug_push",
"src": "complex_fifo.v:20.18-20.28"
}
},
"tx_fifo.empty_o": {
"hide_name": 0,
"bits": [ 352 ],
"attributes": {
"hdlname": "tx_fifo empty_o",
"src": "complex_fifo.v:17.19-17.26"
}
},
"tx_fifo.empty_o_SB_DFFNSS_Q_D": {
"hide_name": 0,
"bits": [ 1084 ],
"attributes": {
}
},
"tx_fifo.empty_o_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 394, 1086, 1085, 1087 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.empty_o_SB_LUT4_I1_O_SB_LUT4_I1_O": {
"hide_name": 0,
"bits": [ 390, 391, 385, 392 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.full_o": {
"hide_name": 0,
"bits": [ 400 ],
"attributes": {
"hdlname": "tx_fifo full_o",
"src": "complex_fifo.v:16.19-16.25"
}
},
"tx_fifo.full_o_SB_DFFSR_Q_D": {
"hide_name": 0,
"bits": [ 1089 ],
"attributes": {
}
},
"tx_fifo.rd_addr": {
"hide_name": 0,
"bits": [ 1088, 380, 379, 387, 385, 390, 377, 374, 373, 384 ],
"attributes": {
"hdlname": "tx_fifo rd_addr",
"src": "complex_fifo.v:27.23-27.30"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D": {
"hide_name": 0,
"bits": [ 1092, 1091 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 368, 369, 370, 371 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 393, 381, 395, 396 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1099 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 1100 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 1101 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 1102 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 351, 353, 354 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1091, 1090 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 1103 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 1104 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 1105 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 1106 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:73.15-73.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1098, 1107 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1108, 1097 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_SB_DFFNESR_Q_8_D": {
"hide_name": 0,
"bits": [ 1109 ],
"attributes": {
}
},
"tx_fifo.rd_addr_gray": {
"hide_name": 0,
"bits": [ 1119, 1117, 1116, 1115, 1114, 1113, 1112, 1111, 1110, 384 ],
"attributes": {
"hdlname": "tx_fifo rd_addr_gray",
"src": "complex_fifo.v:28.23-28.35"
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1_D": {
"hide_name": 0,
"bits": [ 364, 365, 366 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D": {
"hide_name": 0,
"bits": [ 375, 391, 1095, 1096 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7_D": {
"hide_name": 0,
"bits": [ 386, 389, 1093, 1094 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D": {
"hide_name": 0,
"bits": [ 1118 ],
"attributes": {
}
},
"tx_fifo.rd_addr_gray_wr": {
"hide_name": 0,
"bits": [ 1129, 1128, 1127, 1126, 1125, 1124, 1123, 1122, 1121, 1120 ],
"attributes": {
"hdlname": "tx_fifo rd_addr_gray_wr",
"src": "complex_fifo.v:29.23-29.38"
}
},
"tx_fifo.rd_addr_gray_wr_r": {
"hide_name": 0,
"bits": [ 910, 907, 948, 944, 921, 919, 914, 926, 958, 909 ],
"attributes": {
"hdlname": "tx_fifo rd_addr_gray_wr_r",
"src": "complex_fifo.v:30.23-30.40"
}
},
"tx_fifo.rd_en_i": {
"hide_name": 0,
"bits": [ 351 ],
"attributes": {
"hdlname": "tx_fifo rd_en_i",
"src": "complex_fifo.v:13.28-13.35"
}
},
"tx_fifo.rd_rst_b_i": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "tx_fifo rd_rst_b_i",
"src": "complex_fifo.v:11.28-11.38"
}
},
"tx_fifo.wr_addr": {
"hide_name": 0,
"bits": [ 1146, 942, 906, 961, 924, 949, 953, 955, 957, 962 ],
"attributes": {
"hdlname": "tx_fifo wr_addr",
"src": "complex_fifo.v:23.23-23.30"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 1140, 1132 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 944, 1136, 1143, 1133 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1137 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": {
"hide_name": 0,
"bits": [ 1138 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_2_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1131, 1130 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_3_D": {
"hide_name": 0,
"bits": [ 1142, 1140 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_3_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1139 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D": {
"hide_name": 0,
"bits": [ 1135, 1142 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1141 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 1144 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 1145 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 1134, 1135 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_7_D": {
"hide_name": 0,
"bits": [ 911, 1134 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 919, 907, 1147, 1148 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_SB_DFFESR_Q_8_D": {
"hide_name": 0,
"bits": [ 1149 ],
"attributes": {
}
},
"tx_fifo.wr_addr_gray": {
"hide_name": 0,
"bits": [ 1165, 1163, 1161, 1159, 1158, 1156, 1155, 1153, 1151, 962 ],
"attributes": {
"hdlname": "tx_fifo wr_addr_gray",
"src": "complex_fifo.v:24.23-24.35"
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D": {
"hide_name": 0,
"bits": [ 1150 ],
"attributes": {
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_2_D": {
"hide_name": 0,
"bits": [ 1152 ],
"attributes": {
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D": {
"hide_name": 0,
"bits": [ 1154 ],
"attributes": {
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D": {
"hide_name": 0,
"bits": [ 1157 ],
"attributes": {
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": {
"hide_name": 0,
"bits": [ 944, 948, 1160, 1143 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O": {
"hide_name": 0,
"bits": [ 935, 936, 937, 938 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_1_I3": {
"hide_name": 0,
"bits": [ 926, 1131, 1130, 1162 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D": {
"hide_name": 0,
"bits": [ 1164 ],
"attributes": {
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_D": {
"hide_name": 0,
"bits": [ 1130, 943 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_D_SB_LUT4_O_I3": {
"hide_name": 0,
"bits": [ 1166 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_gray_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": {
"hide_name": 0,
"bits": [ 1167 ],
"attributes": {
"abc9_carry": "00000000000000000000000000000001",
"src": "complex_fifo.v:46.15-46.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11"
}
},
"tx_fifo.wr_addr_gray_rd": {
"hide_name": 0,
"bits": [ 1177, 1176, 1175, 1174, 1173, 1172, 1171, 1170, 1169, 1168 ],
"attributes": {
"hdlname": "tx_fifo wr_addr_gray_rd",
"src": "complex_fifo.v:25.23-25.38"
}
},
"tx_fifo.wr_addr_gray_rd_r": {
"hide_name": 0,
"bits": [ 394, 381, 389, 386, 391, 393, 368, 375, 364, 353 ],
"attributes": {
"hdlname": "tx_fifo wr_addr_gray_rd_r",
"src": "complex_fifo.v:26.23-26.40"
}
},
"tx_fifo.wr_clk_i": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"hdlname": "tx_fifo wr_clk_i",
"src": "complex_fifo.v:7.28-7.36"
}
},
"tx_fifo.wr_data_i": {
"hide_name": 0,
"bits": [ "0", "x", "x", "x", "x", "x", "x", "0", "0", "0", "0", "0", "0", "0", "x", "0", "x", "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x", "0", "x" ],
"attributes": {
"hdlname": "tx_fifo wr_data_i",
"src": "complex_fifo.v:9.32-9.41"
}
},
"tx_fifo.wr_rst_b_i": {
"hide_name": 0,
"bits": [ 3 ],
"attributes": {
"hdlname": "tx_fifo wr_rst_b_i",
"src": "complex_fifo.v:6.28-6.38"
}
},
"tx_sample_gap": {
"hide_name": 0,
"bits": [ "x", "x", "x", "x" ],
"attributes": {
"src": "top.v:184.14-184.27"
}
},
"w_clock_sys": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"src": "top.v:97.14-97.25"
}
},
"w_cs": {
"hide_name": 0,
"bits": [ 197, 69, 441, 440 ],
"attributes": {
"src": "top.v:101.14-101.18"
}
},
"w_debug_lb_tx": {
"hide_name": 0,
"bits": [ "x" ],
"attributes": {
"src": "top.v:183.8-183.21"
}
},
"w_fetch": {
"hide_name": 0,
"bits": [ 95 ],
"attributes": {
"src": "top.v:102.14-102.21"
}
},
"w_ioc": {
"hide_name": 0,
"bits": [ 56, 97, 208, 207, 206 ],
"attributes": {
"src": "top.v:98.14-98.19"
}
},
"w_load": {
"hide_name": 0,
"bits": [ 94 ],
"attributes": {
"src": "top.v:103.14-103.20"
}
},
"w_lvds_rx_09_d0": {
"hide_name": 0,
"bits": [ 158 ],
"attributes": {
"src": "top.v:353.8-353.23"
}
},
"w_lvds_rx_09_d0_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 3, 1178 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"w_lvds_rx_09_d0_SB_LUT4_I0_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 211 ],
"attributes": {
}
},
"w_lvds_rx_09_d1": {
"hide_name": 0,
"bits": [ 159 ],
"attributes": {
"src": "top.v:354.8-354.23"
}
},
"w_lvds_rx_24_d0": {
"hide_name": 0,
"bits": [ 160 ],
"attributes": {
"src": "top.v:355.8-355.23"
}
},
"w_lvds_rx_24_d1": {
"hide_name": 0,
"bits": [ 161 ],
"attributes": {
"src": "top.v:356.8-356.23"
}
},
"w_lvds_rx_24_d1_SB_LUT4_I0_O": {
"hide_name": 0,
"bits": [ 3, 1179 ],
"attributes": {
"force_downto": "00000000000000000000000000000001",
"src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22"
}
},
"w_lvds_rx_24_d1_SB_LUT4_I0_O_SB_LUT4_I3_O": {
"hide_name": 0,
"bits": [ 287 ],
"attributes": {
}
},
"w_lvds_tx_d0": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "top.v:424.8-424.20"
}
},
"w_lvds_tx_d1": {
"hide_name": 0,
"bits": [ "0" ],
"attributes": {
"src": "top.v:425.8-425.20"
}
},
"w_rx_09_fifo_data": {
"hide_name": 0,
"bits": [ 272, 237, 212, 214, 239, 261, 263, 265, 267, 269, 217, 220, 216, 219, 222, 224, 226, 228, 230, 232, 234, 236, 241, 243, 245, 247, 249, 251, 253, 255, 257, 259 ],
"attributes": {
"src": "top.v:361.15-361.32"
}
},
"w_rx_09_fifo_write_clk": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"src": "top.v:359.8-359.30"
}
},
"w_rx_24_fifo_data": {
"hide_name": 0,
"bits": [ 348, 313, 288, 290, 315, 337, 339, 341, 343, 345, 293, 296, 292, 295, 298, 300, 302, 304, 306, 308, 310, 312, 317, 319, 321, 323, 325, 327, 329, 331, 333, 335 ],
"attributes": {
"src": "top.v:365.15-365.32"
}
},
"w_rx_24_fifo_write_clk": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"src": "top.v:363.8-363.30"
}
},
"w_rx_data": {
"hide_name": 0,
"bits": [ 89, 87, 84, 92, 91, 135, 134, 131 ],
"attributes": {
"src": "top.v:99.14-99.23"
}
},
"w_rx_fifo_empty": {
"hide_name": 0,
"bits": [ 401 ],
"attributes": {
"src": "top.v:401.8-401.23"
}
},
"w_rx_fifo_full": {
"hide_name": 0,
"bits": [ 485 ],
"attributes": {
"src": "top.v:400.8-400.22"
}
},
"w_rx_fifo_pulled_data": {
"hide_name": 0,
"bits": [ 626, 634, 630, 638, 646, 654, 650, 658, 666, 674, 670, 678, 686, 694, 690, 698, 536, 544, 540, 548, 566, 574, 570, 578, 586, 594, 590, 598, 606, 614, 610, 618 ],
"attributes": {
"src": "top.v:399.15-399.36"
}
},
"w_rx_fifo_write_clk": {
"hide_name": 0,
"bits": [ 157 ],
"attributes": {
"src": "top.v:395.8-395.27"
}
},
"w_rx_sync_input_09": {
"hide_name": 0,
"bits": [ 162 ],
"attributes": {
"src": "top.v:119.8-119.26"
}
},
"w_rx_sync_input_24": {
"hide_name": 0,
"bits": [ 273 ],
"attributes": {
"src": "top.v:120.8-120.26"
}
},
"w_smi_data_direction": {
"hide_name": 0,
"bits": [ 402 ],
"attributes": {
"src": "top.v:474.8-474.28"
}
},
"w_smi_data_input": {
"hide_name": 0,
"bits": [ 979, 980, 981, 982, 983, 984, 985, 969 ],
"attributes": {
"src": "top.v:513.14-513.30",
"unused_bits": "0 1 2 3 4 5 6"
}
},
"w_smi_data_output": {
"hide_name": 0,
"bits": [ 885, 877, 869, 861, 853, 845, 837, 835 ],
"attributes": {
"src": "top.v:512.14-512.31"
}
},
"w_tx_data_io": {
"hide_name": 0,
"bits": [ 119, 106, 114, 109, 129, 126, 124, 122 ],
"attributes": {
"src": "top.v:106.14-106.26"
}
},
"w_tx_data_smi": {
"hide_name": 0,
"bits": [ 439, 434, 428 ],
"attributes": {
}
},
"w_tx_fifo_clock": {
"hide_name": 0,
"bits": [ 66 ],
"attributes": {
"src": "top.v:447.8-447.23"
}
},
"w_tx_fifo_data": {
"hide_name": 0,
"bits": [ "0", "x", "x", "x", "x", "x", "x", "0", "0", "0", "0", "0", "0", "0", "x", "0", "x", "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x", "0", "x" ],
"attributes": {
"src": "top.v:448.15-448.29"
}
},
"w_tx_fifo_empty": {
"hide_name": 0,
"bits": [ 352 ],
"attributes": {
"src": "top.v:444.8-444.23"
}
},
"w_tx_fifo_full": {
"hide_name": 0,
"bits": [ 400 ],
"attributes": {
"src": "top.v:443.8-443.22"
}
},
"w_tx_fifo_pull": {
"hide_name": 0,
"bits": [ 351 ],
"attributes": {
"src": "top.v:449.8-449.22"
}
}
}
}
}
}