{ "creator": "Yosys 0.39+0 (git sha1 18cec2d9a, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os)", "modules": { "$__ABC9_DELAY": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000000000000" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000000000000", "T_FALL_MIN": "00000000000000000000000000000000", "T_FALL_TYP": "00000000000000000000000000000000", "T_RISE_MAX": "00000000000000000000000000000000", "T_RISE_MIN": "00000000000000000000000000000000", "T_RISE_TYP": "00000000000000000000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$__ABC9_SCC_BREAKER": { "attributes": { "dynports": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:9.1-11.10" }, "parameter_default_values": { "WIDTH": "00000000000000000000000000000000" }, "ports": { "I": { "direction": "input", "offset": -1, "upto": 1, "bits": [ 2, 3 ] }, "O": { "direction": "output", "offset": -1, "upto": 1, "bits": [ 4, 5 ] } }, "cells": { }, "netnames": { "I": { "hide_name": 0, "bits": [ 2, 3 ], "offset": -1, "upto": 1, "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:9.47-9.48" } }, "O": { "hide_name": 0, "bits": [ 4, 5 ], "offset": -1, "upto": 1, "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:9.69-9.70" } } } }, "$__DFF_N__$abc9_flop": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:14.1-20.10" }, "ports": { "C": { "direction": "input", "bits": [ 2 ] }, "D": { "direction": "input", "bits": [ 3 ] }, "Q": { "direction": "input", "bits": [ 4 ] }, "n1": { "direction": "output", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:14.36-14.37" } }, "D": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:14.39-14.40" } }, "Q": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:14.42-14.43" } }, "n1": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:14.52-14.54" } } } }, "$__DFF_P__$abc9_flop": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:23.1-29.10" }, "ports": { "C": { "direction": "input", "bits": [ 2 ] }, "D": { "direction": "input", "bits": [ 3 ] }, "Q": { "direction": "input", "bits": [ 4 ] }, "n1": { "direction": "output", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:23.36-23.37" } }, "D": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:23.39-23.40" } }, "Q": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:23.42-23.43" } }, "n1": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:23.52-23.54" } } } }, "$__ICE40_CARRY_WRAPPER": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:2.1-86.10" }, "parameter_default_values": { "I3_IS_CI": "00000000000000000000000000000000", "LUT": "00000000000000000000000000000000" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] }, "A": { "direction": "input", "bits": [ 4 ] }, "B": { "direction": "input", "bits": [ 5 ] }, "CI": { "direction": "input", "bits": [ 6 ] }, "I0": { "direction": "input", "bits": [ 7 ] }, "I3": { "direction": "input", "bits": [ 8 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.8-6.9" } }, "B": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.11-6.12" } }, "CI": { "hide_name": 0, "bits": [ 6 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "I0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.8-9.10" } }, "I3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.12-9.14" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:5.9-5.10" } } } }, "$paramod$85a472072107804a1e6bedb8b52fb3de6104e462\\SB_RAM40_4K": { "attributes": { "hdlname": "SB_RAM40_4K", "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1487.1-1724.10" }, "parameter_default_values": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_FILE": " ", "READ_MODE": "10", "WRITE_MODE": "10" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$8183": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$8184": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$8185": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$8186": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$90": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.3-1661.51" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } }, "$specify$91": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.3-1663.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$92": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1665.3-1665.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$93": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1667.3-1667.32" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$94": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.3-1669.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$95": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1671.3-1671.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$96": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.3-1673.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$97": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1675.3-1675.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$98": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1677.3-1677.44" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$8183_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$8184_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$8185_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$8186_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1497.16-1497.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1492.16-1492.21" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1489.16-1489.20" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1491.16-1491.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1496.16-1496.21" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1493.16-1493.20" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1498.16-1498.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1495.16-1495.18" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000000010101": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000000010101" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000000010101", "T_FALL_MIN": "00000000000000000000000000010101", "T_FALL_TYP": "00000000000000000000000000010101", "T_RISE_MAX": "00000000000000000000000000010101", "T_RISE_MIN": "00000000000000000000000000010101", "T_RISE_TYP": "00000000000000000000000000010101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000001100010": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000001100010" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000001100010", "T_FALL_MIN": "00000000000000000000000001100010", "T_FALL_TYP": "00000000000000000000000001100010", "T_RISE_MAX": "00000000000000000000000001100010", "T_RISE_MIN": "00000000000000000000000001100010", "T_RISE_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000010000101": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000010000101" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000010000101", "T_FALL_MIN": "00000000000000000000000010000101", "T_FALL_TYP": "00000000000000000000000010000101", "T_RISE_MAX": "00000000000000000000000010000101", "T_RISE_MIN": "00000000000000000000000010000101", "T_RISE_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000010100001": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000010100001" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000010100001", "T_FALL_MIN": "00000000000000000000000010100001", "T_FALL_TYP": "00000000000000000000000010100001", "T_RISE_MAX": "00000000000000000000000010100001", "T_RISE_MIN": "00000000000000000000000010100001", "T_RISE_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000011001011": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000011001011" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000011001011", "T_FALL_MIN": "00000000000000000000000011001011", "T_FALL_TYP": "00000000000000000000000011001011", "T_RISE_MAX": "00000000000000000000000011001011", "T_RISE_MIN": "00000000000000000000000011001011", "T_RISE_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000011100000": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000011100000" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000011100000", "T_FALL_MIN": "00000000000000000000000011100000", "T_FALL_TYP": "00000000000000000000000011100000", "T_RISE_MAX": "00000000000000000000000011100000", "T_RISE_MIN": "00000000000000000000000011100000", "T_RISE_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000100001011": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000100001011" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100001011", "T_FALL_MIN": "00000000000000000000000100001011", "T_FALL_TYP": "00000000000000000000000100001011", "T_RISE_MAX": "00000000000000000000000100001011", "T_RISE_MIN": "00000000000000000000000100001011", "T_RISE_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000100010010": { "attributes": { "blackbox": "00000000000000000000000000000001", "hdlname": "__ABC9_DELAY", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.1-7.10" }, "parameter_default_values": { "DELAY": "00000000000000000000000100010010" }, "ports": { "I": { "direction": "input", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] } }, "cells": { "$specify$8308": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100010010", "T_FALL_MIN": "00000000000000000000000100010010", "T_FALL_TYP": "00000000000000000000000100010010", "T_RISE_MAX": "00000000000000000000000100010010", "T_RISE_MIN": "00000000000000000000000100010010", "T_RISE_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/abc9_model.v:5.5-5.22" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 3 ], "EN": [ "1" ], "SRC": [ 2 ] } } }, "netnames": { "I": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.29-2.30" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/abc9_model.v:2.39-2.40" } } } }, "$paramod$__ICE40_CARRY_WRAPPER\\LUT=16'0110100110010110\\I3_IS_CI=1'1": { "attributes": { "hdlname": "__ICE40_CARRY_WRAPPER", "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:2.1-86.10" }, "parameter_default_values": { "I3_IS_CI": "1", "LUT": "0110100110010110" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "O": { "direction": "output", "bits": [ 3 ] }, "A": { "direction": "input", "bits": [ 4 ] }, "B": { "direction": "input", "bits": [ 5 ] }, "CI": { "direction": "input", "bits": [ 6 ] }, "I0": { "direction": "input", "bits": [ 7 ] }, "I3": { "direction": "input", "bits": [ 8 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.8-6.9" } }, "B": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:6.11-6.12" } }, "CI": { "hide_name": 0, "bits": [ 6 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "I0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.8-9.10" } }, "I3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:9.12-9.14" } }, "O": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/abc9_model.v:5.9-5.10" } } } }, "ICESTORM_LC": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2136.1-2420.10" }, "parameter_default_values": { "ASYNC_SR": "0", "CARRY_ENABLE": "0", "CIN_CONST": "0", "CIN_SET": "0", "DFF_ENABLE": "0", "LUT_INIT": "0000000000000000", "NEG_CLK": "0", "SET_NORESET": "0" }, "ports": { "I0": { "direction": "input", "bits": [ 2 ] }, "I1": { "direction": "input", "bits": [ 3 ] }, "I2": { "direction": "input", "bits": [ 4 ] }, "I3": { "direction": "input", "bits": [ 5 ] }, "CIN": { "direction": "input", "bits": [ 6 ] }, "CLK": { "direction": "input", "bits": [ 7 ] }, "CEN": { "direction": "input", "bits": [ 8 ] }, "SR": { "direction": "input", "bits": [ 9 ] }, "LO": { "direction": "output", "bits": [ 10 ] }, "O": { "direction": "output", "bits": [ 11 ] }, "COUT": { "direction": "output", "bits": [ 12 ] } }, "cells": { "$specify$126": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000001101001", "T_FALL_MIN": "00000000000000000000000001010101", "T_FALL_TYP": "00000000000000000000000001011110", "T_RISE_MAX": "00000000000000000000000001111110", "T_RISE_MIN": "00000000000000000000000001100101", "T_RISE_TYP": "00000000000000000000000001110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2231.2-2231.43" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 12 ], "EN": [ "1" ], "SRC": [ 6 ] } }, "$specify$127": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000110000010", "T_FALL_MIN": "00000000000000000000000100110110", "T_FALL_TYP": "00000000000000000000000101010111", "T_RISE_MAX": "00000000000000000000000111000001", "T_RISE_MIN": "00000000000000000000000101101001", "T_RISE_TYP": "00000000000000000000000110001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2233.2-2233.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 2 ] } }, "$specify$128": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000110000010", "T_FALL_MIN": "00000000000000000000000100110110", "T_FALL_TYP": "00000000000000000000000101010111", "T_RISE_MAX": "00000000000000000000000101101101", "T_RISE_MIN": "00000000000000000000000100100101", "T_RISE_TYP": "00000000000000000000000101000100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2235.2-2235.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 2 ] } }, "$specify$129": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000011110101", "T_FALL_MIN": "00000000000000000000000011000101", "T_FALL_TYP": "00000000000000000000000011011010", "T_RISE_MAX": "00000000000000000000000100000011", "T_RISE_MIN": "00000000000000000000000011010001", "T_RISE_TYP": "00000000000000000000000011100111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2237.2-2237.44" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 12 ], "EN": [ "1" ], "SRC": [ 3 ] } }, "$specify$130": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000101111011", "T_FALL_MIN": "00000000000000000000000100110000", "T_FALL_TYP": "00000000000000000000000101010001", "T_RISE_MAX": "00000000000000000000000110010000", "T_RISE_MIN": "00000000000000000000000101000001", "T_RISE_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2239.2-2239.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 3 ] } }, "$specify$131": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000101111011", "T_FALL_MIN": "00000000000000000000000100110000", "T_FALL_TYP": "00000000000000000000000101010001", "T_RISE_MAX": "00000000000000000000000101000011", "T_RISE_MIN": "00000000000000000000000100000011", "T_RISE_TYP": "00000000000000000000000100011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2241.2-2241.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 3 ] } }, "$specify$132": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000010000101", "T_FALL_MIN": "00000000000000000000000001101011", "T_FALL_TYP": "00000000000000000000000001110110", "T_RISE_MAX": "00000000000000000000000011100111", "T_RISE_MIN": "00000000000000000000000010111010", "T_RISE_TYP": "00000000000000000000000011001110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2243.2-2243.44" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 12 ], "EN": [ "1" ], "SRC": [ 4 ] } }, "$specify$133": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000101011111", "T_FALL_MIN": "00000000000000000000000100011010", "T_FALL_TYP": "00000000000000000000000100111000", "T_RISE_MAX": "00000000000000000000000101111011", "T_RISE_MIN": "00000000000000000000000100110000", "T_RISE_TYP": "00000000000000000000000101010001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2245.2-2245.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 4 ] } }, "$specify$134": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100100000", "T_FALL_MIN": "00000000000000000000000011100111", "T_FALL_TYP": "00000000000000000000000100000000", "T_RISE_MAX": "00000000000000000000000100111100", "T_RISE_MIN": "00000000000000000000000011111110", "T_RISE_TYP": "00000000000000000000000100011001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2247.2-2247.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 4 ] } }, "$specify$135": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100100000", "T_FALL_MIN": "00000000000000000000000011100111", "T_FALL_TYP": "00000000000000000000000100000000", "T_RISE_MAX": "00000000000000000000000100111100", "T_RISE_MIN": "00000000000000000000000011111110", "T_RISE_TYP": "00000000000000000000000100011001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2249.2-2249.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 5 ] } }, "$specify$136": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000000100010010", "T_FALL_MIN": "00000000000000000000000011011100", "T_FALL_TYP": "00000000000000000000000011110011", "T_RISE_MAX": "00000000000000000000000100001011", "T_RISE_MIN": "00000000000000000000000011010110", "T_RISE_TYP": "00000000000000000000000011101101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2251.2-2251.42" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 10 ], "EN": [ "1" ], "SRC": [ 5 ] } }, "$specify$137": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000001000011100", "T_FALL_MIN": "00000000000000000000000110110010", "T_FALL_TYP": "00000000000000000000000111100000", "T_RISE_MAX": "00000000000000000000001000011100", "T_RISE_MIN": "00000000000000000000000110110010", "T_RISE_TYP": "00000000000000000000000111100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2253.2-2253.59" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x" ], "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 7 ] } }, "$specify$138": { "hide_name": 1, "type": "$specify2", "parameters": { "DST_WIDTH": "00000000000000000000000000000001", "FULL": "0", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000001001010111", "T_FALL_MIN": "00000000000000000000000111100010", "T_FALL_TYP": "00000000000000000000001000010101", "T_RISE_MAX": "00000000000000000000001001010111", "T_RISE_MIN": "00000000000000000000000111100010", "T_RISE_TYP": "00000000000000000000001000010111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2255.2-2255.41" }, "port_directions": { "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DST": [ 11 ], "EN": [ "1" ], "SRC": [ 9 ] } }, "$specify$139": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000111010110", "T_LIMIT_MIN": "00000000000000000000000101111010", "T_LIMIT_TYP": "00000000000000000000000110100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2257.2-2257.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$140": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2259.2-2259.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$141": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000111010110", "T_LIMIT_MIN": "00000000000000000000000101111010", "T_LIMIT_TYP": "00000000000000000000000110100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2260.2-2260.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$142": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2261.2-2261.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 2 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$143": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2263.2-2263.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$144": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101111011", "T_LIMIT_MIN": "00000000000000000000000100110000", "T_LIMIT_TYP": "00000000000000000000000101010001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2265.2-2265.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$145": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000110010000", "T_LIMIT_MIN": "00000000000000000000000101000001", "T_LIMIT_TYP": "00000000000000000000000101100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2266.2-2266.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$146": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101111011", "T_LIMIT_MIN": "00000000000000000000000100110000", "T_LIMIT_TYP": "00000000000000000000000101010001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2267.2-2267.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 3 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$147": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101110100", "T_LIMIT_MIN": "00000000000000000000000100101011", "T_LIMIT_TYP": "00000000000000000000000101001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2269.2-2269.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$148": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101000011", "T_LIMIT_MIN": "00000000000000000000000100000011", "T_LIMIT_TYP": "00000000000000000000000100011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2271.2-2271.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$149": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101110100", "T_LIMIT_MIN": "00000000000000000000000100101011", "T_LIMIT_TYP": "00000000000000000000000101001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2272.2-2272.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$150": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000101000011", "T_LIMIT_MIN": "00000000000000000000000100000011", "T_LIMIT_TYP": "00000000000000000000000100011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2273.2-2273.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 4 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$151": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000011011100", "T_LIMIT_TYP": "00000000000000000000000011110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2275.2-2275.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$152": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011011001", "T_LIMIT_MIN": "00000000000000000000000010101111", "T_LIMIT_TYP": "00000000000000000000000010110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2277.2-2277.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$153": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000011011100", "T_LIMIT_TYP": "00000000000000000000000011110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2278.2-2278.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$154": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011011001", "T_LIMIT_MIN": "00000000000000000000000010101111", "T_LIMIT_TYP": "00000000000000000000000010110111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2279.2-2279.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 5 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$155": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000000000000", "T_LIMIT_MIN": "00000000000000000000000000000000", "T_LIMIT_TYP": "00000000000000000000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2281.2-2281.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 8 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$156": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000000000000", "T_LIMIT_MIN": "00000000000000000000000000000000", "T_LIMIT_TYP": "00000000000000000000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2283.2-2283.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 8 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$157": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000010100011", "T_LIMIT_TYP": "00000000000000000000000010110101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2285.2-2285.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$158": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "1", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010001100", "T_LIMIT_MIN": "00000000000000000000000001110001", "T_LIMIT_TYP": "00000000000000000000000001111101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2287.2-2287.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$159": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000010100011", "T_LIMIT_TYP": "00000000000000000000000010110101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2288.2-2288.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } }, "$specify$160": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "0", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "1", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setuphold", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010001100", "T_LIMIT_MIN": "00000000000000000000000001110001", "T_LIMIT_TYP": "00000000000000000000000001111101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2289.2-2289.58" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 9 ], "DST_EN": [ "1" ], "SRC": [ 7 ], "SRC_EN": [ "1" ] } } }, "netnames": { "CEN": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.34-2137.37" } }, "CIN": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.24-2137.27" } }, "CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.29-2137.32" } }, "COUT": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2140.9-2140.13" } }, "I0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.8-2137.10" } }, "I1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.12-2137.14" } }, "I2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.16-2137.18" } }, "I3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.20-2137.22" } }, "LO": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2138.9-2138.11" } }, "O": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2139.9-2139.10" } }, "SR": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2137.39-2137.41" } } } }, "ICESTORM_RAM": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3167.1-3502.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "NEG_CLK_R": "0", "NEG_CLK_W": "0", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA_15": { "direction": "output", "bits": [ 2 ] }, "RDATA_14": { "direction": "output", "bits": [ 3 ] }, "RDATA_13": { "direction": "output", "bits": [ 4 ] }, "RDATA_12": { "direction": "output", "bits": [ 5 ] }, "RDATA_11": { "direction": "output", "bits": [ 6 ] }, "RDATA_10": { "direction": "output", "bits": [ 7 ] }, "RDATA_9": { "direction": "output", "bits": [ 8 ] }, "RDATA_8": { "direction": "output", "bits": [ 9 ] }, "RDATA_7": { "direction": "output", "bits": [ 10 ] }, "RDATA_6": { "direction": "output", "bits": [ 11 ] }, "RDATA_5": { "direction": "output", "bits": [ 12 ] }, "RDATA_4": { "direction": "output", "bits": [ 13 ] }, "RDATA_3": { "direction": "output", "bits": [ 14 ] }, "RDATA_2": { "direction": "output", "bits": [ 15 ] }, "RDATA_1": { "direction": "output", "bits": [ 16 ] }, "RDATA_0": { "direction": "output", "bits": [ 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR_10": { "direction": "input", "bits": [ 21 ] }, "RADDR_9": { "direction": "input", "bits": [ 22 ] }, "RADDR_8": { "direction": "input", "bits": [ 23 ] }, "RADDR_7": { "direction": "input", "bits": [ 24 ] }, "RADDR_6": { "direction": "input", "bits": [ 25 ] }, "RADDR_5": { "direction": "input", "bits": [ 26 ] }, "RADDR_4": { "direction": "input", "bits": [ 27 ] }, "RADDR_3": { "direction": "input", "bits": [ 28 ] }, "RADDR_2": { "direction": "input", "bits": [ 29 ] }, "RADDR_1": { "direction": "input", "bits": [ 30 ] }, "RADDR_0": { "direction": "input", "bits": [ 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR_10": { "direction": "input", "bits": [ 35 ] }, "WADDR_9": { "direction": "input", "bits": [ 36 ] }, "WADDR_8": { "direction": "input", "bits": [ 37 ] }, "WADDR_7": { "direction": "input", "bits": [ 38 ] }, "WADDR_6": { "direction": "input", "bits": [ 39 ] }, "WADDR_5": { "direction": "input", "bits": [ 40 ] }, "WADDR_4": { "direction": "input", "bits": [ 41 ] }, "WADDR_3": { "direction": "input", "bits": [ 42 ] }, "WADDR_2": { "direction": "input", "bits": [ 43 ] }, "WADDR_1": { "direction": "input", "bits": [ 44 ] }, "WADDR_0": { "direction": "input", "bits": [ 45 ] }, "MASK_15": { "direction": "input", "bits": [ 46 ] }, "MASK_14": { "direction": "input", "bits": [ 47 ] }, "MASK_13": { "direction": "input", "bits": [ 48 ] }, "MASK_12": { "direction": "input", "bits": [ 49 ] }, "MASK_11": { "direction": "input", "bits": [ 50 ] }, "MASK_10": { "direction": "input", "bits": [ 51 ] }, "MASK_9": { "direction": "input", "bits": [ 52 ] }, "MASK_8": { "direction": "input", "bits": [ 53 ] }, "MASK_7": { "direction": "input", "bits": [ 54 ] }, "MASK_6": { "direction": "input", "bits": [ 55 ] }, "MASK_5": { "direction": "input", "bits": [ 56 ] }, "MASK_4": { "direction": "input", "bits": [ 57 ] }, "MASK_3": { "direction": "input", "bits": [ 58 ] }, "MASK_2": { "direction": "input", "bits": [ 59 ] }, "MASK_1": { "direction": "input", "bits": [ 60 ] }, "MASK_0": { "direction": "input", "bits": [ 61 ] }, "WDATA_15": { "direction": "input", "bits": [ 62 ] }, "WDATA_14": { "direction": "input", "bits": [ 63 ] }, "WDATA_13": { "direction": "input", "bits": [ 64 ] }, "WDATA_12": { "direction": "input", "bits": [ 65 ] }, "WDATA_11": { "direction": "input", "bits": [ 66 ] }, "WDATA_10": { "direction": "input", "bits": [ 67 ] }, "WDATA_9": { "direction": "input", "bits": [ 68 ] }, "WDATA_8": { "direction": "input", "bits": [ 69 ] }, "WDATA_7": { "direction": "input", "bits": [ 70 ] }, "WDATA_6": { "direction": "input", "bits": [ 71 ] }, "WDATA_5": { "direction": "input", "bits": [ 72 ] }, "WDATA_4": { "direction": "input", "bits": [ 73 ] }, "WDATA_3": { "direction": "input", "bits": [ 74 ] }, "WDATA_2": { "direction": "input", "bits": [ 75 ] }, "WDATA_1": { "direction": "input", "bits": [ 76 ] }, "WDATA_0": { "direction": "input", "bits": [ 77 ] } }, "cells": { }, "netnames": { "MASK_0": { "hide_name": 0, "bits": [ 61 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.135-3173.141" } }, "MASK_1": { "hide_name": 0, "bits": [ 60 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.127-3173.133" } }, "MASK_10": { "hide_name": 0, "bits": [ 51 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.54-3173.61" } }, "MASK_11": { "hide_name": 0, "bits": [ 50 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.45-3173.52" } }, "MASK_12": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.36-3173.43" } }, "MASK_13": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.27-3173.34" } }, "MASK_14": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.18-3173.25" } }, "MASK_15": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.9-3173.16" } }, "MASK_2": { "hide_name": 0, "bits": [ 59 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.119-3173.125" } }, "MASK_3": { "hide_name": 0, "bits": [ 58 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.111-3173.117" } }, "MASK_4": { "hide_name": 0, "bits": [ 57 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.103-3173.109" } }, "MASK_5": { "hide_name": 0, "bits": [ 56 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.95-3173.101" } }, "MASK_6": { "hide_name": 0, "bits": [ 55 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.87-3173.93" } }, "MASK_7": { "hide_name": 0, "bits": [ 54 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.79-3173.85" } }, "MASK_8": { "hide_name": 0, "bits": [ 53 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.71-3173.77" } }, "MASK_9": { "hide_name": 0, "bits": [ 52 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3173.63-3173.69" } }, "RADDR_0": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.100-3170.107" } }, "RADDR_1": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.91-3170.98" } }, "RADDR_10": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.9-3170.17" } }, "RADDR_2": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.82-3170.89" } }, "RADDR_3": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.73-3170.80" } }, "RADDR_4": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.64-3170.71" } }, "RADDR_5": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.55-3170.62" } }, "RADDR_6": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.46-3170.53" } }, "RADDR_7": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.37-3170.44" } }, "RADDR_8": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.28-3170.35" } }, "RADDR_9": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3170.19-3170.26" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.9-3169.13" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.15-3169.20" } }, "RDATA_0": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.150-3168.157" } }, "RDATA_1": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.141-3168.148" } }, "RDATA_10": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.59-3168.67" } }, "RDATA_11": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.49-3168.57" } }, "RDATA_12": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.39-3168.47" } }, "RDATA_13": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.29-3168.37" } }, "RDATA_14": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.19-3168.27" } }, "RDATA_15": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.9-3168.17" } }, "RDATA_2": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.132-3168.139" } }, "RDATA_3": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.123-3168.130" } }, "RDATA_4": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.114-3168.121" } }, "RDATA_5": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.105-3168.112" } }, "RDATA_6": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.96-3168.103" } }, "RDATA_7": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.87-3168.94" } }, "RDATA_8": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.78-3168.85" } }, "RDATA_9": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3168.69-3168.76" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3169.22-3169.24" } }, "WADDR_0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.100-3172.107" } }, "WADDR_1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.91-3172.98" } }, "WADDR_10": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.9-3172.17" } }, "WADDR_2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.82-3172.89" } }, "WADDR_3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.73-3172.80" } }, "WADDR_4": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.64-3172.71" } }, "WADDR_5": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.55-3172.62" } }, "WADDR_6": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.46-3172.53" } }, "WADDR_7": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.37-3172.44" } }, "WADDR_8": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.28-3172.35" } }, "WADDR_9": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3172.19-3172.26" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.9-3171.13" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.15-3171.20" } }, "WDATA_0": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.150-3174.157" } }, "WDATA_1": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.141-3174.148" } }, "WDATA_10": { "hide_name": 0, "bits": [ 67 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.59-3174.67" } }, "WDATA_11": { "hide_name": 0, "bits": [ 66 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.49-3174.57" } }, "WDATA_12": { "hide_name": 0, "bits": [ 65 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.39-3174.47" } }, "WDATA_13": { "hide_name": 0, "bits": [ 64 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.29-3174.37" } }, "WDATA_14": { "hide_name": 0, "bits": [ 63 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.19-3174.27" } }, "WDATA_15": { "hide_name": 0, "bits": [ 62 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.9-3174.17" } }, "WDATA_2": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.132-3174.139" } }, "WDATA_3": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.123-3174.130" } }, "WDATA_4": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.114-3174.121" } }, "WDATA_5": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.105-3174.112" } }, "WDATA_6": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.96-3174.103" } }, "WDATA_7": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.87-3174.94" } }, "WDATA_8": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.78-3174.85" } }, "WDATA_9": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3174.69-3174.76" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3171.22-3171.24" } } } }, "SB_CARRY": { "attributes": { "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.1-260.10" }, "ports": { "CO": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "CI": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "CI": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.43-228.45" } }, "CO": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.25-228.27" } }, "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.35-228.37" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:228.39-228.41" } } } }, "SB_DFF": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:265.1-300.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.8-267.9" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:267.11-267.12" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:266.13-266.14" } } } }, "SB_DFFE": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303.1-347.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:305.8-305.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:307.8-307.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:306.8-306.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:304.13-304.14" } } } }, "SB_DFFER": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_bypass": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:653.1-730.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:655.8-655.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:658.8-658.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:654.13-654.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:657.8-657.9" } } } }, "SB_DFFES": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794.1-871.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796.8-796.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799.8-799.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797.8-797.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795.13-795.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798.8-798.9" } } } }, "SB_DFFESR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:592.1-650.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:594.8-594.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:597.8-597.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:595.8-595.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:593.13-593.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:596.8-596.9" } } } }, "SB_DFFESS": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733.1-791.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735.8-735.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738.8-738.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736.8-736.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734.13-734.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737.8-737.9" } } } }, "SB_DFFN": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876.1-911.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "D": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878.8-878.9" } }, "D": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878.11-878.12" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877.13-877.14" } } } }, "SB_DFFNE": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914.1-958.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916.8-916.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918.8-918.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917.8-917.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915.13-915.14" } } } }, "SB_DFFNER": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1264.1-1341.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1266.8-1266.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1269.8-1269.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1267.8-1267.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1265.13-1265.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1268.8-1268.9" } } } }, "SB_DFFNES": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1405.1-1483.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1407.8-1407.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1410.8-1410.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1408.8-1408.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1406.13-1406.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1409.8-1409.9" } } } }, "SB_DFFNESR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1203.1-1261.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "R": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1205.8-1205.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1208.8-1208.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1206.8-1206.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1204.13-1204.14" } }, "R": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1207.8-1207.9" } } } }, "SB_DFFNESS": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_flop": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1344.1-1402.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "E": { "direction": "input", "bits": [ 4 ] }, "S": { "direction": "input", "bits": [ 5 ] }, "D": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1346.8-1346.9" } }, "D": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1349.8-1349.9" } }, "E": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1347.8-1347.9" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1345.13-1345.14" } }, "S": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1348.8-1348.9" } } } }, "SB_DFFNR": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_flop": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011.1-1079.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.8-1013.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.14-1013.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012.13-1012.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013.11-1013.12" } } } }, "SB_DFFNS": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132.1-1200.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.8-1134.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.14-1134.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133.13-1133.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134.11-1134.12" } } } }, "SB_DFFNSR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961.1-1008.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.8-963.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.14-963.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962.13-962.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963.11-963.12" } } } }, "SB_DFFNSS": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_flop": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082.1-1129.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.8-1084.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.14-1084.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083.13-1083.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084.11-1084.12" } } } }, "SB_DFFR": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:400.1-468.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.8-402.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.14-402.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:401.13-401.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:402.11-402.12" } } } }, "SB_DFFS": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:521.1-589.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.8-523.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.14-523.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522.13-522.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523.11-523.12" } } } }, "SB_DFFSR": { "attributes": { "abc9_flop": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:350.1-397.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "R": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.8-352.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.14-352.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:351.13-351.14" } }, "R": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:352.11-352.12" } } } }, "SB_DFFSS": { "attributes": { "blackbox": "00000000000000000000000000000001", "abc9_flop": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:471.1-518.10" }, "ports": { "Q": { "direction": "output", "bits": [ 2 ] }, "C": { "direction": "input", "bits": [ 3 ] }, "S": { "direction": "input", "bits": [ 4 ] }, "D": { "direction": "input", "bits": [ 5 ] } }, "cells": { }, "netnames": { "C": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.8-473.9" } }, "D": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.14-473.15" } }, "Q": { "hide_name": 0, "bits": [ 2 ], "attributes": { "init": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:472.13-472.14" } }, "S": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:473.11-473.12" } } } }, "SB_FILTER_50NS": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2856.1-2860.10" }, "ports": { "FILTERIN": { "direction": "input", "bits": [ 2 ] }, "FILTEROUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "FILTERIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2857.8-2857.16" } }, "FILTEROUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2858.9-2858.18" } } } }, "SB_GB": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162.1-172.10" }, "ports": { "USER_SIGNAL_TO_GLOBAL_BUFFER": { "direction": "input", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:164.9-164.29" } }, "USER_SIGNAL_TO_GLOBAL_BUFFER": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:163.9-163.37" } } } }, "SB_GB_IO": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:123.1-160.10" }, "parameter_default_values": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": "0", "PIN_TYPE": "000000", "PULLUP": "0" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "GLOBAL_BUFFER_OUTPUT": { "direction": "output", "bits": [ 3 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 4 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 5 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 7 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 8 ] }, "D_OUT_0": { "direction": "input", "bits": [ 9 ] }, "D_OUT_1": { "direction": "input", "bits": [ 10 ] }, "D_IN_0": { "direction": "output", "bits": [ 11 ] }, "D_IN_1": { "direction": "output", "bits": [ 12 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 5 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:127.9-127.21" } }, "D_IN_0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:133.9-133.15" } }, "D_IN_1": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:134.9-134.15" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:131.9-131.16" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:132.9-132.16" } }, "GLOBAL_BUFFER_OUTPUT": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:125.9-125.29" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:128.9-128.18" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:126.9-126.26" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129.9-129.19" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:130.9-130.22" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:124.9-124.20" } } } }, "SB_HFOSC": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2664.1-2681.10" }, "parameter_default_values": { "CLKHF_DIV": "0b00", "TRIM_EN": "0b0" }, "ports": { "TRIM0": { "direction": "input", "bits": [ 2 ] }, "TRIM1": { "direction": "input", "bits": [ 3 ] }, "TRIM2": { "direction": "input", "bits": [ 4 ] }, "TRIM3": { "direction": "input", "bits": [ 5 ] }, "TRIM4": { "direction": "input", "bits": [ 6 ] }, "TRIM5": { "direction": "input", "bits": [ 7 ] }, "TRIM6": { "direction": "input", "bits": [ 8 ] }, "TRIM7": { "direction": "input", "bits": [ 9 ] }, "TRIM8": { "direction": "input", "bits": [ 10 ] }, "TRIM9": { "direction": "input", "bits": [ 11 ] }, "CLKHFPU": { "direction": "input", "bits": [ 12 ] }, "CLKHFEN": { "direction": "input", "bits": [ 13 ] }, "CLKHF": { "direction": "output", "bits": [ 14 ] } }, "cells": { }, "netnames": { "CLKHF": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2677.9-2677.14" } }, "CLKHFEN": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2676.8-2676.15" } }, "CLKHFPU": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2675.8-2675.15" } }, "TRIM0": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2665.8-2665.13" } }, "TRIM1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2666.8-2666.13" } }, "TRIM2": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2667.8-2667.13" } }, "TRIM3": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2668.8-2668.13" } }, "TRIM4": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2669.8-2669.13" } }, "TRIM5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2670.8-2670.13" } }, "TRIM6": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2671.8-2671.13" } }, "TRIM7": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2672.8-2672.13" } }, "TRIM8": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2673.8-2673.13" } }, "TRIM9": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2674.8-2674.13" } } } }, "SB_I2C": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2733.1-2773.10" }, "parameter_default_values": { "BUS_ADDR74": "0b0001", "I2C_SLAVE_INIT_ADDR": "0b1111100001" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "SCLI": { "direction": "input", "bits": [ 21 ] }, "SDAI": { "direction": "input", "bits": [ 22 ] }, "SBDATO7": { "direction": "output", "bits": [ 23 ] }, "SBDATO6": { "direction": "output", "bits": [ 24 ] }, "SBDATO5": { "direction": "output", "bits": [ 25 ] }, "SBDATO4": { "direction": "output", "bits": [ 26 ] }, "SBDATO3": { "direction": "output", "bits": [ 27 ] }, "SBDATO2": { "direction": "output", "bits": [ 28 ] }, "SBDATO1": { "direction": "output", "bits": [ 29 ] }, "SBDATO0": { "direction": "output", "bits": [ 30 ] }, "SBACKO": { "direction": "output", "bits": [ 31 ] }, "I2CIRQ": { "direction": "output", "bits": [ 32 ] }, "I2CWKUP": { "direction": "output", "bits": [ 33 ] }, "SCLO": { "direction": "output", "bits": [ 34 ] }, "SCLOE": { "direction": "output", "bits": [ 35 ] }, "SDAO": { "direction": "output", "bits": [ 36 ] }, "SDAOE": { "direction": "output", "bits": [ 37 ] } }, "cells": { }, "netnames": { "I2CIRQ": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2764.9-2764.15" } }, "I2CWKUP": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2765.9-2765.16" } }, "SBACKO": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2763.9-2763.15" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2744.9-2744.16" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2743.9-2743.16" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2742.9-2742.16" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2741.9-2741.16" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2740.9-2740.16" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2739.9-2739.16" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2738.9-2738.16" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2737.9-2737.16" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2734.9-2734.15" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2752.9-2752.16" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2751.9-2751.16" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2750.9-2750.16" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2749.9-2749.16" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2748.9-2748.16" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2747.9-2747.16" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2746.9-2746.16" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2745.9-2745.16" } }, "SBDATO0": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2762.9-2762.16" } }, "SBDATO1": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2761.9-2761.16" } }, "SBDATO2": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2760.9-2760.16" } }, "SBDATO3": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2759.9-2759.16" } }, "SBDATO4": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2758.9-2758.16" } }, "SBDATO5": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2757.9-2757.16" } }, "SBDATO6": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2756.9-2756.16" } }, "SBDATO7": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2755.9-2755.16" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2735.9-2735.14" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2736.9-2736.15" } }, "SCLI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2753.9-2753.13" } }, "SCLO": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2766.9-2766.13" } }, "SCLOE": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2767.9-2767.14" } }, "SDAI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2754.9-2754.13" } }, "SDAO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2768.9-2768.13" } }, "SDAOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2769.9-2769.14" } } } }, "SB_IO": { "attributes": { "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17.1-121.10" }, "parameter_default_values": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": "0", "PIN_TYPE": "000000", "PULLUP": "0" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:20.9-20.21" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:26.9-26.15" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:27.9-27.15" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:24.9-24.16" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:25.9-25.16" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:21.9-21.18" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:19.9-19.26" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:22.9-22.19" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:23.9-23.22" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:18.9-18.20" } } } }, "SB_IO_I3C": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2862.1-2929.10" }, "parameter_default_values": { "IO_STANDARD": "SB_LVCMOS", "NEG_TRIGGER": "0", "PIN_TYPE": "000000", "PULLUP": "0", "WEAK_PULLUP": "0" }, "ports": { "PACKAGE_PIN": { "direction": "inout", "bits": [ 2 ] }, "LATCH_INPUT_VALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCK_ENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUT_CLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUT_CLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUT_ENABLE": { "direction": "input", "bits": [ 7 ] }, "D_OUT_0": { "direction": "input", "bits": [ 8 ] }, "D_OUT_1": { "direction": "input", "bits": [ 9 ] }, "D_IN_0": { "direction": "output", "bits": [ 10 ] }, "D_IN_1": { "direction": "output", "bits": [ 11 ] }, "PU_ENB": { "direction": "input", "bits": [ 12 ] }, "WEAK_PU_ENB": { "direction": "input", "bits": [ 13 ] } }, "cells": { }, "netnames": { "CLOCK_ENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2865.9-2865.21" } }, "D_IN_0": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2871.9-2871.15" } }, "D_IN_1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2872.9-2872.15" } }, "D_OUT_0": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2869.9-2869.16" } }, "D_OUT_1": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2870.9-2870.16" } }, "INPUT_CLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2866.9-2866.18" } }, "LATCH_INPUT_VALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2864.9-2864.26" } }, "OUTPUT_CLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2867.9-2867.19" } }, "OUTPUT_ENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2868.9-2868.22" } }, "PACKAGE_PIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2863.9-2863.20" } }, "PU_ENB": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2873.9-2873.15" } }, "WEAK_PU_ENB": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2874.9-2874.20" } } } }, "SB_IO_OD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2931.1-2993.10" }, "parameter_default_values": { "NEG_TRIGGER": "0", "PIN_TYPE": "000000" }, "ports": { "PACKAGEPIN": { "direction": "inout", "bits": [ 2 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 3 ] }, "CLOCKENABLE": { "direction": "input", "bits": [ 4 ] }, "INPUTCLK": { "direction": "input", "bits": [ 5 ] }, "OUTPUTCLK": { "direction": "input", "bits": [ 6 ] }, "OUTPUTENABLE": { "direction": "input", "bits": [ 7 ] }, "DOUT1": { "direction": "input", "bits": [ 8 ] }, "DOUT0": { "direction": "input", "bits": [ 9 ] }, "DIN1": { "direction": "output", "bits": [ 10 ] }, "DIN0": { "direction": "output", "bits": [ 11 ] } }, "cells": { }, "netnames": { "CLOCKENABLE": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2934.9-2934.20" } }, "DIN0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2941.9-2941.13" } }, "DIN1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2940.9-2940.13" } }, "DOUT0": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2939.9-2939.14" } }, "DOUT1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2938.9-2938.14" } }, "INPUTCLK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2935.9-2935.17" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2933.9-2933.24" } }, "OUTPUTCLK": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2936.9-2936.18" } }, "OUTPUTENABLE": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2937.9-2937.21" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2932.9-2932.19" } } } }, "SB_LEDDA_IP": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2830.1-2853.10" }, "ports": { "LEDDCS": { "direction": "input", "bits": [ 2 ] }, "LEDDCLK": { "direction": "input", "bits": [ 3 ] }, "LEDDDAT7": { "direction": "input", "bits": [ 4 ] }, "LEDDDAT6": { "direction": "input", "bits": [ 5 ] }, "LEDDDAT5": { "direction": "input", "bits": [ 6 ] }, "LEDDDAT4": { "direction": "input", "bits": [ 7 ] }, "LEDDDAT3": { "direction": "input", "bits": [ 8 ] }, "LEDDDAT2": { "direction": "input", "bits": [ 9 ] }, "LEDDDAT1": { "direction": "input", "bits": [ 10 ] }, "LEDDDAT0": { "direction": "input", "bits": [ 11 ] }, "LEDDADDR3": { "direction": "input", "bits": [ 12 ] }, "LEDDADDR2": { "direction": "input", "bits": [ 13 ] }, "LEDDADDR1": { "direction": "input", "bits": [ 14 ] }, "LEDDADDR0": { "direction": "input", "bits": [ 15 ] }, "LEDDDEN": { "direction": "input", "bits": [ 16 ] }, "LEDDEXE": { "direction": "input", "bits": [ 17 ] }, "LEDDRST": { "direction": "input", "bits": [ 18 ] }, "PWMOUT0": { "direction": "output", "bits": [ 19 ] }, "PWMOUT1": { "direction": "output", "bits": [ 20 ] }, "PWMOUT2": { "direction": "output", "bits": [ 21 ] }, "LEDDON": { "direction": "output", "bits": [ 22 ] } }, "cells": { }, "netnames": { "LEDDADDR0": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2844.8-2844.17" } }, "LEDDADDR1": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2843.8-2843.17" } }, "LEDDADDR2": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2842.8-2842.17" } }, "LEDDADDR3": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2841.8-2841.17" } }, "LEDDCLK": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2832.8-2832.15" } }, "LEDDCS": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2831.8-2831.14" } }, "LEDDDAT0": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2840.8-2840.16" } }, "LEDDDAT1": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2839.8-2839.16" } }, "LEDDDAT2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2838.8-2838.16" } }, "LEDDDAT3": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2837.8-2837.16" } }, "LEDDDAT4": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2836.8-2836.16" } }, "LEDDDAT5": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2835.8-2835.16" } }, "LEDDDAT6": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2834.8-2834.16" } }, "LEDDDAT7": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2833.8-2833.16" } }, "LEDDDEN": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2845.8-2845.15" } }, "LEDDEXE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2846.8-2846.15" } }, "LEDDON": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2851.9-2851.15" } }, "LEDDRST": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2847.8-2847.15" } }, "PWMOUT0": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2848.9-2848.16" } }, "PWMOUT1": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2849.9-2849.16" } }, "PWMOUT2": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2850.9-2850.16" } } } }, "SB_LED_DRV_CUR": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2709.1-2713.10" }, "ports": { "EN": { "direction": "input", "bits": [ 2 ] }, "LEDPU": { "direction": "output", "bits": [ 3 ] } }, "cells": { }, "netnames": { "EN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2710.8-2710.10" } }, "LEDPU": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2711.9-2711.14" } } } }, "SB_LFOSC": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2684.1-2689.10" }, "ports": { "CLKLFPU": { "direction": "input", "bits": [ 2 ] }, "CLKLFEN": { "direction": "input", "bits": [ 3 ] }, "CLKLF": { "direction": "output", "bits": [ 4 ] } }, "cells": { }, "netnames": { "CLKLF": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2687.9-2687.14" } }, "CLKLFEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2686.8-2686.15" } }, "CLKLFPU": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2685.8-2685.15" } } } }, "SB_LUT4": { "attributes": { "abc9_lut": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:177.1-225.10" }, "parameter_default_values": { "LUT_INIT": "0000000000000000" }, "ports": { "O": { "direction": "output", "bits": [ 2 ] }, "I0": { "direction": "input", "bits": [ 3 ] }, "I1": { "direction": "input", "bits": [ 4 ] }, "I2": { "direction": "input", "bits": [ 5 ] }, "I3": { "direction": "input", "bits": [ 6 ] } }, "cells": { }, "netnames": { "I0": { "hide_name": 0, "bits": [ 3 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:179.8-179.10" } }, "I1": { "hide_name": 0, "bits": [ 4 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:180.8-180.10" } }, "I2": { "hide_name": 0, "bits": [ 5 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:181.8-181.10" } }, "I3": { "hide_name": 0, "bits": [ 6 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:182.8-182.10" } }, "O": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178.9-178.10" } } } }, "SB_MAC16": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2996.1-3164.10" }, "parameter_default_values": { "A_REG": "0", "A_SIGNED": "0", "BOTADDSUB_CARRYSELECT": "00", "BOTADDSUB_LOWERINPUT": "00", "BOTADDSUB_UPPERINPUT": "0", "BOTOUTPUT_SELECT": "00", "BOT_8x8_MULT_REG": "0", "B_REG": "0", "B_SIGNED": "0", "C_REG": "0", "D_REG": "0", "MODE_8x8": "0", "NEG_TRIGGER": "0", "PIPELINE_16x16_MULT_REG1": "0", "PIPELINE_16x16_MULT_REG2": "0", "TOPADDSUB_CARRYSELECT": "00", "TOPADDSUB_LOWERINPUT": "00", "TOPADDSUB_UPPERINPUT": "0", "TOPOUTPUT_SELECT": "00", "TOP_8x8_MULT_REG": "0" }, "ports": { "CLK": { "direction": "input", "bits": [ 2 ] }, "CE": { "direction": "input", "bits": [ 3 ] }, "C": { "direction": "input", "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] }, "A": { "direction": "input", "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ] }, "B": { "direction": "input", "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] }, "D": { "direction": "input", "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ] }, "AHOLD": { "direction": "input", "bits": [ 68 ] }, "BHOLD": { "direction": "input", "bits": [ 69 ] }, "CHOLD": { "direction": "input", "bits": [ 70 ] }, "DHOLD": { "direction": "input", "bits": [ 71 ] }, "IRSTTOP": { "direction": "input", "bits": [ 72 ] }, "IRSTBOT": { "direction": "input", "bits": [ 73 ] }, "ORSTTOP": { "direction": "input", "bits": [ 74 ] }, "ORSTBOT": { "direction": "input", "bits": [ 75 ] }, "OLOADTOP": { "direction": "input", "bits": [ 76 ] }, "OLOADBOT": { "direction": "input", "bits": [ 77 ] }, "ADDSUBTOP": { "direction": "input", "bits": [ 78 ] }, "ADDSUBBOT": { "direction": "input", "bits": [ 79 ] }, "OHOLDTOP": { "direction": "input", "bits": [ 80 ] }, "OHOLDBOT": { "direction": "input", "bits": [ 81 ] }, "CI": { "direction": "input", "bits": [ 82 ] }, "ACCUMCI": { "direction": "input", "bits": [ 83 ] }, "SIGNEXTIN": { "direction": "input", "bits": [ 84 ] }, "O": { "direction": "output", "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] }, "CO": { "direction": "output", "bits": [ 117 ] }, "ACCUMCO": { "direction": "output", "bits": [ 118 ] }, "SIGNEXTOUT": { "direction": "output", "bits": [ 119 ] } }, "cells": { }, "netnames": { "A": { "hide_name": 0, "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.18-2998.19" } }, "ACCUMCI": { "hide_name": 0, "bits": [ 83 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.12-3005.19" } }, "ACCUMCO": { "hide_name": 0, "bits": [ 118 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.13-3007.20" } }, "ADDSUBBOT": { "hide_name": 0, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3003.19-3003.28" } }, "ADDSUBTOP": { "hide_name": 0, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3003.8-3003.17" } }, "AHOLD": { "hide_name": 0, "bits": [ 68 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.8-2999.13" } }, "B": { "hide_name": 0, "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.21-2998.22" } }, "BHOLD": { "hide_name": 0, "bits": [ 69 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.15-2999.20" } }, "C": { "hide_name": 0, "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.15-2998.16" } }, "CE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2997.13-2997.15" } }, "CHOLD": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.22-2999.27" } }, "CI": { "hide_name": 0, "bits": [ 82 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.8-3005.10" } }, "CLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2997.8-2997.11" } }, "CO": { "hide_name": 0, "bits": [ 117 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.9-3007.11" } }, "D": { "hide_name": 0, "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2998.24-2998.25" } }, "DHOLD": { "hide_name": 0, "bits": [ 71 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2999.29-2999.34" } }, "IRSTBOT": { "hide_name": 0, "bits": [ 73 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3000.17-3000.24" } }, "IRSTTOP": { "hide_name": 0, "bits": [ 72 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3000.8-3000.15" } }, "O": { "hide_name": 0, "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3006.16-3006.17" } }, "OHOLDBOT": { "hide_name": 0, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3004.18-3004.26" } }, "OHOLDTOP": { "hide_name": 0, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3004.8-3004.16" } }, "OLOADBOT": { "hide_name": 0, "bits": [ 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3002.18-3002.26" } }, "OLOADTOP": { "hide_name": 0, "bits": [ 76 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3002.8-3002.16" } }, "ORSTBOT": { "hide_name": 0, "bits": [ 75 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3001.17-3001.24" } }, "ORSTTOP": { "hide_name": 0, "bits": [ 74 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3001.8-3001.15" } }, "SIGNEXTIN": { "hide_name": 0, "bits": [ 84 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3005.21-3005.30" } }, "SIGNEXTOUT": { "hide_name": 0, "bits": [ 119 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:3007.22-3007.32" } } } }, "SB_PLL40_2F_CORE": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2521.1-2553.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE_PORTA": "0", "ENABLE_ICEGATE_PORTB": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT_PORTA": "GENCLK", "PLLOUT_SELECT_PORTB": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2530.10-2530.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2528.16-2528.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2527.10-2527.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2532.10-2532.25" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2529.10-2529.14" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2523.10-2523.21" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2525.10-2525.21" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2524.10-2524.23" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2526.10-2526.23" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2522.10-2522.22" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2531.10-2531.16" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2535.10-2535.14" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2534.10-2534.13" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2533.10-2533.13" } } } }, "SB_PLL40_2F_PAD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2556.1-2588.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE_PORTA": "0", "ENABLE_ICEGATE_PORTB": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT_PORTA": "GENCLK", "PLLOUT_SELECT_PORTB": "GENCLK", "SHIFTREG_DIV_MODE": "00", "TEST_MODE": "0" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2565.10-2565.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2563.16-2563.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2562.10-2562.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2567.10-2567.25" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2564.10-2564.14" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2557.10-2557.20" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2558.10-2558.21" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2560.10-2560.21" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2559.10-2559.23" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2561.10-2561.23" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2566.10-2566.16" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2570.10-2570.14" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2569.10-2569.13" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2568.10-2568.13" } } } }, "SB_PLL40_2_PAD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2487.1-2518.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE_PORTA": "0", "ENABLE_ICEGATE_PORTB": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT_PORTB": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCOREA": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBALA": { "direction": "output", "bits": [ 4 ] }, "PLLOUTCOREB": { "direction": "output", "bits": [ 5 ] }, "PLLOUTGLOBALB": { "direction": "output", "bits": [ 6 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 7 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ] }, "LOCK": { "direction": "output", "bits": [ 16 ] }, "BYPASS": { "direction": "input", "bits": [ 17 ] }, "RESETB": { "direction": "input", "bits": [ 18 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 19 ] }, "SDO": { "direction": "output", "bits": [ 20 ] }, "SDI": { "direction": "input", "bits": [ 21 ] }, "SCLK": { "direction": "input", "bits": [ 22 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2496.10-2496.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2494.16-2494.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2493.10-2493.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2498.10-2498.25" } }, "LOCK": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2495.10-2495.14" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2488.10-2488.20" } }, "PLLOUTCOREA": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2489.10-2489.21" } }, "PLLOUTCOREB": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2491.10-2491.21" } }, "PLLOUTGLOBALA": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2490.10-2490.23" } }, "PLLOUTGLOBALB": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2492.10-2492.23" } }, "RESETB": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2497.10-2497.16" } }, "SCLK": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2501.10-2501.14" } }, "SDI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2500.10-2500.13" } }, "SDO": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2499.10-2499.13" } } } }, "SB_PLL40_CORE": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2425.1-2453.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "REFERENCECLK": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2432.10-2432.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2430.16-2430.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2429.10-2429.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2434.10-2434.25" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2431.10-2431.14" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2427.10-2427.20" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2428.10-2428.22" } }, "REFERENCECLK": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2426.10-2426.22" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2433.10-2433.16" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2437.10-2437.14" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2436.10-2436.13" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2435.10-2435.13" } } } }, "SB_PLL40_PAD": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2456.1-2484.10" }, "parameter_default_values": { "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED", "DELAY_ADJUSTMENT_MODE_RELATIVE": "FIXED", "DIVF": "0000000", "DIVQ": "000", "DIVR": "0000", "ENABLE_ICEGATE": "0", "EXTERNAL_DIVIDE_FACTOR": "00000000000000000000000000000001", "FDA_FEEDBACK": "0000", "FDA_RELATIVE": "0000", "FEEDBACK_PATH": "SIMPLE", "FILTER_RANGE": "000", "PLLOUT_SELECT": "GENCLK", "SHIFTREG_DIV_MODE": "0", "TEST_MODE": "0" }, "ports": { "PACKAGEPIN": { "direction": "input", "bits": [ 2 ] }, "PLLOUTCORE": { "direction": "output", "bits": [ 3 ] }, "PLLOUTGLOBAL": { "direction": "output", "bits": [ 4 ] }, "EXTFEEDBACK": { "direction": "input", "bits": [ 5 ] }, "DYNAMICDELAY": { "direction": "input", "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] }, "LOCK": { "direction": "output", "bits": [ 14 ] }, "BYPASS": { "direction": "input", "bits": [ 15 ] }, "RESETB": { "direction": "input", "bits": [ 16 ] }, "LATCHINPUTVALUE": { "direction": "input", "bits": [ 17 ] }, "SDO": { "direction": "output", "bits": [ 18 ] }, "SDI": { "direction": "input", "bits": [ 19 ] }, "SCLK": { "direction": "input", "bits": [ 20 ] } }, "cells": { }, "netnames": { "BYPASS": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2463.10-2463.16" } }, "DYNAMICDELAY": { "hide_name": 0, "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2461.16-2461.28" } }, "EXTFEEDBACK": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2460.10-2460.21" } }, "LATCHINPUTVALUE": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2465.10-2465.25" } }, "LOCK": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2462.10-2462.14" } }, "PACKAGEPIN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2457.10-2457.20" } }, "PLLOUTCORE": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2458.10-2458.20" } }, "PLLOUTGLOBAL": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2459.10-2459.22" } }, "RESETB": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2464.10-2464.16" } }, "SCLK": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2468.10-2468.14" } }, "SDI": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2467.10-2467.13" } }, "SDO": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2466.10-2466.13" } } } }, "SB_RAM40_4K": { "attributes": { "blackbox": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1487.1-1724.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$245": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$246": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$247": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$248": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$90": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.3-1661.51" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } }, "$specify$91": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.3-1663.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$92": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1665.3-1665.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$93": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1667.3-1667.32" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$94": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.3-1669.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$95": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1671.3-1671.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$96": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.3-1673.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$97": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1675.3-1675.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$98": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1677.3-1677.44" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661$245_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1661.33-1661.44" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663$246_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1663.34-1663.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669$247_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1669.34-1669.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673$248_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1673.34-1673.45" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1497.16-1497.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1492.16-1492.21" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1489.16-1489.20" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1491.16-1491.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1496.16-1496.21" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1493.16-1493.20" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1498.16-1498.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1495.16-1495.18" } } } }, "SB_RAM40_4KNR": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1726.1-1860.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLK": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797$249": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799$250": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805$251": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809$252": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$100": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.3-1799.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$101": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1801.3-1801.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$102": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1803.3-1803.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$103": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.3-1805.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$104": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1807.3-1807.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$105": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.3-1809.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$106": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1811.3-1811.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$107": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1813.3-1813.45" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } }, "$specify$99": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.3-1797.51" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797$249_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1797.33-1797.44" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799$250_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1799.35-1799.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805$251_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1805.34-1805.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809$252_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1809.34-1809.45" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1736.16-1736.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1731.16-1731.21" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1729.16-1729.21" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1728.16-1728.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1727.16-1727.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1730.16-1730.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1735.16-1735.21" } }, "WCLK": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1732.16-1732.20" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1733.16-1733.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1737.16-1737.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1734.16-1734.18" } } } }, "SB_RAM40_4KNRNW": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1998.1-2132.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLKN": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069$257": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071$258": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077$259": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081$260": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$117": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.3-2069.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } }, "$specify$118": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.3-2071.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$119": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2073.3-2073.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$120": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2075.3-2075.33" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$121": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.3-2077.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$122": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2079.3-2079.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$123": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.3-2081.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$124": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2083.3-2083.34" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$125": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2085.3-2085.45" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069$257_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2069.34-2069.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071$258_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2071.35-2071.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077$259_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2077.35-2077.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081$260_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2081.35-2081.46" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2008.16-2008.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2003.16-2003.21" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2001.16-2001.21" } }, "RCLKN": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2000.16-2000.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1999.16-1999.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2002.16-2002.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2007.16-2007.21" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2005.16-2005.21" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2004.16-2004.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2009.16-2009.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2006.16-2006.18" } } } }, "SB_RAM40_4KNW": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1862.1-1996.10" }, "parameter_default_values": { "INIT_0": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_1": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_4": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_5": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", "INIT_FILE": " ", "READ_MODE": "00000000000000000000000000000000", "WRITE_MODE": "00000000000000000000000000000000" }, "ports": { "RDATA": { "direction": "output", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] }, "RCLK": { "direction": "input", "bits": [ 18 ] }, "RCLKE": { "direction": "input", "bits": [ 19 ] }, "RE": { "direction": "input", "bits": [ 20 ] }, "RADDR": { "direction": "input", "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "WCLKN": { "direction": "input", "bits": [ 32 ] }, "WCLKE": { "direction": "input", "bits": [ 33 ] }, "WE": { "direction": "input", "bits": [ 34 ] }, "WADDR": { "direction": "input", "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ] }, "MASK": { "direction": "input", "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] }, "WDATA": { "direction": "input", "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] } }, "cells": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933$253": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 78 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935$254": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 20 ], "B": [ 19 ], "Y": [ 79 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941$255": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 80 ] } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945$256": { "hide_name": 1, "type": "$logic_and", "parameters": { "A_SIGNED": "00000000000000000000000000000000", "A_WIDTH": "00000000000000000000000000000001", "B_SIGNED": "00000000000000000000000000000000", "B_WIDTH": "00000000000000000000000000000001", "Y_WIDTH": "00000000000000000000000000000001" }, "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46" }, "port_directions": { "A": "input", "B": "input", "Y": "output" }, "connections": { "A": [ 34 ], "B": [ 33 ], "Y": [ 81 ] } }, "$specify$108": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100010010", "T_LIMIT_MIN": "00000000000000000000000100010010", "T_LIMIT_TYP": "00000000000000000000000100010010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.3-1933.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 78 ], "SRC": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "SRC_EN": [ "1" ] } }, "$specify$109": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011001011", "T_LIMIT_MIN": "00000000000000000000000011001011", "T_LIMIT_TYP": "00000000000000000000000011001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.3-1935.52" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ 79 ], "SRC": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "SRC_EN": [ "1" ] } }, "$specify$110": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1937.3-1937.36" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 19 ], "SRC_EN": [ "1" ] } }, "$specify$111": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000001100010", "T_LIMIT_MIN": "00000000000000000000000001100010", "T_LIMIT_TYP": "00000000000000000000000001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1939.3-1939.32" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 18 ], "DST_EN": [ "1" ], "SRC": [ 20 ], "SRC_EN": [ "1" ] } }, "$specify$112": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000001011", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000011100000", "T_LIMIT_MIN": "00000000000000000000000011100000", "T_LIMIT_TYP": "00000000000000000000000011100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.3-1941.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 80 ], "SRC": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "SRC_EN": [ "1" ] } }, "$specify$113": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000100001011", "T_LIMIT_MIN": "00000000000000000000000100001011", "T_LIMIT_TYP": "00000000000000000000000100001011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1943.3-1943.37" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 33 ], "SRC_EN": [ "1" ] } }, "$specify$114": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000010000", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010100001", "T_LIMIT_MIN": "00000000000000000000000010100001", "T_LIMIT_TYP": "00000000000000000000000010100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.3-1945.53" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ 81 ], "SRC": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "SRC_EN": [ "1" ] } }, "$specify$115": { "hide_name": 1, "type": "$specrule", "parameters": { "DST_PEN": "1", "DST_POL": "1", "DST_WIDTH": "00000000000000000000000000000001", "SRC_PEN": "0", "SRC_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "TYPE": "$setup", "T_LIMIT2_MAX": "00000000000000000000000000000000", "T_LIMIT2_MIN": "00000000000000000000000000000000", "T_LIMIT2_TYP": "00000000000000000000000000000000", "T_LIMIT_MAX": "00000000000000000000000010000101", "T_LIMIT_MIN": "00000000000000000000000010000101", "T_LIMIT_TYP": "00000000000000000000000010000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1947.3-1947.34" }, "port_directions": { "DST": "input", "DST_EN": "output", "SRC": "input", "SRC_EN": "output" }, "connections": { "DST": [ 32 ], "DST_EN": [ "1" ], "SRC": [ 34 ], "SRC_EN": [ "1" ] } }, "$specify$116": { "hide_name": 1, "type": "$specify3", "parameters": { "DAT_DST_PEN": "0", "DAT_DST_POL": "0", "DST_WIDTH": "00000000000000000000000000010000", "EDGE_EN": "1", "EDGE_POL": "1", "FULL": "1", "SRC_DST_PEN": "0", "SRC_DST_POL": "0", "SRC_WIDTH": "00000000000000000000000000000001", "T_FALL_MAX": "00000000000000000000100001100010", "T_FALL_MIN": "00000000000000000000100001100010", "T_FALL_TYP": "00000000000000000000100001100010", "T_RISE_MAX": "00000000000000000000100001100010", "T_RISE_MIN": "00000000000000000000100001100010", "T_RISE_TYP": "00000000000000000000100001100010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1949.3-1949.44" }, "port_directions": { "DAT": "input", "DST": "input", "EN": "input", "SRC": "input" }, "connections": { "DAT": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "EN": [ "1" ], "SRC": [ 18 ] } } }, "netnames": { "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933$253_Y": { "hide_name": 1, "bits": [ 78 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1933.34-1933.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935$254_Y": { "hide_name": 1, "bits": [ 79 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1935.34-1935.45" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941$255_Y": { "hide_name": 1, "bits": [ 80 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1941.35-1941.46" } }, "$logic_and$/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945$256_Y": { "hide_name": 1, "bits": [ 81 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1945.35-1945.46" } }, "MASK": { "hide_name": 0, "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], "attributes": { "defaultvalue": "0000000000000000", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1872.16-1872.20" } }, "RADDR": { "hide_name": 0, "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1867.16-1867.21" } }, "RCLK": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1864.16-1864.20" } }, "RCLKE": { "hide_name": 0, "bits": [ 19 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1865.16-1865.21" } }, "RDATA": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1863.16-1863.21" } }, "RE": { "hide_name": 0, "bits": [ 20 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1866.16-1866.18" } }, "WADDR": { "hide_name": 0, "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1871.16-1871.21" } }, "WCLKE": { "hide_name": 0, "bits": [ 33 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1869.16-1869.21" } }, "WCLKN": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1868.16-1868.21" } }, "WDATA": { "hide_name": 0, "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1873.16-1873.21" } }, "WE": { "hide_name": 0, "bits": [ 34 ], "attributes": { "defaultvalue": "0", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1870.16-1870.18" } } } }, "SB_RGBA_DRV": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2692.1-2706.10" }, "parameter_default_values": { "CURRENT_MODE": "0b0", "RGB0_CURRENT": "0b000000", "RGB1_CURRENT": "0b000000", "RGB2_CURRENT": "0b000000" }, "ports": { "CURREN": { "direction": "input", "bits": [ 2 ] }, "RGBLEDEN": { "direction": "input", "bits": [ 3 ] }, "RGB0PWM": { "direction": "input", "bits": [ 4 ] }, "RGB1PWM": { "direction": "input", "bits": [ 5 ] }, "RGB2PWM": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "CURREN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2693.8-2693.14" } }, "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2698.9-2698.13" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2695.8-2695.15" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2699.9-2699.13" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2696.8-2696.15" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2700.9-2700.13" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2697.8-2697.15" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2694.8-2694.16" } } } }, "SB_RGB_DRV": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2716.1-2730.10" }, "parameter_default_values": { "CURRENT_MODE": "0b0", "RGB0_CURRENT": "0b000000", "RGB1_CURRENT": "0b000000", "RGB2_CURRENT": "0b000000" }, "ports": { "RGBLEDEN": { "direction": "input", "bits": [ 2 ] }, "RGB0PWM": { "direction": "input", "bits": [ 3 ] }, "RGB1PWM": { "direction": "input", "bits": [ 4 ] }, "RGB2PWM": { "direction": "input", "bits": [ 5 ] }, "RGBPU": { "direction": "input", "bits": [ 6 ] }, "RGB0": { "direction": "output", "bits": [ 7 ] }, "RGB1": { "direction": "output", "bits": [ 8 ] }, "RGB2": { "direction": "output", "bits": [ 9 ] } }, "cells": { }, "netnames": { "RGB0": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2722.9-2722.13" } }, "RGB0PWM": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2718.8-2718.15" } }, "RGB1": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2723.9-2723.13" } }, "RGB1PWM": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2719.8-2719.15" } }, "RGB2": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2724.9-2724.13" } }, "RGB2PWM": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2720.8-2720.15" } }, "RGBLEDEN": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2717.8-2717.16" } }, "RGBPU": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2721.8-2721.13" } } } }, "SB_SPI": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2776.1-2827.10" }, "parameter_default_values": { "BUS_ADDR74": "0b0000" }, "ports": { "SBCLKI": { "direction": "input", "bits": [ 2 ] }, "SBRWI": { "direction": "input", "bits": [ 3 ] }, "SBSTBI": { "direction": "input", "bits": [ 4 ] }, "SBADRI7": { "direction": "input", "bits": [ 5 ] }, "SBADRI6": { "direction": "input", "bits": [ 6 ] }, "SBADRI5": { "direction": "input", "bits": [ 7 ] }, "SBADRI4": { "direction": "input", "bits": [ 8 ] }, "SBADRI3": { "direction": "input", "bits": [ 9 ] }, "SBADRI2": { "direction": "input", "bits": [ 10 ] }, "SBADRI1": { "direction": "input", "bits": [ 11 ] }, "SBADRI0": { "direction": "input", "bits": [ 12 ] }, "SBDATI7": { "direction": "input", "bits": [ 13 ] }, "SBDATI6": { "direction": "input", "bits": [ 14 ] }, "SBDATI5": { "direction": "input", "bits": [ 15 ] }, "SBDATI4": { "direction": "input", "bits": [ 16 ] }, "SBDATI3": { "direction": "input", "bits": [ 17 ] }, "SBDATI2": { "direction": "input", "bits": [ 18 ] }, "SBDATI1": { "direction": "input", "bits": [ 19 ] }, "SBDATI0": { "direction": "input", "bits": [ 20 ] }, "MI": { "direction": "input", "bits": [ 21 ] }, "SI": { "direction": "input", "bits": [ 22 ] }, "SCKI": { "direction": "input", "bits": [ 23 ] }, "SCSNI": { "direction": "input", "bits": [ 24 ] }, "SBDATO7": { "direction": "output", "bits": [ 25 ] }, "SBDATO6": { "direction": "output", "bits": [ 26 ] }, "SBDATO5": { "direction": "output", "bits": [ 27 ] }, "SBDATO4": { "direction": "output", "bits": [ 28 ] }, "SBDATO3": { "direction": "output", "bits": [ 29 ] }, "SBDATO2": { "direction": "output", "bits": [ 30 ] }, "SBDATO1": { "direction": "output", "bits": [ 31 ] }, "SBDATO0": { "direction": "output", "bits": [ 32 ] }, "SBACKO": { "direction": "output", "bits": [ 33 ] }, "SPIIRQ": { "direction": "output", "bits": [ 34 ] }, "SPIWKUP": { "direction": "output", "bits": [ 35 ] }, "SO": { "direction": "output", "bits": [ 36 ] }, "SOE": { "direction": "output", "bits": [ 37 ] }, "MO": { "direction": "output", "bits": [ 38 ] }, "MOE": { "direction": "output", "bits": [ 39 ] }, "SCKO": { "direction": "output", "bits": [ 40 ] }, "SCKOE": { "direction": "output", "bits": [ 41 ] }, "MCSNO3": { "direction": "output", "bits": [ 42 ] }, "MCSNO2": { "direction": "output", "bits": [ 43 ] }, "MCSNO1": { "direction": "output", "bits": [ 44 ] }, "MCSNO0": { "direction": "output", "bits": [ 45 ] }, "MCSNOE3": { "direction": "output", "bits": [ 46 ] }, "MCSNOE2": { "direction": "output", "bits": [ 47 ] }, "MCSNOE1": { "direction": "output", "bits": [ 48 ] }, "MCSNOE0": { "direction": "output", "bits": [ 49 ] } }, "cells": { }, "netnames": { "MCSNO0": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2820.9-2820.15" } }, "MCSNO1": { "hide_name": 0, "bits": [ 44 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2819.9-2819.15" } }, "MCSNO2": { "hide_name": 0, "bits": [ 43 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2818.9-2818.15" } }, "MCSNO3": { "hide_name": 0, "bits": [ 42 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2817.9-2817.15" } }, "MCSNOE0": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2824.9-2824.16" } }, "MCSNOE1": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2823.9-2823.16" } }, "MCSNOE2": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2822.9-2822.16" } }, "MCSNOE3": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2821.9-2821.16" } }, "MI": { "hide_name": 0, "bits": [ 21 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2796.9-2796.11" } }, "MO": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2813.9-2813.11" } }, "MOE": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2814.9-2814.12" } }, "SBACKO": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2808.9-2808.15" } }, "SBADRI0": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2787.9-2787.16" } }, "SBADRI1": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2786.9-2786.16" } }, "SBADRI2": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2785.9-2785.16" } }, "SBADRI3": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2784.9-2784.16" } }, "SBADRI4": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2783.9-2783.16" } }, "SBADRI5": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2782.9-2782.16" } }, "SBADRI6": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2781.9-2781.16" } }, "SBADRI7": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2780.9-2780.16" } }, "SBCLKI": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2777.9-2777.15" } }, "SBDATI0": { "hide_name": 0, "bits": [ 20 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2795.9-2795.16" } }, "SBDATI1": { "hide_name": 0, "bits": [ 19 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2794.9-2794.16" } }, "SBDATI2": { "hide_name": 0, "bits": [ 18 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2793.9-2793.16" } }, "SBDATI3": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2792.9-2792.16" } }, "SBDATI4": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2791.9-2791.16" } }, "SBDATI5": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2790.9-2790.16" } }, "SBDATI6": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2789.9-2789.16" } }, "SBDATI7": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2788.9-2788.16" } }, "SBDATO0": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2807.9-2807.16" } }, "SBDATO1": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2806.9-2806.16" } }, "SBDATO2": { "hide_name": 0, "bits": [ 30 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2805.9-2805.16" } }, "SBDATO3": { "hide_name": 0, "bits": [ 29 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2804.9-2804.16" } }, "SBDATO4": { "hide_name": 0, "bits": [ 28 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2803.9-2803.16" } }, "SBDATO5": { "hide_name": 0, "bits": [ 27 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2802.9-2802.16" } }, "SBDATO6": { "hide_name": 0, "bits": [ 26 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2801.9-2801.16" } }, "SBDATO7": { "hide_name": 0, "bits": [ 25 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2800.9-2800.16" } }, "SBRWI": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2778.9-2778.14" } }, "SBSTBI": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2779.9-2779.15" } }, "SCKI": { "hide_name": 0, "bits": [ 23 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2798.9-2798.13" } }, "SCKO": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2815.9-2815.13" } }, "SCKOE": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2816.9-2816.14" } }, "SCSNI": { "hide_name": 0, "bits": [ 24 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2799.9-2799.14" } }, "SI": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2797.9-2797.11" } }, "SO": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2811.9-2811.11" } }, "SOE": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2812.9-2812.12" } }, "SPIIRQ": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2809.9-2809.15" } }, "SPIWKUP": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2810.9-2810.16" } } } }, "SB_SPRAM256KA": { "attributes": { "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2600.1-2661.10" }, "ports": { "ADDRESS": { "direction": "input", "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ] }, "DATAIN": { "direction": "input", "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ] }, "MASKWREN": { "direction": "input", "bits": [ 32, 33, 34, 35 ] }, "WREN": { "direction": "input", "bits": [ 36 ] }, "CHIPSELECT": { "direction": "input", "bits": [ 37 ] }, "CLOCK": { "direction": "input", "bits": [ 38 ] }, "STANDBY": { "direction": "input", "bits": [ 39 ] }, "SLEEP": { "direction": "input", "bits": [ 40 ] }, "POWEROFF": { "direction": "input", "bits": [ 41 ] }, "DATAOUT": { "direction": "output", "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] } }, "cells": { }, "netnames": { "ADDRESS": { "hide_name": 0, "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2601.15-2601.22" } }, "CHIPSELECT": { "hide_name": 0, "bits": [ 37 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.14-2604.24" } }, "CLOCK": { "hide_name": 0, "bits": [ 38 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.26-2604.31" } }, "DATAIN": { "hide_name": 0, "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2602.15-2602.21" } }, "DATAOUT": { "hide_name": 0, "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2605.20-2605.27" } }, "MASKWREN": { "hide_name": 0, "bits": [ 32, 33, 34, 35 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2603.14-2603.22" } }, "POWEROFF": { "hide_name": 0, "bits": [ 41 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.49-2604.57" } }, "SLEEP": { "hide_name": 0, "bits": [ 40 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.42-2604.47" } }, "STANDBY": { "hide_name": 0, "bits": [ 39 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.33-2604.40" } }, "WREN": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2604.8-2604.12" } } } }, "SB_WARMBOOT": { "attributes": { "keep": "00000000000000000000000000000001", "blackbox": "00000000000000000000000000000001", "cells_not_processed": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2593.1-2598.10" }, "ports": { "BOOT": { "direction": "input", "bits": [ 2 ] }, "S1": { "direction": "input", "bits": [ 3 ] }, "S0": { "direction": "input", "bits": [ 4 ] } }, "cells": { }, "netnames": { "BOOT": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2594.8-2594.12" } }, "S0": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2596.8-2596.10" } }, "S1": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:2595.8-2595.10" } } } }, "top": { "attributes": { "hdlname": "top", "top": "00000000000000000000000000000001", "src": "top.v:9.1-608.10" }, "ports": { "i_glob_clock": { "direction": "input", "bits": [ 2 ] }, "i_rst_b": { "direction": "input", "bits": [ 3 ] }, "o_rx_h_tx_l": { "direction": "output", "bits": [ 4 ] }, "o_rx_h_tx_l_b": { "direction": "output", "bits": [ 5 ] }, "o_tr_vc1": { "direction": "output", "bits": [ 6 ] }, "o_tr_vc1_b": { "direction": "output", "bits": [ 7 ] }, "o_tr_vc2": { "direction": "output", "bits": [ 8 ] }, "o_shdn_rx_lna": { "direction": "output", "bits": [ 9 ] }, "o_shdn_tx_lna": { "direction": "output", "bits": [ 10 ] }, "o_iq_tx_p": { "direction": "output", "bits": [ 11 ] }, "o_iq_tx_n": { "direction": "output", "bits": [ 12 ] }, "o_iq_tx_clk_p": { "direction": "output", "bits": [ 13 ] }, "o_iq_tx_clk_n": { "direction": "output", "bits": [ 14 ] }, "i_iq_rx_09_p": { "direction": "input", "bits": [ 15 ] }, "i_iq_rx_24_n": { "direction": "input", "bits": [ 16 ] }, "i_iq_rx_clk_p": { "direction": "input", "bits": [ 17 ] }, "o_mixer_fm": { "direction": "output", "bits": [ "x" ] }, "o_mixer_en": { "direction": "output", "bits": [ "x" ] }, "i_config": { "direction": "input", "bits": [ 18, 19, 20, 21 ] }, "i_button": { "direction": "input", "bits": [ 22 ] }, "io_pmod_out": { "direction": "output", "bits": [ 23, 24, 25, 26 ] }, "io_pmod_in": { "direction": "input", "bits": [ 27, 28, 29, 30 ] }, "o_led0": { "direction": "output", "bits": [ 31 ] }, "o_led1": { "direction": "output", "bits": [ 32 ] }, "i_smi_a2": { "direction": "input", "bits": [ 33 ] }, "i_smi_a3": { "direction": "input", "bits": [ 34 ] }, "i_smi_soe_se": { "direction": "input", "bits": [ 35 ] }, "i_smi_swe_srw": { "direction": "input", "bits": [ 36 ] }, "io_smi_data": { "direction": "inout", "bits": [ 37, 38, 39, 40, 41, 42, 43, 44 ] }, "o_smi_write_req": { "direction": "output", "bits": [ 36 ] }, "o_smi_read_req": { "direction": "output", "bits": [ 45 ] }, "i_mosi": { "direction": "input", "bits": [ 46 ] }, "i_sck": { "direction": "input", "bits": [ 47 ] }, "i_ss": { "direction": "input", "bits": [ 48 ] }, "o_miso": { "direction": "output", "bits": [ 49 ] } }, "cells": { "i_button_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010100111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 22 ], "I1": [ 50 ], "I2": [ 51 ], "I3": [ 52 ], "O": [ 53 ] } }, "i_button_SB_LUT4_I0_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010011010101111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 54 ], "I1": [ 55 ], "I2": [ 56 ], "I3": [ 57 ], "O": [ 52 ] } }, "i_button_SB_LUT4_I0_I3_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 58 ], "I2": [ 59 ], "I3": [ 60 ], "O": [ 56 ] } }, "i_config_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010100111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 20 ], "I1": [ 61 ], "I2": [ 51 ], "I3": [ 52 ], "O": [ 62 ] } }, "i_config_SB_LUT4_I0_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010100111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 21 ], "I1": [ 63 ], "I2": [ 51 ], "I3": [ 52 ], "O": [ 64 ] } }, "i_rst_b_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111001100110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 67 ] } }, "i_rst_b_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 3 ], "O": [ 68 ] } }, "i_ss_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 48 ], "O": [ 69 ] } }, "io_ctrl_ins.i_cs_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 71 ], "E": [ 72 ], "Q": [ 73 ], "R": [ 74 ] } }, "io_ctrl_ins.i_cs_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 75 ], "I3": [ 76 ], "O": [ 71 ] } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 77 ], "E": [ 78 ], "Q": [ 9 ] } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 79 ], "I2": [ 80 ], "I3": [ 81 ], "O": [ 77 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 82 ], "E": [ 78 ], "Q": [ 10 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111110101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 83 ], "I1": [ 80 ], "I2": [ 84 ], "I3": [ 81 ], "O": [ 82 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100101000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 25 ], "I1": [ 10 ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 86 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 87 ], "I2": [ 51 ], "I3": [ 86 ], "O": [ 88 ] } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 89 ], "E": [ 90 ], "Q": [ 91 ], "R": [ 68 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 92 ], "E": [ 78 ], "Q": [ 93 ] } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110010101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 94 ], "I1": [ 80 ], "I2": [ 84 ], "I3": [ 81 ], "O": [ 92 ] } }, "io_ctrl_ins.mixer_en_state_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1110001000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 23 ], "I1": [ 55 ], "I2": [ 93 ], "I3": [ 85 ], "O": [ 95 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 96 ], "E": [ 97 ], "Q": [ 98 ], "R": [ 99 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 100 ], "E": [ 97 ], "Q": [ 101 ], "R": [ 99 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 80 ], "I2": [ 102 ], "I3": [ 103 ], "O": [ 100 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 104 ], "E": [ 105 ], "Q": [ 106 ], "R": [ 107 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 91 ], "I2": [ 102 ], "I3": [ 88 ], "O": [ 104 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 55 ], "I3": [ 97 ], "O": [ 105 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 55 ], "I2": [ 57 ], "I3": [ 85 ], "O": [ 107 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111001011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 108 ], "I1": [ 102 ], "I2": [ 109 ], "I3": [ 110 ], "O": [ 96 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 57 ], "I3": [ 107 ], "O": [ 99 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 70 ], "D": [ 111 ], "E": [ 97 ], "Q": [ 112 ], "S": [ 99 ] } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111001011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 113 ], "I1": [ 102 ], "I2": [ 95 ], "I3": [ 114 ], "O": [ 111 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 115 ], "E": [ 116 ], "Q": [ 117 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 118 ], "E": [ 116 ], "Q": [ 119 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 120 ], "E": [ 116 ], "Q": [ 121 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 122 ], "E": [ 97 ], "Q": [ 123 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 19 ], "I2": [ 124 ], "I3": [ 125 ], "O": [ 122 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 84 ], "I2": [ 126 ], "I3": [ 127 ], "O": [ 125 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 128 ], "I3": [ 126 ], "O": [ 116 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 128 ], "I2": [ 57 ], "I3": [ 85 ], "O": [ 97 ] } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 73 ], "I3": [ 129 ], "O": [ 128 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 130 ], "E": [ 131 ], "Q": [ 50 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 132 ], "E": [ 131 ], "Q": [ 63 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 133 ], "E": [ 131 ], "Q": [ 61 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 134 ], "E": [ 131 ], "Q": [ 135 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 136 ], "E": [ 131 ], "Q": [ 137 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 89 ], "E": [ 131 ], "Q": [ 87 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 138 ], "E": [ 131 ], "Q": [ 139 ] } }, "io_ctrl_ins.pmod_dir_state_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 131 ], "Q": [ 141 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 136 ], "E": [ 142 ], "Q": [ 26 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 89 ], "E": [ 142 ], "Q": [ 25 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 138 ], "E": [ 142 ], "Q": [ 24 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 142 ], "Q": [ 23 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 55 ], "I2": [ 143 ], "I3": [ 85 ], "O": [ 142 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 143 ], "I3": [ 51 ], "O": [ 131 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 143 ], "I3": [ 144 ], "O": [ 145 ] } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000010000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3 ], "I1": [ 73 ], "I2": [ 146 ], "I3": [ 129 ], "O": [ 143 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 130 ], "E": [ 145 ], "Q": [ 147 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 132 ], "E": [ 145 ], "Q": [ 148 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 133 ], "E": [ 145 ], "Q": [ 149 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 134 ], "E": [ 145 ], "Q": [ 150 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 136 ], "E": [ 145 ], "Q": [ 151 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 89 ], "E": [ 145 ], "Q": [ 83 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 138 ], "E": [ 145 ], "Q": [ 79 ] } }, "io_ctrl_ins.rf_pin_state_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 145 ], "Q": [ 94 ] } }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 152 ], "E": [ 78 ], "Q": [ 5 ] } }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 148 ], "I2": [ 81 ], "I3": [ 153 ], "O": [ 152 ] } }, "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 5 ], "I2": [ 144 ], "I3": [ 64 ], "O": [ 118 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 154 ], "E": [ 78 ], "Q": [ 4 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 147 ], "I2": [ 81 ], "I3": [ 153 ], "O": [ 154 ] } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100001000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 80 ], "I1": [ 91 ], "I2": [ 84 ], "I3": [ 81 ], "O": [ 153 ] } }, "io_ctrl_ins.rx_h_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 4 ], "I2": [ 144 ], "I3": [ 53 ], "O": [ 115 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 155 ], "E": [ 78 ], "Q": [ 7 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110010101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 150 ], "I1": [ 80 ], "I2": [ 156 ], "I3": [ 81 ], "O": [ 155 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010100111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 7 ], "I1": [ 135 ], "I2": [ 51 ], "I3": [ 144 ], "O": [ 127 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 134 ], "E": [ 90 ], "Q": [ 84 ], "R": [ 68 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 138 ], "E": [ 90 ], "Q": [ 108 ], "R": [ 68 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 90 ], "Q": [ 113 ], "R": [ 68 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 136 ], "E": [ 90 ], "Q": [ 80 ], "R": [ 68 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_2_Q_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 108 ], "I3": [ 113 ], "O": [ 81 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000101010101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3 ], "I1": [ 113 ], "I2": [ 80 ], "I3": [ 84 ], "O": [ 157 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 108 ], "I3": [ 157 ], "O": [ 78 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 146 ], "I2": [ 129 ], "I3": [ 102 ], "O": [ 90 ] } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000010010101111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 54 ], "I1": [ 55 ], "I2": [ 56 ], "I3": [ 57 ], "O": [ 126 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 158 ], "E": [ 78 ], "Q": [ 6 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001110101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 149 ], "I1": [ 80 ], "I2": [ 156 ], "I3": [ 81 ], "O": [ 158 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 91 ], "I3": [ 84 ], "O": [ 156 ] } }, "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 6 ], "I2": [ 144 ], "I3": [ 62 ], "O": [ 120 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:210.5-308.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 159 ], "E": [ 78 ], "Q": [ 8 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110010101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 151 ], "I1": [ 80 ], "I2": [ 84 ], "I3": [ 81 ], "O": [ 159 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001001101011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 18 ], "I1": [ 8 ], "I2": [ 124 ], "I3": [ 144 ], "O": [ 160 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000011100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 137 ], "I1": [ 51 ], "I2": [ 161 ], "I3": [ 160 ], "O": [ 103 ] } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 26 ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 161 ] } }, "iq_rx_09": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVDS_INPUT", "NEG_TRIGGER": "0", "PIN_TYPE": "000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:289.7-294.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "INPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 162 ], "D_IN_1": [ 163 ], "INPUT_CLK": [ 164 ], "PACKAGE_PIN": [ 15 ] } }, "iq_rx_24": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVDS_INPUT", "NEG_TRIGGER": "0", "PIN_TYPE": "000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:274.7-280.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_IN_1": "output", "INPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 165 ], "D_IN_1": [ 166 ], "INPUT_CLK": [ 164 ], "PACKAGE_PIN": [ 16 ] } }, "iq_rx_clk": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVDS_INPUT", "PIN_TYPE": "000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:256.7-259.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 164 ], "PACKAGE_PIN": [ 17 ] } }, "iq_tx_clk_n": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "PIN_TYPE": "011001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:335.5-338.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ 167 ], "PACKAGE_PIN": [ 14 ] } }, "iq_tx_clk_p": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "PIN_TYPE": "011001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:326.5-329.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ 164 ], "PACKAGE_PIN": [ 13 ] } }, "iq_tx_n": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "PIN_TYPE": "010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:315.5-320.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "D_OUT_1": "input", "OUTPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ "0" ], "D_OUT_1": [ "0" ], "OUTPUT_CLK": [ 167 ], "PACKAGE_PIN": [ 12 ] } }, "iq_tx_n_OUTPUT_CLK_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 164 ], "O": [ 167 ] } }, "iq_tx_p": { "hide_name": 0, "type": "SB_IO", "parameters": { "IO_STANDARD": "SB_LVCMOS", "PIN_TYPE": "010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:304.5-309.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_OUT_0": "input", "D_OUT_1": "input", "OUTPUT_CLK": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_OUT_0": [ "1" ], "D_OUT_1": [ "1" ], "OUTPUT_CLK": [ 167 ], "PACKAGE_PIN": [ 11 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 168 ], "E": [ 169 ], "Q": [ 170 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 169 ], "I3": [ 171 ], "O": [ 172 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 173 ], "E": [ 172 ], "Q": [ 174 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 175 ], "E": [ 172 ], "Q": [ 176 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 176 ], "I3": [ 173 ], "O": [ 175 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 174 ], "I2": [ 176 ], "I3": [ 177 ], "O": [ 173 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 176 ], "O": [ 178 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 163 ], "I1": [ 162 ], "I2": [ 174 ], "I3": [ 176 ], "O": [ 169 ] } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_Q_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100101010101010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 163 ], "I1": [ 170 ], "I2": [ 174 ], "I3": [ 171 ], "O": [ 179 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111111000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 30 ], "I2": [ 180 ], "I3": [ 181 ], "O": [ 168 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 182 ], "Q": [ 181 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 134 ], "E": [ 183 ], "Q": [ 180 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 55 ], "I3": [ 184 ], "O": [ 182 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 55 ], "I3": [ 184 ], "O": [ 183 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 136 ], "E": [ 183 ], "Q": [ 185 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 89 ], "E": [ 183 ], "Q": [ 186 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 138 ], "E": [ 183 ], "Q": [ 187 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_3": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 183 ], "Q": [ 188 ], "R": [ 68 ] } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 146 ], "I1": [ 129 ], "I2": [ 189 ], "I3": [ 56 ], "O": [ 184 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 190 ], "E": [ 191 ], "Q": [ 192 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 193 ], "E": [ 191 ], "Q": [ 194 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_10": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 195 ], "E": [ 191 ], "Q": [ 196 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_10_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 197 ], "I3": [ 176 ], "O": [ 195 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_11": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 198 ], "E": [ 191 ], "Q": [ 199 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_11_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 200 ], "I3": [ 176 ], "O": [ 198 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_12": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 201 ], "E": [ 191 ], "Q": [ 202 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_12_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 196 ], "I3": [ 176 ], "O": [ 201 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_13": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 203 ], "E": [ 191 ], "Q": [ 204 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_13_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 199 ], "I3": [ 176 ], "O": [ 203 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_14": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 205 ], "E": [ 191 ], "Q": [ 206 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_14_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 202 ], "I3": [ 176 ], "O": [ 205 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_15": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 207 ], "E": [ 191 ], "Q": [ 208 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_15_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 204 ], "I3": [ 176 ], "O": [ 207 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_16": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 209 ], "E": [ 191 ], "Q": [ 210 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_16_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 206 ], "I3": [ 176 ], "O": [ 209 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_17": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 211 ], "E": [ 191 ], "Q": [ 212 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_17_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 208 ], "I3": [ 176 ], "O": [ 211 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_18": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 213 ], "E": [ 191 ], "Q": [ 214 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_18_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 210 ], "I3": [ 176 ], "O": [ 213 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_19": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 215 ], "E": [ 191 ], "Q": [ 216 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_19_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 212 ], "I3": [ 176 ], "O": [ 215 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 217 ], "I3": [ 176 ], "O": [ 193 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 218 ], "E": [ 191 ], "Q": [ 219 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_20": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 220 ], "E": [ 191 ], "Q": [ 221 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_20_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 214 ], "I3": [ 176 ], "O": [ 220 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_21": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 222 ], "E": [ 191 ], "Q": [ 223 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_21_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 216 ], "I3": [ 176 ], "O": [ 222 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_22": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 224 ], "E": [ 191 ], "Q": [ 225 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_22_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 221 ], "I3": [ 176 ], "O": [ 224 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_23": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 226 ], "E": [ 191 ], "Q": [ 227 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_23_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 223 ], "I3": [ 176 ], "O": [ 226 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_24": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 228 ], "E": [ 191 ], "Q": [ 229 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_24_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 225 ], "I3": [ 176 ], "O": [ 228 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_25": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 230 ], "E": [ 191 ], "Q": [ 231 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_25_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 227 ], "I3": [ 176 ], "O": [ 230 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_26": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 232 ], "E": [ 191 ], "Q": [ 233 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_26_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 229 ], "I3": [ 176 ], "O": [ 232 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_27": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 234 ], "E": [ 191 ], "Q": [ 235 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_27_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 231 ], "I3": [ 176 ], "O": [ 234 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_28": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 236 ], "E": [ 191 ], "Q": [ 237 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_28_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 233 ], "I3": [ 176 ], "O": [ 236 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_29": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 238 ], "E": [ 191 ], "Q": [ 239 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_29_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 235 ], "I3": [ 176 ], "O": [ 238 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 192 ], "I3": [ 176 ], "O": [ 218 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 240 ], "E": [ 191 ], "Q": [ 241 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 194 ], "I3": [ 176 ], "O": [ 240 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 242 ], "E": [ 191 ], "Q": [ 243 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 219 ], "I3": [ 176 ], "O": [ 242 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 244 ], "E": [ 191 ], "Q": [ 245 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 241 ], "I3": [ 176 ], "O": [ 244 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 246 ], "E": [ 191 ], "Q": [ 247 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 243 ], "I3": [ 176 ], "O": [ 246 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 248 ], "E": [ 191 ], "Q": [ 249 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 245 ], "I3": [ 176 ], "O": [ 248 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 250 ], "E": [ 191 ], "Q": [ 197 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 247 ], "I3": [ 176 ], "O": [ 250 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 251 ], "E": [ 191 ], "Q": [ 200 ], "R": [ 178 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 249 ], "I3": [ 176 ], "O": [ 251 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 252 ], "I3": [ 176 ], "O": [ 190 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 162 ], "E": [ 191 ], "Q": [ 217 ] } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 179 ], "E": [ 191 ], "Q": [ 252 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 253 ], "E": [ 254 ], "Q": [ 255 ], "R": [ 68 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 254 ], "I3": [ 256 ], "O": [ 257 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 258 ], "E": [ 257 ], "Q": [ 259 ], "R": [ 68 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 260 ], "E": [ 257 ], "Q": [ 261 ], "R": [ 68 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 261 ], "I3": [ 258 ], "O": [ 260 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 261 ], "O": [ 262 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 261 ], "I3": [ 263 ], "O": [ 254 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O_I3_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 261 ], "I3": [ 263 ], "O": [ 264 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 166 ], "I2": [ 165 ], "I3": [ 259 ], "O": [ 263 ] } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_Q_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 166 ], "I2": [ 255 ], "I3": [ 265 ], "O": [ 266 ] } }, "lvds_rx_24_inst.i_sync_input_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 29 ], "I2": [ 267 ], "I3": [ 268 ], "O": [ 253 ] } }, "lvds_rx_24_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 89 ], "E": [ 182 ], "Q": [ 267 ], "R": [ 68 ] } }, "lvds_rx_24_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 133 ], "E": [ 183 ], "Q": [ 268 ], "R": [ 68 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 269 ], "E": [ 264 ], "Q": [ 270 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 271 ], "E": [ 264 ], "Q": [ 272 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_10": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 273 ], "E": [ 264 ], "Q": [ 274 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_10_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 275 ], "I3": [ 261 ], "O": [ 273 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_11": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 276 ], "E": [ 264 ], "Q": [ 277 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_11_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 278 ], "I3": [ 261 ], "O": [ 276 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_12": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 279 ], "E": [ 264 ], "Q": [ 280 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_12_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 274 ], "I3": [ 261 ], "O": [ 279 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_13": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 281 ], "E": [ 264 ], "Q": [ 282 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_13_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 277 ], "I3": [ 261 ], "O": [ 281 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_14": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 283 ], "E": [ 264 ], "Q": [ 284 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_14_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 280 ], "I3": [ 261 ], "O": [ 283 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_15": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 285 ], "E": [ 264 ], "Q": [ 286 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_15_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 282 ], "I3": [ 261 ], "O": [ 285 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_16": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 287 ], "E": [ 264 ], "Q": [ 288 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_16_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 284 ], "I3": [ 261 ], "O": [ 287 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_17": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 289 ], "E": [ 264 ], "Q": [ 290 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_17_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 286 ], "I3": [ 261 ], "O": [ 289 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_18": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 291 ], "E": [ 264 ], "Q": [ 292 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_18_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 288 ], "I3": [ 261 ], "O": [ 291 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_19": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 293 ], "E": [ 264 ], "Q": [ 294 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_19_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 290 ], "I3": [ 261 ], "O": [ 293 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 295 ], "I3": [ 261 ], "O": [ 271 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 296 ], "E": [ 264 ], "Q": [ 297 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_20": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 298 ], "E": [ 264 ], "Q": [ 299 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_20_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 292 ], "I3": [ 261 ], "O": [ 298 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_21": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 300 ], "E": [ 264 ], "Q": [ 301 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_21_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 294 ], "I3": [ 261 ], "O": [ 300 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_22": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 302 ], "E": [ 264 ], "Q": [ 303 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_22_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 299 ], "I3": [ 261 ], "O": [ 302 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_23": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 304 ], "E": [ 264 ], "Q": [ 305 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_23_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 301 ], "I3": [ 261 ], "O": [ 304 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_24": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 306 ], "E": [ 264 ], "Q": [ 307 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_24_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 303 ], "I3": [ 261 ], "O": [ 306 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_25": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 308 ], "E": [ 264 ], "Q": [ 309 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_25_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 305 ], "I3": [ 261 ], "O": [ 308 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_26": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 310 ], "E": [ 264 ], "Q": [ 311 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_26_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 307 ], "I3": [ 261 ], "O": [ 310 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_27": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 312 ], "E": [ 264 ], "Q": [ 313 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_27_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 309 ], "I3": [ 261 ], "O": [ 312 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_28": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 314 ], "E": [ 264 ], "Q": [ 315 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_28_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 311 ], "I3": [ 261 ], "O": [ 314 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_29": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 316 ], "E": [ 264 ], "Q": [ 317 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_29_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 313 ], "I3": [ 261 ], "O": [ 316 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 270 ], "I3": [ 261 ], "O": [ 296 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 318 ], "E": [ 264 ], "Q": [ 319 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 272 ], "I3": [ 261 ], "O": [ 318 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 320 ], "E": [ 264 ], "Q": [ 321 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 297 ], "I3": [ 261 ], "O": [ 320 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 322 ], "E": [ 264 ], "Q": [ 323 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 319 ], "I3": [ 261 ], "O": [ 322 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 324 ], "E": [ 264 ], "Q": [ 325 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 321 ], "I3": [ 261 ], "O": [ 324 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 326 ], "E": [ 264 ], "Q": [ 327 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 323 ], "I3": [ 261 ], "O": [ 326 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 328 ], "E": [ 264 ], "Q": [ 275 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 325 ], "I3": [ 261 ], "O": [ 328 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 329 ], "E": [ 264 ], "Q": [ 278 ], "R": [ 262 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 327 ], "I3": [ 261 ], "O": [ 329 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 330 ], "I3": [ 261 ], "O": [ 269 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 331 ], "E": [ 264 ], "Q": [ 295 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 266 ], "E": [ 264 ], "Q": [ 330 ] } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 165 ], "O": [ 331 ] } }, "lvds_tx_inst.r_pulled_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_tx.v:58.5-128.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 332 ], "E": [ 68 ], "Q": [ 333 ], "R": [ 68 ] } }, "lvds_tx_inst.r_pulled_SB_DFFESR_Q_D_SB_DFFNSR_Q": { "hide_name": 0, "type": "SB_DFFNSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:88.2-96.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 334 ], "Q": [ 332 ], "R": [ 68 ] } }, "lvds_tx_inst.r_pulled_SB_DFFESR_Q_D_SB_DFFNSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010101010101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 335 ], "I1": [ 336 ], "I2": [ 337 ], "I3": [ 338 ], "O": [ 334 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000001010001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 333 ], "I1": [ 339 ], "I2": [ 340 ], "I3": [ 332 ], "O": [ 341 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I0_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110000000000110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 342 ], "I1": [ 343 ], "I2": [ 344 ], "I3": [ 345 ], "O": [ 346 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 333 ], "I2": [ 340 ], "I3": [ 347 ], "O": [ 348 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 349 ], "I2": [ 348 ], "I3": [ 350 ], "O": [ 337 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 351 ], "I1": [ 352 ], "I2": [ 353 ], "I3": [ 354 ], "O": [ 335 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 355 ], "I2": [ 356 ], "I3": [ 357 ], "O": [ 336 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 358 ], "I1": [ 359 ], "I2": [ 360 ], "I3": [ 361 ], "O": [ 338 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100000111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 340 ], "I1": [ 362 ], "I2": [ 363 ], "I3": [ 347 ], "O": [ 349 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000001001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 364 ], "I1": [ 365 ], "I2": [ 366 ], "I3": [ 367 ], "O": [ 350 ] } }, "lvds_tx_inst.r_pulled_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 333 ], "O": [ 368 ] } }, "o_led0_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 369 ], "Q": [ 31 ], "R": [ 68 ] } }, "o_led0_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001001101011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 141 ], "I1": [ 31 ], "I2": [ 51 ], "I3": [ 124 ], "O": [ 114 ] } }, "o_led0_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111111111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 55 ], "I3": [ 57 ], "O": [ 102 ] } }, "o_led0_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 55 ], "I3": [ 57 ], "O": [ 51 ] } }, "o_led0_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 58 ], "I2": [ 59 ], "I3": [ 60 ], "O": [ 57 ] } }, "o_led1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 138 ], "E": [ 369 ], "Q": [ 32 ], "R": [ 68 ] } }, "o_led1_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 73 ], "I1": [ 146 ], "I2": [ 129 ], "I3": [ 124 ], "O": [ 369 ] } }, "o_led1_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001001101011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 139 ], "I1": [ 32 ], "I2": [ 51 ], "I3": [ 124 ], "O": [ 110 ] } }, "o_led1_SB_LUT4_I1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 55 ], "I3": [ 57 ], "O": [ 124 ] } }, "o_led1_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100101000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 24 ], "I1": [ 9 ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 109 ] } }, "o_led1_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 55 ], "I3": [ 85 ], "O": [ 144 ] } }, "o_led1_SB_LUT4_I1_O_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 54 ], "I3": [ 56 ], "O": [ 85 ] } }, "o_miso_$_TBUF__Y": { "hide_name": 0, "type": "$_TBUF_", "parameters": { }, "attributes": { "src": "top.v:152.19-152.43" }, "port_directions": { "A": "input", "E": "input", "Y": "output" }, "connections": { "A": [ 370 ], "E": [ 69 ], "Y": [ 49 ] } }, "o_smi_read_req_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 371 ], "I2": [ 372 ], "I3": [ 373 ], "O": [ 45 ] } }, "r_counter_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 2 ], "D": [ 374 ], "Q": [ 70 ], "R": [ 68 ] } }, "r_counter_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 70 ], "O": [ 374 ] } }, "r_tx_data_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 375 ], "E": [ 376 ], "Q": [ 377 ] } }, "r_tx_data_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 378 ], "E": [ 376 ], "Q": [ 379 ] } }, "r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010111000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 119 ], "I1": [ 380 ], "I2": [ 381 ], "I3": [ 382 ], "O": [ 378 ] } }, "r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 383 ], "E": [ 384 ], "Q": [ 380 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 385 ], "I3": [ 386 ], "O": [ 383 ] } }, "r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I2_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 132 ], "E": [ 183 ], "Q": [ 385 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 387 ], "E": [ 376 ], "Q": [ 388 ] } }, "r_tx_data_SB_DFFE_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 389 ], "I2": [ 381 ], "I3": [ 390 ], "O": [ 387 ] } }, "r_tx_data_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 391 ], "E": [ 384 ], "Q": [ 389 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 268 ], "I3": [ 386 ], "O": [ 391 ] } }, "r_tx_data_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 121 ], "I2": [ 392 ], "I3": [ 382 ], "O": [ 390 ] } }, "r_tx_data_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 393 ], "E": [ 376 ], "Q": [ 394 ] } }, "r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010111000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 123 ], "I1": [ 395 ], "I2": [ 381 ], "I3": [ 382 ], "O": [ 393 ] } }, "r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 396 ], "E": [ 384 ], "Q": [ 395 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 180 ], "I3": [ 386 ], "O": [ 396 ] } }, "r_tx_data_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 397 ], "E": [ 376 ], "Q": [ 398 ] } }, "r_tx_data_SB_DFFE_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010111000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 101 ], "I1": [ 399 ], "I2": [ 381 ], "I3": [ 382 ], "O": [ 397 ] } }, "r_tx_data_SB_DFFE_Q_4_D_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 400 ], "E": [ 384 ], "Q": [ 399 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 401 ], "E": [ 376 ], "Q": [ 402 ] } }, "r_tx_data_SB_DFFE_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 403 ], "I1": [ 392 ], "I2": [ 381 ], "I3": [ 404 ], "O": [ 401 ] } }, "r_tx_data_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 405 ], "E": [ 376 ], "Q": [ 406 ] } }, "r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 407 ], "I2": [ 381 ], "I3": [ 408 ], "O": [ 405 ] } }, "r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 409 ], "E": [ 384 ], "Q": [ 407 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001001101011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 410 ], "I1": [ 98 ], "I2": [ 411 ], "I3": [ 382 ], "O": [ 408 ] } }, "r_tx_data_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:225.3-239.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 2 ], "D": [ 412 ], "E": [ 376 ], "Q": [ 413 ] } }, "r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 414 ], "I2": [ 381 ], "I3": [ 415 ], "O": [ 412 ] } }, "r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 416 ], "E": [ 384 ], "Q": [ 414 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 417 ], "I2": [ 411 ], "I3": [ 418 ], "O": [ 415 ] } }, "r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 112 ], "I2": [ 392 ], "I3": [ 382 ], "O": [ 418 ] } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 419 ], "I2": [ 381 ], "I3": [ 420 ], "O": [ 375 ] } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 421 ], "E": [ 384 ], "Q": [ 419 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 422 ], "I3": [ 386 ], "O": [ 421 ] } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I2_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 130 ], "E": [ 183 ], "Q": [ 422 ], "R": [ 68 ] } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 54 ], "I2": [ 55 ], "I3": [ 56 ], "O": [ 386 ] } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 117 ], "I2": [ 392 ], "I3": [ 382 ], "O": [ 420 ] } }, "rx_fifo.full_o_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:62.2-70.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 423 ], "Q": [ 424 ], "R": [ 68 ] } }, "rx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111110000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 425 ], "I1": [ 426 ], "I2": [ 427 ], "I3": [ 428 ], "O": [ 423 ] } }, "rx_fifo.full_o_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101000000010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 424 ], "I1": [ 429 ], "I2": [ 430 ], "I3": [ 431 ], "O": [ 432 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 433 ], "I2": [ 432 ], "I3": [ 434 ], "O": [ 426 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 435 ], "I1": [ 436 ], "I2": [ 437 ], "I3": [ 438 ], "O": [ 425 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 439 ], "I1": [ 440 ], "I2": [ 441 ], "I3": [ 442 ], "O": [ 427 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 443 ], "I1": [ 444 ], "I2": [ 445 ], "I3": [ 446 ], "O": [ 428 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011001101011010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 447 ], "I1": [ 448 ], "I2": [ 449 ], "I3": [ 450 ], "O": [ 443 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 429 ], "I1": [ 451 ], "I2": [ 452 ], "I3": [ 453 ], "O": [ 444 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 454 ], "I3": [ 455 ], "O": [ 451 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 456 ], "CO": [ 455 ], "I0": [ "0" ], "I1": [ 457 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 458 ], "CO": [ 456 ], "I0": [ "0" ], "I1": [ 459 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 460 ], "CO": [ 458 ], "I0": [ "0" ], "I1": [ 461 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 462 ], "CO": [ 460 ], "I0": [ "0" ], "I1": [ 463 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 459 ], "I3": [ 458 ], "O": [ 449 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 447 ], "I2": [ 448 ], "I3": [ 449 ], "O": [ 452 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 464 ], "I3": [ 465 ], "O": [ 453 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 457 ], "I3": [ 456 ], "O": [ 465 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 466 ], "I2": [ 467 ], "I3": [ 468 ], "O": [ 445 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 469 ], "I2": [ 470 ], "I3": [ 471 ], "O": [ 466 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 472 ], "I1": [ 473 ], "I2": [ 470 ], "I3": [ 474 ], "O": [ 467 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_1_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 475 ], "I3": [ 476 ], "O": [ 477 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 478 ], "I3": [ 479 ], "O": [ 473 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 476 ], "CO": [ 479 ], "I0": [ "0" ], "I1": [ 475 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 435 ], "I2": [ 449 ], "I3": [ 465 ], "O": [ 468 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 480 ], "I3": [ 481 ], "O": [ 470 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 482 ], "I2": [ 477 ], "I3": [ 473 ], "O": [ 474 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I2_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 479 ], "CO": [ 481 ], "I0": [ "0" ], "I1": [ 478 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I3_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 483 ], "I3": [ 471 ], "O": [ 450 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 461 ], "I3": [ 460 ], "O": [ 448 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 463 ], "I3": [ 462 ], "O": [ 471 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 481 ], "CO": [ 462 ], "I0": [ "0" ], "I1": [ 480 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 475 ], "I1": [ 484 ], "I2": [ 430 ], "I3": [ 485 ], "O": [ 446 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 484 ], "I1": [ 486 ], "I2": [ 487 ], "I3": [ 488 ], "O": [ 433 ] } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100000111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 429 ], "I1": [ 476 ], "I2": [ 489 ], "I3": [ 431 ], "O": [ 434 ] } }, "rx_fifo.full_o_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 424 ], "I2": [ 174 ], "I3": [ 171 ], "O": [ 490 ] } }, "rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 490 ], "E": [ 491 ], "Q": [ 492 ], "R": [ 68 ] } }, "rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 174 ], "I3": [ 176 ], "O": [ 491 ] } }, "rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:59.5-102.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 493 ], "Q": [ 494 ], "R": [ 68 ] } }, "rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 495 ], "I1": [ 146 ], "I2": [ 129 ], "I3": [ 124 ], "O": [ 493 ] } }, "rx_fifo.full_o_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 424 ], "I3": [ 265 ], "O": [ 496 ] } }, "rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 259 ], "I3": [ 256 ], "O": [ 265 ] } }, "rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111101100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 166 ], "I1": [ 165 ], "I2": [ 259 ], "I3": [ 256 ], "O": [ 497 ] } }, "rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 498 ], "I2": [ 261 ], "I3": [ 499 ], "O": [ 256 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 496 ], "E": [ 500 ], "Q": [ 501 ], "R": [ 68 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 500 ], "I3": [ 497 ], "O": [ 502 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 503 ], "E": [ 502 ], "Q": [ 504 ], "R": [ 68 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 505 ], "E": [ 502 ], "Q": [ 506 ], "R": [ 68 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100010000010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 261 ], "I1": [ 507 ], "I2": [ "1" ], "I3": [ 508 ], "O": [ 505 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 498 ], "O": [ 508 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 506 ], "O": [ 507 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_LUT4_I3_O_SB_CARRY_I0": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 508 ], "CO": [ 509 ], "I0": [ 507 ], "I1": [ "1" ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_2": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 510 ], "E": [ 502 ], "Q": [ 498 ], "R": [ 68 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0111000001010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 498 ], "I1": [ 259 ], "I2": [ 261 ], "I3": [ 499 ], "O": [ 510 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_2_Q_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 506 ], "I3": [ 504 ], "O": [ 499 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000001000101000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 261 ], "I1": [ 504 ], "I2": [ "1" ], "I3": [ 509 ], "O": [ 503 ] } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 259 ], "I3": [ 261 ], "O": [ 500 ] } }, "rx_fifo.mem_i.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 539, "0", "0", "0", 540, "0", "0", "0", 541, "0", "0", "0", 542, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_i.0.0_WCLKE_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 430 ], "O": [ 543 ] } }, "rx_fifo.mem_i.0.0_WCLKE_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 494 ], "I2": [ 492 ], "I3": [ 501 ], "O": [ 430 ] } }, "rx_fifo.mem_i.0.0_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 208 ], "I2": [ 286 ], "I3": [ 494 ], "O": [ 541 ] } }, "rx_fifo.mem_i.0.0_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 210 ], "I2": [ 288 ], "I3": [ 494 ], "O": [ 540 ] } }, "rx_fifo.mem_i.0.0_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 206 ], "I2": [ 284 ], "I3": [ 494 ], "O": [ 539 ] } }, "rx_fifo.mem_i.0.0_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 290 ], "I2": [ 212 ], "I3": [ 494 ], "O": [ 542 ] } }, "rx_fifo.mem_i.0.1": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 560, "0", "0", "0", 561, "0", "0", "0", 562, "0", "0", "0", 563, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_i.0.1_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 294 ], "I2": [ 216 ], "I3": [ 494 ], "O": [ 562 ] } }, "rx_fifo.mem_i.0.1_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 299 ], "I2": [ 221 ], "I3": [ 494 ], "O": [ 561 ] } }, "rx_fifo.mem_i.0.1_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 292 ], "I2": [ 214 ], "I3": [ 494 ], "O": [ 560 ] } }, "rx_fifo.mem_i.0.1_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 223 ], "I2": [ 301 ], "I3": [ 494 ], "O": [ 563 ] } }, "rx_fifo.mem_i.0.2": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 580, "0", "0", "0", 581, "0", "0", "0", 582, "0", "0", "0", 583, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_i.0.2_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 305 ], "I2": [ 227 ], "I3": [ 494 ], "O": [ 582 ] } }, "rx_fifo.mem_i.0.2_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 229 ], "I2": [ 307 ], "I3": [ 494 ], "O": [ 581 ] } }, "rx_fifo.mem_i.0.2_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 303 ], "I2": [ 225 ], "I3": [ 494 ], "O": [ 580 ] } }, "rx_fifo.mem_i.0.2_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 309 ], "I2": [ 231 ], "I3": [ 494 ], "O": [ 583 ] } }, "rx_fifo.mem_i.0.3": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 600, "0", "0", "0", 601, "0", "0", "0", 602, "0", "0", "0", 603, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_i.0.3_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 313 ], "I2": [ 235 ], "I3": [ 494 ], "O": [ 602 ] } }, "rx_fifo.mem_i.0.3_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 237 ], "I2": [ 315 ], "I3": [ 494 ], "O": [ 601 ] } }, "rx_fifo.mem_i.0.3_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 233 ], "I2": [ 311 ], "I3": [ 494 ], "O": [ 600 ] } }, "rx_fifo.mem_i.0.3_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 239 ], "I2": [ 317 ], "I3": [ 494 ], "O": [ 603 ] } }, "rx_fifo.mem_q.0.0": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 620, "0", "0", "0", 621, "0", "0", "0", 622, "0", "0", "0", 623, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.0_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 295 ], "I2": [ 217 ], "I3": [ 494 ], "O": [ 622 ] } }, "rx_fifo.mem_q.0.0_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 270 ], "I2": [ 192 ], "I3": [ 494 ], "O": [ 621 ] } }, "rx_fifo.mem_q.0.0_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 330 ], "I2": [ 252 ], "I3": [ 494 ], "O": [ 620 ] } }, "rx_fifo.mem_q.0.0_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 194 ], "I2": [ 272 ], "I3": [ 494 ], "O": [ 623 ] } }, "rx_fifo.mem_q.0.1": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 640, "0", "0", "0", 641, "0", "0", "0", 642, "0", "0", "0", 643, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.1_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 319 ], "I2": [ 241 ], "I3": [ 494 ], "O": [ 642 ] } }, "rx_fifo.mem_q.0.1_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 243 ], "I2": [ 321 ], "I3": [ 494 ], "O": [ 641 ] } }, "rx_fifo.mem_q.0.1_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 219 ], "I2": [ 297 ], "I3": [ 494 ], "O": [ 640 ] } }, "rx_fifo.mem_q.0.1_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 323 ], "I2": [ 245 ], "I3": [ 494 ], "O": [ 643 ] } }, "rx_fifo.mem_q.0.2": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 660, "0", "0", "0", 661, "0", "0", "0", 662, "0", "0", "0", 663, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.2_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 249 ], "I2": [ 327 ], "I3": [ 494 ], "O": [ 662 ] } }, "rx_fifo.mem_q.0.2_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 275 ], "I2": [ 197 ], "I3": [ 494 ], "O": [ 661 ] } }, "rx_fifo.mem_q.0.2_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 325 ], "I2": [ 247 ], "I3": [ 494 ], "O": [ 660 ] } }, "rx_fifo.mem_q.0.2_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 278 ], "I2": [ 200 ], "I3": [ 494 ], "O": [ 663 ] } }, "rx_fifo.mem_q.0.3": { "hide_name": 0, "type": "SB_RAM40_4K", "parameters": { "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", "READ_MODE": "10", "WRITE_MODE": "10" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765" }, "port_directions": { "MASK": "input", "RADDR": "input", "RCLK": "input", "RCLKE": "input", "RDATA": "output", "RE": "input", "WADDR": "input", "WCLK": "input", "WCLKE": "input", "WDATA": "input", "WE": "input" }, "connections": { "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "RADDR": [ 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, "0" ], "RCLK": [ 70 ], "RCLKE": [ 521 ], "RDATA": [ 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679 ], "RE": [ "1" ], "WADDR": [ 475, 478, 480, 463, 461, 459, 457, 454, 476, 538, "0" ], "WCLK": [ 164 ], "WCLKE": [ 430 ], "WDATA": [ "0", 680, "0", "0", "0", 681, "0", "0", "0", 682, "0", "0", "0", 683, "0", "0" ], "WE": [ "1" ] } }, "rx_fifo.mem_q.0.3_WDATA_1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 277 ], "I2": [ 199 ], "I3": [ 494 ], "O": [ 682 ] } }, "rx_fifo.mem_q.0.3_WDATA_2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 280 ], "I2": [ 202 ], "I3": [ 494 ], "O": [ 681 ] } }, "rx_fifo.mem_q.0.3_WDATA_3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 196 ], "I2": [ 274 ], "I3": [ 494 ], "O": [ 680 ] } }, "rx_fifo.mem_q.0.3_WDATA_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 282 ], "I2": [ 204 ], "I3": [ 494 ], "O": [ 683 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 684 ], "E": [ 685 ], "Q": [ 517 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 686 ], "E": [ 685 ], "Q": [ 516 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 687 ], "E": [ 685 ], "Q": [ 515 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 688 ], "E": [ 685 ], "Q": [ 514 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 689 ], "E": [ 685 ], "Q": [ 513 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 689 ], "I3": [ 688 ], "O": [ 690 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000001001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 691 ], "I1": [ 692 ], "I2": [ 690 ], "I3": [ 693 ], "O": [ 694 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 695 ], "I2": [ 696 ], "I3": [ 697 ], "O": [ 698 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O_SB_LUT4_I2_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 699 ], "I1": [ 700 ], "I2": [ 701 ], "I3": [ 702 ], "O": [ 703 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 687 ], "I3": [ 686 ], "O": [ 693 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 513 ], "I3": [ 704 ], "O": [ 689 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 704 ], "CO": [ 705 ], "I0": [ "0" ], "I1": [ 513 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 705 ], "CO": [ 706 ], "I0": [ "0" ], "I1": [ 514 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 706 ], "CO": [ 707 ], "I0": [ "0" ], "I1": [ 515 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 707 ], "CO": [ 708 ], "I0": [ "0" ], "I1": [ 516 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 708 ], "CO": [ 709 ], "I0": [ "0" ], "I1": [ 517 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 517 ], "I3": [ 708 ], "O": [ 684 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 518 ], "I3": [ 709 ], "O": [ 710 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 515 ], "I3": [ 706 ], "O": [ 687 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 516 ], "I3": [ 707 ], "O": [ 686 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 514 ], "I3": [ 705 ], "O": [ 688 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 711 ], "CO": [ 704 ], "I0": [ "0" ], "I1": [ 512 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 712 ], "E": [ 685 ], "Q": [ 512 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 713 ], "I3": [ 712 ], "O": [ 700 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 714 ], "I2": [ 715 ], "I3": [ 716 ], "O": [ 702 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 512 ], "I3": [ 711 ], "O": [ 712 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 717 ], "CO": [ 711 ], "I0": [ "0" ], "I1": [ 511 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 520 ], "CO": [ 717 ], "I0": [ "0" ], "I1": [ 519 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 511 ], "I3": [ 717 ], "O": [ 713 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 519 ], "I3": [ 520 ], "O": [ 718 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 713 ], "E": [ 685 ], "Q": [ 511 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 718 ], "E": [ 685 ], "Q": [ 519 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 719 ], "E": [ 685 ], "Q": [ 520 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 520 ], "O": [ 719 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 710 ], "E": [ 685 ], "Q": [ 518 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 720 ], "E": [ 685 ], "Q": [ 721 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 684 ], "I3": [ 710 ], "O": [ 720 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 716 ], "E": [ 685 ], "Q": [ 722 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 686 ], "I3": [ 684 ], "O": [ 716 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_2_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 723 ], "I2": [ 688 ], "I3": [ 687 ], "O": [ 715 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 693 ], "E": [ 685 ], "Q": [ 724 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 725 ], "E": [ 685 ], "Q": [ 726 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 688 ], "I3": [ 687 ], "O": [ 725 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 690 ], "E": [ 685 ], "Q": [ 727 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 728 ], "E": [ 685 ], "Q": [ 729 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 730 ], "I3": [ 728 ], "O": [ 701 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 712 ], "I3": [ 689 ], "O": [ 728 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 700 ], "E": [ 685 ], "Q": [ 731 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 732 ], "E": [ 685 ], "Q": [ 733 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 734 ], "I3": [ 732 ], "O": [ 735 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_I3_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 521 ], "I1": [ 735 ], "I2": [ 736 ], "I3": [ 737 ], "O": [ 738 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100000010100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 739 ], "I1": [ 519 ], "I2": [ 740 ], "I3": [ 710 ], "O": [ 736 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 741 ], "I2": [ 684 ], "I3": [ 710 ], "O": [ 737 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 718 ], "I3": [ 713 ], "O": [ 732 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 742 ], "E": [ 685 ], "Q": [ 743 ], "R": [ 68 ] } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 519 ], "O": [ 742 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 518 ], "Q": [ 744 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 721 ], "Q": [ 745 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 722 ], "Q": [ 746 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 724 ], "Q": [ 747 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 726 ], "Q": [ 748 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 727 ], "Q": [ 749 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 729 ], "Q": [ 750 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 731 ], "Q": [ 751 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 733 ], "Q": [ 752 ] } }, "rx_fifo.rd_addr_gray_wr_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 743 ], "Q": [ 753 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 744 ], "Q": [ 429 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 745 ], "Q": [ 464 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 746 ], "Q": [ 435 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 747 ], "Q": [ 447 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 748 ], "Q": [ 483 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 749 ], "Q": [ 469 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 750 ], "Q": [ 472 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 751 ], "Q": [ 482 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 752 ], "Q": [ 484 ] } }, "rx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 753 ], "Q": [ 489 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 754 ], "E": [ 543 ], "Q": [ 457 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 755 ], "E": [ 543 ], "Q": [ 459 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 756 ], "E": [ 543 ], "Q": [ 461 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 757 ], "E": [ 543 ], "Q": [ 463 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 758 ], "E": [ 543 ], "Q": [ 480 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 759 ], "E": [ 543 ], "Q": [ 478 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 760 ], "E": [ 543 ], "Q": [ 475 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 761 ], "E": [ 543 ], "Q": [ 476 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 762 ], "E": [ 543 ], "Q": [ 538 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 538 ], "O": [ 762 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 457 ], "I3": [ 763 ], "O": [ 754 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 763 ], "CO": [ 764 ], "I0": [ "0" ], "I1": [ 457 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 454 ], "I3": [ 764 ], "O": [ 431 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 447 ], "I2": [ 756 ], "I3": [ 755 ], "O": [ 765 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 766 ], "CO": [ 763 ], "I0": [ "0" ], "I1": [ 459 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 767 ], "CO": [ 766 ], "I0": [ "0" ], "I1": [ 461 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 768 ], "CO": [ 767 ], "I0": [ "0" ], "I1": [ 463 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 769 ], "CO": [ 768 ], "I0": [ "0" ], "I1": [ 480 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 770 ], "CO": [ 769 ], "I0": [ "0" ], "I1": [ 478 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 771 ], "CO": [ 770 ], "I0": [ "0" ], "I1": [ 475 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 538 ], "CO": [ 771 ], "I0": [ "0" ], "I1": [ 476 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 475 ], "I3": [ 771 ], "O": [ 760 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 761 ], "I3": [ 760 ], "O": [ 486 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100000111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 429 ], "I1": [ 489 ], "I2": [ 761 ], "I3": [ 451 ], "O": [ 485 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 476 ], "I3": [ 538 ], "O": [ 761 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 478 ], "I3": [ 770 ], "O": [ 759 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 472 ], "I2": [ 759 ], "I3": [ 758 ], "O": [ 488 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 482 ], "I2": [ 760 ], "I3": [ 759 ], "O": [ 487 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 463 ], "I3": [ 768 ], "O": [ 757 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 758 ], "I3": [ 757 ], "O": [ 772 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 480 ], "I3": [ 769 ], "O": [ 758 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 459 ], "I3": [ 766 ], "O": [ 755 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 756 ], "I3": [ 755 ], "O": [ 773 ] } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 461 ], "I3": [ 767 ], "O": [ 756 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 431 ], "E": [ 543 ], "Q": [ 454 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 774 ], "E": [ 543 ], "Q": [ 775 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 754 ], "I3": [ 431 ], "O": [ 774 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 436 ], "E": [ 543 ], "Q": [ 776 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 773 ], "E": [ 543 ], "Q": [ 777 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 778 ], "E": [ 543 ], "Q": [ 779 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 483 ], "I3": [ 778 ], "O": [ 440 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001001100110001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 464 ], "I1": [ 780 ], "I2": [ 754 ], "I3": [ 431 ], "O": [ 439 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010001011110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 447 ], "I1": [ 469 ], "I2": [ 772 ], "I3": [ 773 ], "O": [ 441 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011101011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 464 ], "I1": [ 754 ], "I2": [ 431 ], "I3": [ 765 ], "O": [ 442 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 482 ], "I2": [ 760 ], "I3": [ 759 ], "O": [ 780 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 757 ], "I3": [ 756 ], "O": [ 778 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 772 ], "E": [ 543 ], "Q": [ 781 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 782 ], "E": [ 543 ], "Q": [ 783 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 472 ], "I3": [ 782 ], "O": [ 437 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 755 ], "I3": [ 754 ], "O": [ 436 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 469 ], "I3": [ 772 ], "O": [ 438 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 759 ], "I3": [ 758 ], "O": [ 782 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 784 ], "E": [ 543 ], "Q": [ 785 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 760 ], "I3": [ 759 ], "O": [ 784 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 486 ], "E": [ 543 ], "Q": [ 786 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 787 ], "E": [ 543 ], "Q": [ 788 ], "R": [ 68 ] } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 476 ], "O": [ 787 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 454 ], "Q": [ 789 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 775 ], "Q": [ 790 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 776 ], "Q": [ 791 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 777 ], "Q": [ 792 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 779 ], "Q": [ 793 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 781 ], "Q": [ 794 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 783 ], "Q": [ 795 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 785 ], "Q": [ 796 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 786 ], "Q": [ 797 ] } }, "rx_fifo.wr_addr_gray_rd_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 788 ], "Q": [ 798 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 789 ], "Q": [ 739 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 790 ], "Q": [ 741 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 791 ], "Q": [ 714 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 792 ], "Q": [ 691 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 793 ], "Q": [ 723 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 794 ], "Q": [ 692 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 795 ], "Q": [ 730 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 796 ], "Q": [ 699 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 797 ], "Q": [ 734 ] } }, "rx_fifo.wr_addr_gray_rd_r_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 798 ], "Q": [ 740 ] } }, "smi_ctrl_ins.i_cs_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 799 ], "E": [ 72 ], "Q": [ 495 ], "R": [ 74 ] } }, "smi_ctrl_ins.i_cs_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 75 ], "I3": [ 76 ], "O": [ 799 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q": { "hide_name": 0, "type": "SB_DFFNSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 801 ], "Q": [ 65 ], "R": [ 68 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1": { "hide_name": 0, "type": "SB_DFFNSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 802 ], "Q": [ 66 ], "R": [ 68 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 66 ], "O": [ 802 ] } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 801 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:59.5-102.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 494 ], "E": [ 803 ], "Q": [ 804 ], "R": [ 102 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:59.5-102.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 371 ], "E": [ 803 ], "Q": [ 410 ], "R": [ 102 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:59.5-102.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 70 ], "D": [ 805 ], "E": [ 803 ], "Q": [ 417 ], "S": [ 102 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 372 ], "O": [ 805 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 57 ], "I3": [ 806 ], "O": [ 803 ] } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3 ], "I1": [ 495 ], "I2": [ 54 ], "I3": [ 129 ], "O": [ 806 ] } }, "smi_ctrl_ins.o_data_out_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001010100111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 106 ], "I1": [ 804 ], "I2": [ 411 ], "I3": [ 382 ], "O": [ 404 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 807 ], "E": [ 3 ], "Q": [ 808 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 809 ], "E": [ 3 ], "Q": [ 810 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 811 ], "I3": [ 812 ], "O": [ 809 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 813 ], "I1": [ 814 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 811 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 815 ], "I1": [ 816 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 812 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 817 ], "E": [ 3 ], "Q": [ 818 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 819 ], "I3": [ 820 ], "O": [ 817 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 821 ], "I1": [ 822 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 819 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 823 ], "I1": [ 824 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 820 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 825 ], "E": [ 3 ], "Q": [ 826 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 827 ], "I3": [ 828 ], "O": [ 825 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 829 ], "I1": [ 830 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 827 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 831 ], "I1": [ 832 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 828 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 833 ], "E": [ 3 ], "Q": [ 834 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 835 ], "I3": [ 836 ], "O": [ 833 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010001000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 837 ], "I1": [ 65 ], "I2": [ 838 ], "I3": [ 66 ], "O": [ 835 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 839 ], "I1": [ 840 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 836 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 841 ], "E": [ 3 ], "Q": [ 842 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 843 ], "I3": [ 844 ], "O": [ 841 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010001100100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 845 ], "I1": [ 65 ], "I2": [ 66 ], "I3": [ 846 ], "O": [ 843 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 847 ], "I1": [ 848 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 844 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 849 ], "E": [ 3 ], "Q": [ 850 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 851 ], "I3": [ 852 ], "O": [ 849 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010001100100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 853 ], "I1": [ 65 ], "I2": [ 66 ], "I3": [ 854 ], "O": [ 851 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 855 ], "I1": [ 856 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 852 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 857 ], "E": [ 3 ], "Q": [ 858 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 859 ], "I3": [ 860 ], "O": [ 857 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010001100100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 861 ], "I1": [ 65 ], "I2": [ 66 ], "I3": [ 862 ], "O": [ 859 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 863 ], "I1": [ 864 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 860 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 865 ], "I3": [ 866 ], "O": [ 807 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000101000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 867 ], "I1": [ 868 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 865 ] } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 869 ], "I1": [ 870 ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 866 ] } }, "smi_ctrl_ins.r_dir_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:59.5-102.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 140 ], "E": [ 871 ], "Q": [ 373 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_dir_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 495 ], "I1": [ 146 ], "I2": [ 129 ], "I3": [ 51 ], "O": [ 871 ] } }, "smi_ctrl_ins.r_fifo_pull_1_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:142.5-151.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 872 ], "Q": [ 873 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 873 ], "I2": [ 372 ], "I3": [ 872 ], "O": [ 521 ] } }, "smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I1_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 521 ], "O": [ 685 ] } }, "smi_ctrl_ins.r_fifo_pull_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:142.5-151.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 874 ], "Q": [ 872 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 597 ], "E": [ 67 ], "Q": [ 869 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_1": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 589 ], "E": [ 67 ], "Q": [ 815 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_10": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 553 ], "E": [ 67 ], "Q": [ 824 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_11": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 545 ], "E": [ 67 ], "Q": [ 832 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_12": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 535 ], "E": [ 67 ], "Q": [ 840 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_13": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 527 ], "E": [ 67 ], "Q": [ 848 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_14": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 531 ], "E": [ 67 ], "Q": [ 856 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_15": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 523 ], "E": [ 67 ], "Q": [ 864 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_16": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 677 ], "E": [ 67 ], "Q": [ 867 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_17": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 669 ], "E": [ 67 ], "Q": [ 813 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_18": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 673 ], "E": [ 67 ], "Q": [ 821 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_19": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 665 ], "E": [ 67 ], "Q": [ 829 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_2": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 593 ], "E": [ 67 ], "Q": [ 823 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_20": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 657 ], "E": [ 67 ], "Q": [ 837 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_21": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 649 ], "E": [ 67 ], "Q": [ 845 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_22": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 653 ], "E": [ 67 ], "Q": [ 853 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_23": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 645 ], "E": [ 67 ], "Q": [ 861 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_24": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 637 ], "E": [ 67 ], "Q": [ 868 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_25": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 629 ], "E": [ 67 ], "Q": [ 814 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_26": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 633 ], "E": [ 67 ], "Q": [ 822 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_27": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 625 ], "E": [ 67 ], "Q": [ 830 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_28": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 617 ], "E": [ 67 ], "Q": [ 838 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_29": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 609 ], "E": [ 67 ], "Q": [ 846 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_3": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 585 ], "E": [ 67 ], "Q": [ 831 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_30": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 613 ], "E": [ 67 ], "Q": [ 854 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_31": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 605 ], "E": [ 67 ], "Q": [ 862 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_4": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 577 ], "E": [ 67 ], "Q": [ 839 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_5": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 569 ], "E": [ 67 ], "Q": [ 847 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_6": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 573 ], "E": [ 67 ], "Q": [ 855 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_7": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 565 ], "E": [ 67 ], "Q": [ 863 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_8": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 557 ], "E": [ 67 ], "Q": [ 870 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_9": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 800 ], "D": [ 549 ], "E": [ 67 ], "Q": [ 816 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:260.5-269.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 875 ], "Q": [ 876 ], "R": [ 68 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 371 ], "I2": [ 875 ], "I3": [ 876 ], "O": [ 877 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 877 ], "O": [ 878 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 879 ], "I2": [ 880 ], "I3": [ 877 ], "O": [ 881 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000001010111110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 882 ], "I1": [ 883 ], "I2": [ 884 ], "I3": [ 885 ], "O": [ 886 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100000111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 882 ], "I1": [ 887 ], "I2": [ 888 ], "I3": [ 885 ], "O": [ 889 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 890 ], "I1": [ 891 ], "I2": [ 884 ], "I3": [ 892 ], "O": [ 893 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 894 ], "I3": [ 895 ], "O": [ 885 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 896 ], "CO": [ 895 ], "I0": [ "0" ], "I1": [ 897 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 898 ], "CO": [ 896 ], "I0": [ "0" ], "I1": [ 899 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 900 ], "CO": [ 898 ], "I0": [ "0" ], "I1": [ 901 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 902 ], "CO": [ 900 ], "I0": [ "0" ], "I1": [ 903 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 904 ], "CO": [ 902 ], "I0": [ "0" ], "I1": [ 905 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 906 ], "CO": [ 904 ], "I0": [ "0" ], "I1": [ 907 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 908 ], "CO": [ 906 ], "I0": [ "0" ], "I1": [ 879 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 905 ], "I3": [ 904 ], "O": [ 909 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 907 ], "I3": [ 906 ], "O": [ 910 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 901 ], "I3": [ 900 ], "O": [ 911 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 912 ], "I1": [ 911 ], "I2": [ 891 ], "I3": [ 913 ], "O": [ 914 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 915 ], "I2": [ 910 ], "I3": [ 909 ], "O": [ 913 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 916 ], "I1": [ 909 ], "I2": [ 917 ], "I3": [ 918 ], "O": [ 919 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 920 ], "I2": [ 921 ], "I3": [ 910 ], "O": [ 918 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2_I3_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 879 ], "I3": [ 908 ], "O": [ 921 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 903 ], "I3": [ 902 ], "O": [ 917 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 897 ], "I3": [ 896 ], "O": [ 884 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 899 ], "I3": [ 898 ], "O": [ 891 ] } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 922 ], "I2": [ 917 ], "I3": [ 911 ], "O": [ 892 ] } }, "smi_ctrl_ins.r_fifo_push_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:260.5-269.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 923 ], "Q": [ 875 ], "R": [ 68 ] } }, "smi_ctrl_ins.soe_and_reset_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 35 ], "I3": [ 3 ], "O": [ 800 ] } }, "smi_ctrl_ins.swe_and_reset_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 36 ], "I3": [ 3 ], "O": [ 924 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 924 ], "D": [ 925 ], "Q": [ 926 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 924 ], "D": [ 927 ], "Q": [ 928 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 929 ], "I3": [ 930 ], "O": [ 927 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 924 ], "D": [ 931 ], "Q": [ 932 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 929 ], "I3": [ 928 ], "O": [ 931 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 924 ], "D": [ 933 ], "Q": [ 930 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 934 ], "I3": [ 935 ], "O": [ 933 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100000001010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3 ], "I1": [ 929 ], "I2": [ 926 ], "I3": [ 930 ], "O": [ 934 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 929 ], "I2": [ 928 ], "I3": [ 932 ], "O": [ 935 ] } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 929 ], "I3": [ 932 ], "O": [ 925 ] } }, "smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q": { "hide_name": 0, "type": "SB_DFFNE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:122.5-140.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:4.57-4.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 800 ], "D": [ 936 ], "E": [ 3 ], "Q": [ 874 ] } }, "smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 65 ], "I3": [ 66 ], "O": [ 936 ] } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q": { "hide_name": 0, "type": "SB_DFFNSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "smi_ctrl.v:178.5-258.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:17.59-17.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 924 ], "D": [ 937 ], "Q": [ 923 ], "R": [ 938 ] } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 929 ], "O": [ 937 ] } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011001100111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 926 ], "I3": [ 930 ], "O": [ 938 ] } }, "smi_io0": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:532.5-537.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 939 ], "D_OUT_0": [ 858 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 37 ] } }, "smi_io1": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:541.5-546.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 940 ], "D_OUT_0": [ 850 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 38 ] } }, "smi_io2": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:550.5-555.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 941 ], "D_OUT_0": [ 842 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 39 ] } }, "smi_io3": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:559.5-564.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 942 ], "D_OUT_0": [ 834 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 40 ] } }, "smi_io4": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:568.5-573.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 943 ], "D_OUT_0": [ 826 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 41 ] } }, "smi_io5": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:577.5-582.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 944 ], "D_OUT_0": [ 818 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 42 ] } }, "smi_io6": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:586.5-591.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 945 ], "D_OUT_0": [ 810 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 43 ] } }, "smi_io7": { "hide_name": 0, "type": "SB_IO", "parameters": { "PIN_TYPE": "101001", "PULLUP": "0" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "top.v:595.5-600.4" }, "port_directions": { "CLOCK_ENABLE": "input", "D_IN_0": "output", "D_OUT_0": "input", "OUTPUT_ENABLE": "input", "PACKAGE_PIN": "inout" }, "connections": { "CLOCK_ENABLE": [ "1" ], "D_IN_0": [ 929 ], "D_OUT_0": [ 808 ], "OUTPUT_ENABLE": [ 373 ], "PACKAGE_PIN": [ 44 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 946 ], "E": [ 72 ], "Q": [ 947 ], "R": [ 74 ] } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 75 ], "I3": [ 76 ], "O": [ 946 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111011101000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 947 ], "I1": [ 495 ], "I2": [ 73 ], "I3": [ 189 ], "O": [ 948 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000010111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 947 ], "I1": [ 495 ], "I2": [ 73 ], "I3": [ 189 ], "O": [ 381 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 947 ], "I1": [ 495 ], "I2": [ 73 ], "I3": [ 189 ], "O": [ 411 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 947 ], "I1": [ 495 ], "I2": [ 73 ], "I3": [ 189 ], "O": [ 392 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 949 ], "E": [ 384 ], "Q": [ 403 ], "R": [ 68 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 188 ], "I3": [ 386 ], "O": [ 416 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O_SB_DFFER_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 185 ], "I3": [ 386 ], "O": [ 400 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O_SB_DFFER_Q_D_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 186 ], "I3": [ 386 ], "O": [ 949 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O_SB_DFFER_Q_D_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 187 ], "I3": [ 386 ], "O": [ 409 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_4": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 947 ], "I1": [ 495 ], "I2": [ 73 ], "I3": [ 189 ], "O": [ 382 ] } }, "spi_if_ins.o_cs_SB_LUT4_I0_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 948 ], "O": [ 376 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 950 ], "E": [ 951 ], "Q": [ 130 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 75 ], "E": [ 951 ], "Q": [ 132 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 76 ], "E": [ 951 ], "Q": [ 133 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 952 ], "E": [ 951 ], "Q": [ 134 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 953 ], "E": [ 951 ], "Q": [ 136 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 954 ], "E": [ 951 ], "Q": [ 89 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 955 ], "E": [ 951 ], "Q": [ 138 ] } }, "spi_if_ins.o_data_in_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 956 ], "E": [ 951 ], "Q": [ 140 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 957 ], "E": [ 958 ], "Q": [ 129 ], "R": [ 959 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 960 ], "I3": [ 961 ], "O": [ 957 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010100000100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3 ], "I1": [ 962 ], "I2": [ 963 ], "I3": [ 964 ], "O": [ 958 ] } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 950 ], "I2": [ 960 ], "I3": [ 961 ], "O": [ 964 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 952 ], "E": [ 72 ], "Q": [ 58 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 953 ], "E": [ 72 ], "Q": [ 59 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 954 ], "E": [ 72 ], "Q": [ 60 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 955 ], "E": [ 72 ], "Q": [ 54 ] } }, "spi_if_ins.o_ioc_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 956 ], "E": [ 72 ], "Q": [ 55 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 961 ], "E": [ 965 ], "Q": [ 146 ], "R": [ 959 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 966 ], "I3": [ 967 ], "O": [ 961 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 960 ], "I2": [ 966 ], "I3": [ 967 ], "O": [ 968 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 969 ], "I2": [ 970 ], "I3": [ 971 ], "O": [ 965 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 963 ], "O": [ 970 ] } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_R_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 962 ], "O": [ 959 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 377 ], "E": [ 972 ], "Q": [ 973 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 379 ], "E": [ 972 ], "Q": [ 974 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 388 ], "E": [ 972 ], "Q": [ 975 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 394 ], "E": [ 972 ], "Q": [ 976 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 398 ], "E": [ 972 ], "Q": [ 977 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 402 ], "E": [ 972 ], "Q": [ 978 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 406 ], "E": [ 972 ], "Q": [ 979 ] } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 413 ], "E": [ 972 ], "Q": [ 980 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 969 ], "E": [ 981 ], "Q": [ 982 ], "R": [ 962 ] } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0101011100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 962 ], "I1": [ 960 ], "I2": [ 961 ], "I3": [ 970 ], "O": [ 981 ] } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 48 ], "I3": [ 982 ], "O": [ 983 ] } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 48 ], "I3": [ 982 ], "O": [ 984 ] } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 983 ], "I2": [ 985 ], "I3": [ 986 ], "O": [ 987 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:62.3-62.62|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 988 ], "Q": [ 989 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:62.3-62.62|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 990 ], "Q": [ 988 ] } }, "spi_if_ins.spi.SCKr_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:62.3-62.62|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 47 ], "Q": [ 990 ] } }, "spi_if_ins.spi.SCKr_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111111100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 989 ], "I2": [ 988 ], "I3": [ 983 ], "O": [ 986 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 991 ], "E": [ 992 ], "Q": [ 950 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 993 ], "E": [ 992 ], "Q": [ 75 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 994 ], "E": [ 992 ], "Q": [ 76 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 995 ], "E": [ 992 ], "Q": [ 952 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 996 ], "E": [ 992 ], "Q": [ 953 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 997 ], "E": [ 992 ], "Q": [ 954 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 998 ], "E": [ 992 ], "Q": [ 955 ] } }, "spi_if_ins.spi.o_rx_byte_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 999 ], "E": [ 992 ], "Q": [ 956 ] } }, "spi_if_ins.spi.o_rx_data_valid_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 992 ], "Q": [ 962 ] } }, "spi_if_ins.spi.o_rx_data_valid_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3 ], "I1": [ 962 ], "I2": [ 960 ], "I3": [ 961 ], "O": [ 72 ] } }, "spi_if_ins.spi.o_rx_data_valid_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 962 ], "I3": [ 968 ], "O": [ 951 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1000 ], "E": [ 986 ], "Q": [ 370 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000011001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 973 ], "I2": [ 983 ], "I3": [ 1001 ], "O": [ 1000 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1010100011111101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1002 ], "I1": [ 1003 ], "I2": [ 1004 ], "I3": [ 1005 ], "O": [ 1001 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0101001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1006 ], "I1": [ 1007 ], "I2": [ 1008 ], "I3": [ 1009 ], "O": [ 1003 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000001010011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1010 ], "I1": [ 1011 ], "I2": [ 1008 ], "I3": [ 1009 ], "O": [ 1004 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111110000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1008 ], "I2": [ 1012 ], "I3": [ 1013 ], "O": [ 1005 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1014 ], "I2": [ 1015 ], "I3": [ 1009 ], "O": [ 1012 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2_I2_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1016 ], "I2": [ 1017 ], "I3": [ 1009 ], "O": [ 1013 ] } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1002 ], "I2": [ 1008 ], "I3": [ 1009 ], "O": [ 985 ] } }, "spi_if_ins.spi.r2_rx_done_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1018 ], "Q": [ 1019 ] } }, "spi_if_ins.spi.r3_rx_done_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:48.3-59.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1019 ], "Q": [ 1020 ] } }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1020 ], "I3": [ 1019 ], "O": [ 992 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 47 ], "D": [ 1021 ], "Q": [ 1022 ], "R": [ 48 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 47 ], "D": [ 1023 ], "Q": [ 1024 ], "R": [ 48 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1024 ], "I3": [ 1025 ], "O": [ 1023 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 47 ], "D": [ 1026 ], "Q": [ 1025 ], "R": [ 48 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 1025 ], "O": [ 1026 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1022 ], "I3": [ 1027 ], "O": [ 1021 ] } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1025 ], "CO": [ 1027 ], "I0": [ "0" ], "I1": [ 1024 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1028 ], "E": [ 1029 ], "Q": [ 991 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1030 ], "E": [ 1029 ], "Q": [ 993 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1031 ], "E": [ 1029 ], "Q": [ 994 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1032 ], "E": [ 1029 ], "Q": [ 995 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1033 ], "E": [ 1029 ], "Q": [ 996 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1034 ], "E": [ 1029 ], "Q": [ 997 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1035 ], "E": [ 1029 ], "Q": [ 998 ] } }, "spi_if_ins.spi.r_rx_byte_SB_DFFE_Q_7": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 46 ], "E": [ 1029 ], "Q": [ 999 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 47 ], "D": [ 1036 ], "E": [ 1037 ], "Q": [ 1018 ], "R": [ 48 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 48 ], "I3": [ 1036 ], "O": [ 1029 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1022 ], "I2": [ 1024 ], "I3": [ 1025 ], "O": [ 1036 ] } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1110101010111010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 48 ], "I1": [ 1022 ], "I2": [ 1024 ], "I3": [ 1025 ], "O": [ 1037 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1030 ], "E": [ 69 ], "Q": [ 1028 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_1": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1031 ], "E": [ 69 ], "Q": [ 1030 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_2": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1032 ], "E": [ 69 ], "Q": [ 1031 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_3": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1033 ], "E": [ 69 ], "Q": [ 1032 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_4": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1034 ], "E": [ 69 ], "Q": [ 1033 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_5": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 1035 ], "E": [ 69 ], "Q": [ 1034 ] } }, "spi_if_ins.spi.r_temp_rx_byte_SB_DFFE_Q_6": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:27.3-42.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 47 ], "D": [ 46 ], "E": [ 69 ], "Q": [ 1035 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1038 ], "E": [ 986 ], "Q": [ 1009 ], "R": [ 983 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 1009 ], "O": [ 1038 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 70 ], "D": [ 1039 ], "E": [ 986 ], "Q": [ 1002 ], "S": [ 983 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1": { "hide_name": 0, "type": "SB_DFFESS", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:25.66-25.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "S": "input" }, "connections": { "C": [ 70 ], "D": [ 1040 ], "E": [ 986 ], "Q": [ 1008 ], "S": [ 983 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1008 ], "I2": [ "1" ], "I3": [ 1009 ], "O": [ 1040 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1002 ], "I2": [ "1" ], "I3": [ 1041 ], "O": [ 1039 ] } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1009 ], "CO": [ 1041 ], "I0": [ 1008 ], "I1": [ "1" ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 973 ], "E": [ 987 ], "Q": [ 1006 ], "R": [ 984 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 974 ], "E": [ 987 ], "Q": [ 1010 ], "R": [ 984 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 975 ], "E": [ 987 ], "Q": [ 1007 ], "R": [ 984 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 976 ], "E": [ 987 ], "Q": [ 1011 ], "R": [ 984 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 977 ], "E": [ 987 ], "Q": [ 1016 ], "R": [ 984 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 978 ], "E": [ 987 ], "Q": [ 1017 ], "R": [ 984 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 979 ], "E": [ 987 ], "Q": [ 1014 ], "R": [ 984 ] } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_slave.v:68.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 980 ], "E": [ 987 ], "Q": [ 1015 ], "R": [ 984 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1042 ], "E": [ 1043 ], "Q": [ 960 ], "R": [ 68 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1044 ], "E": [ 1043 ], "Q": [ 966 ], "R": [ 68 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 950 ], "I2": [ 963 ], "I3": [ 1045 ], "O": [ 1044 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I2_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 962 ], "I3": [ 963 ], "O": [ 1042 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 3 ], "I2": [ 969 ], "I3": [ 1042 ], "O": [ 972 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 960 ], "I2": [ 966 ], "I3": [ 967 ], "O": [ 969 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I2_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 962 ], "I2": [ 961 ], "I3": [ 968 ], "O": [ 971 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I2_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 960 ], "I2": [ 966 ], "I3": [ 967 ], "O": [ 963 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1045 ], "E": [ 1043 ], "Q": [ 967 ], "R": [ 68 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000110000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 962 ], "I2": [ 968 ], "I3": [ 957 ], "O": [ 1045 ] } }, "spi_if_ins.state_if_SB_DFFESR_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0101010111011111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 3 ], "I1": [ 969 ], "I2": [ 1042 ], "I3": [ 971 ], "O": [ 1043 ] } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q": { "hide_name": 0, "type": "SB_DFFE", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "spi_if.v:56.3-109.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:5.57-5.103" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 74 ], "E": [ 72 ], "Q": [ 189 ] } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 75 ], "I3": [ 76 ], "O": [ 74 ] } }, "sys_ctrl_ins.i_cs_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 129 ], "I2": [ 189 ], "I3": [ 1046 ], "O": [ 384 ] } }, "sys_ctrl_ins.i_cs_SB_LUT4_I2_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0111011100100000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 54 ], "I1": [ 55 ], "I2": [ 56 ], "I3": [ 57 ], "O": [ 1046 ] } }, "tx_fifo.full_o_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:62.2-70.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1047 ], "Q": [ 371 ], "R": [ 68 ] } }, "tx_fifo.full_o_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111100010001000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1048 ], "I1": [ 1049 ], "I2": [ 1050 ], "I3": [ 1051 ], "O": [ 1047 ] } }, "tx_fifo.full_o_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100110000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 371 ], "I2": [ 882 ], "I3": [ 1052 ], "O": [ 1053 ] } }, "tx_fifo.full_o_SB_LUT4_I1_O_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1054 ], "I2": [ 1053 ], "I3": [ 1055 ], "O": [ 1050 ] } }, "tx_fifo.full_o_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 919 ], "I3": [ 914 ], "O": [ 1048 ] } }, "tx_fifo.full_o_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 881 ], "I1": [ 886 ], "I2": [ 889 ], "I3": [ 893 ], "O": [ 1049 ] } }, "tx_fifo.full_o_SB_LUT4_I1_O_SB_LUT4_I1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1056 ], "I1": [ 1057 ], "I2": [ 1058 ], "I3": [ 1059 ], "O": [ 1051 ] } }, "tx_fifo.full_o_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100000111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 882 ], "I1": [ 908 ], "I2": [ 887 ], "I3": [ 1052 ], "O": [ 1054 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1060 ], "E": [ 368 ], "Q": [ 1061 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_1": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1062 ], "E": [ 368 ], "Q": [ 1063 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_2": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1064 ], "E": [ 368 ], "Q": [ 1065 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1066 ], "E": [ 368 ], "Q": [ 1067 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1066 ], "I3": [ 1064 ], "O": [ 367 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1067 ], "I3": [ 1068 ], "O": [ 1066 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1068 ], "CO": [ 1069 ], "I0": [ "0" ], "I1": [ 1067 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1069 ], "CO": [ 1070 ], "I0": [ "0" ], "I1": [ 1065 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1070 ], "CO": [ 1071 ], "I0": [ "0" ], "I1": [ 1063 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1071 ], "CO": [ 1072 ], "I0": [ "0" ], "I1": [ 1061 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1061 ], "I3": [ 1071 ], "O": [ 1060 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 339 ], "I3": [ 1072 ], "O": [ 347 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1065 ], "I3": [ 1069 ], "O": [ 1064 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1063 ], "I3": [ 1070 ], "O": [ 1062 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1073 ], "CO": [ 1068 ], "I0": [ "0" ], "I1": [ 342 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1074 ], "CO": [ 1073 ], "I0": [ "0" ], "I1": [ 1075 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1076 ], "CO": [ 1074 ], "I0": [ "0" ], "I1": [ 344 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 342 ], "I3": [ 1073 ], "O": [ 1077 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1075 ], "I3": [ 1074 ], "O": [ 1078 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_4": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1077 ], "E": [ 368 ], "Q": [ 342 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_5": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1078 ], "E": [ 368 ], "Q": [ 1075 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_6": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1079 ], "E": [ 368 ], "Q": [ 344 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 344 ], "I3": [ 1076 ], "O": [ 1079 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_6_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1080 ], "CO": [ 1076 ], "I0": [ "0" ], "I1": [ 362 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1081 ], "E": [ 368 ], "Q": [ 362 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000010010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 363 ], "I1": [ 1081 ], "I2": [ 341 ], "I3": [ 346 ], "O": [ 352 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1065 ], "I2": [ 1067 ], "I3": [ 364 ], "O": [ 351 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000000110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 342 ], "I1": [ 1082 ], "I2": [ 1083 ], "I3": [ 1084 ], "O": [ 353 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_1_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1067 ], "I3": [ 1085 ], "O": [ 1082 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_1_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1075 ], "I2": [ 344 ], "I3": [ 345 ], "O": [ 1083 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_1_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 342 ], "I2": [ 343 ], "I3": [ 1075 ], "O": [ 1084 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1001000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1063 ], "I1": [ 1086 ], "I2": [ 1087 ], "I3": [ 1088 ], "O": [ 354 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_2_I1_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1061 ], "I3": [ 358 ], "O": [ 1086 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_2_I1_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011101010100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 339 ], "I1": [ 340 ], "I2": [ 355 ], "I3": [ 1061 ], "O": [ 1087 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_2_I1_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1063 ], "I1": [ 1065 ], "I2": [ 1089 ], "I3": [ 1090 ], "O": [ 1088 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_2_I1_SB_LUT4_O_2_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 344 ], "I2": [ 365 ], "I3": [ 362 ], "O": [ 1090 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1081 ], "I3": [ 1079 ], "O": [ 366 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 362 ], "I3": [ 1080 ], "O": [ 1081 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_8": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1091 ], "E": [ 368 ], "Q": [ 1080 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 1080 ], "O": [ 1091 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 347 ], "E": [ 368 ], "Q": [ 339 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 357 ], "E": [ 368 ], "Q": [ 1092 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1060 ], "I3": [ 347 ], "O": [ 357 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111100000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 343 ], "I2": [ 1093 ], "I3": [ 1094 ], "O": [ 356 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_2": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 359 ], "E": [ 368 ], "Q": [ 1095 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1096 ], "E": [ 368 ], "Q": [ 1097 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1064 ], "I3": [ 1062 ], "O": [ 1096 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011101011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 343 ], "I1": [ 1078 ], "I2": [ 1077 ], "I3": [ 1098 ], "O": [ 1099 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D_SB_LUT4_O_1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 345 ], "I2": [ 1079 ], "I3": [ 1078 ], "O": [ 1098 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_4": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 367 ], "E": [ 368 ], "Q": [ 1100 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1101 ], "E": [ 368 ], "Q": [ 1102 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1085 ], "I3": [ 1101 ], "O": [ 360 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1062 ], "I3": [ 1060 ], "O": [ 359 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 1089 ], "I2": [ 1096 ], "I3": [ 1099 ], "O": [ 361 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1077 ], "I3": [ 1066 ], "O": [ 1101 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1094 ], "E": [ 368 ], "Q": [ 1103 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1078 ], "I3": [ 1077 ], "O": [ 1094 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6_D_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001100110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 345 ], "I2": [ 1079 ], "I3": [ 1078 ], "O": [ 1093 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1104 ], "E": [ 368 ], "Q": [ 1105 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1079 ], "I3": [ 1078 ], "O": [ 1104 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_8": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 366 ], "E": [ 368 ], "Q": [ 1106 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9": { "hide_name": 0, "type": "SB_DFFNESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:72.2-80.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:22.66-22.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1107 ], "E": [ 368 ], "Q": [ 1108 ], "R": [ 68 ] } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 362 ], "O": [ 1107 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 339 ], "Q": [ 1109 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1092 ], "Q": [ 1110 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1095 ], "Q": [ 1111 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1097 ], "Q": [ 1112 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1100 ], "Q": [ 1113 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1102 ], "Q": [ 1114 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1103 ], "Q": [ 1115 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1105 ], "Q": [ 1116 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1106 ], "Q": [ 1117 ] } }, "tx_fifo.rd_addr_gray_wr_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1108 ], "Q": [ 1118 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1109 ], "Q": [ 882 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_1": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1110 ], "Q": [ 883 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_2": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1111 ], "Q": [ 890 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_3": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1112 ], "Q": [ 912 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_4": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1113 ], "Q": [ 922 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_5": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1114 ], "Q": [ 916 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_6": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1115 ], "Q": [ 915 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_7": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1116 ], "Q": [ 920 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_8": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1117 ], "Q": [ 880 ] } }, "tx_fifo.rd_addr_gray_wr_r_SB_DFF_Q_9": { "hide_name": 0, "type": "SB_DFF", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:57.2-60.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:2.51-2.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 70 ], "D": [ 1118 ], "Q": [ 887 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1119 ], "E": [ 878 ], "Q": [ 897 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1120 ], "E": [ 878 ], "Q": [ 899 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 912 ], "I2": [ 1121 ], "I3": [ 1120 ], "O": [ 1122 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 899 ], "I3": [ 1123 ], "O": [ 1120 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1123 ], "CO": [ 1124 ], "I0": [ "0" ], "I1": [ 899 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1124 ], "CO": [ 1125 ], "I0": [ "0" ], "I1": [ 897 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 897 ], "I3": [ 1124 ], "O": [ 1119 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 894 ], "I3": [ 1125 ], "O": [ 1052 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1126 ], "CO": [ 1123 ], "I0": [ "0" ], "I1": [ 901 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1127 ], "CO": [ 1126 ], "I0": [ "0" ], "I1": [ 903 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 901 ], "I3": [ 1126 ], "O": [ 1121 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1121 ], "E": [ 878 ], "Q": [ 901 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1128 ], "E": [ 878 ], "Q": [ 903 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1129 ], "E": [ 878 ], "Q": [ 905 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 905 ], "I3": [ 1130 ], "O": [ 1129 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1130 ], "CO": [ 1127 ], "I0": [ "0" ], "I1": [ 905 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 903 ], "I3": [ 1127 ], "O": [ 1128 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1131 ], "CO": [ 1130 ], "I0": [ "0" ], "I1": [ 907 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1132 ], "E": [ 878 ], "Q": [ 907 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_5_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1132 ], "I3": [ 1129 ], "O": [ 1133 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 907 ], "I3": [ 1131 ], "O": [ 1132 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1134 ], "CO": [ 1131 ], "I0": [ "0" ], "I1": [ 879 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1135 ], "E": [ 878 ], "Q": [ 879 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_6_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 920 ], "I2": [ 1135 ], "I3": [ 1132 ], "O": [ 1136 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_6_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 879 ], "I3": [ 1134 ], "O": [ 1135 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_6_D_SB_LUT4_O_I3_SB_CARRY_CO": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1137 ], "CO": [ 1134 ], "I0": [ "0" ], "I1": [ 908 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 888 ], "E": [ 878 ], "Q": [ 908 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 888 ], "I3": [ 1135 ], "O": [ 1138 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000001001000001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 922 ], "I1": [ 880 ], "I2": [ 1138 ], "I3": [ 1139 ], "O": [ 1055 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1128 ], "I3": [ 1121 ], "O": [ 1139 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100110010110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 908 ], "I3": [ 1137 ], "O": [ 888 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1140 ], "E": [ 878 ], "Q": [ 1137 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_SB_DFFESR_Q_8_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 1137 ], "O": [ 1140 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1052 ], "E": [ 878 ], "Q": [ 894 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_1": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1141 ], "E": [ 878 ], "Q": [ 1142 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1119 ], "I3": [ 1052 ], "O": [ 1141 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_2": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1143 ], "E": [ 878 ], "Q": [ 1144 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_2_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1120 ], "I3": [ 1119 ], "O": [ 1143 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_3": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1145 ], "E": [ 878 ], "Q": [ 1146 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1121 ], "I3": [ 1120 ], "O": [ 1145 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_4": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1139 ], "E": [ 878 ], "Q": [ 1147 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_5": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1148 ], "E": [ 878 ], "Q": [ 1149 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1129 ], "I3": [ 1128 ], "O": [ 1148 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_6": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1133 ], "E": [ 878 ], "Q": [ 1150 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1151 ], "E": [ 878 ], "Q": [ 1152 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100111101000101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 915 ], "I1": [ 920 ], "I2": [ 1151 ], "I3": [ 1133 ], "O": [ 1056 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 883 ], "I2": [ 1119 ], "I3": [ 1052 ], "O": [ 1057 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000001101001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 890 ], "I1": [ 1120 ], "I2": [ 1119 ], "I3": [ 1153 ], "O": [ 1058 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100001100111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 916 ], "I2": [ 1129 ], "I3": [ 1128 ], "O": [ 1153 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000100011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 915 ], "I1": [ 1136 ], "I2": [ 1133 ], "I3": [ 1122 ], "O": [ 1059 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000111111110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 1135 ], "I3": [ 1132 ], "O": [ 1151 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_8": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1138 ], "E": [ 878 ], "Q": [ 1154 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9": { "hide_name": 0, "type": "SB_DFFESR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:46.2-54.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:24.66-24.119" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1155 ], "E": [ 878 ], "Q": [ 1156 ], "R": [ 68 ] } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 908 ], "O": [ 1155 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 894 ], "Q": [ 1157 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_1": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1142 ], "Q": [ 1158 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_2": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1144 ], "Q": [ 1159 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_3": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1146 ], "Q": [ 1160 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_4": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1147 ], "Q": [ 1161 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_5": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1149 ], "Q": [ 1162 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_6": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1150 ], "Q": [ 1163 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_7": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1152 ], "Q": [ 1164 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_8": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1154 ], "Q": [ 1165 ] } }, "tx_fifo.wr_addr_gray_rd_SB_DFFN_Q_9": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1156 ], "Q": [ 1166 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1157 ], "Q": [ 340 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_1": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1158 ], "Q": [ 355 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_2": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1159 ], "Q": [ 358 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_3": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1160 ], "Q": [ 1089 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_4": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1161 ], "Q": [ 364 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_5": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1162 ], "Q": [ 1085 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_6": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1163 ], "Q": [ 343 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_7": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1164 ], "Q": [ 345 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_8": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1165 ], "Q": [ 365 ] } }, "tx_fifo.wr_addr_gray_rd_r_SB_DFFN_Q_9": { "hide_name": 0, "type": "SB_DFFN", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:83.2-86.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:1.51-1.90" }, "port_directions": { "C": "input", "D": "input", "Q": "output" }, "connections": { "C": [ 164 ], "D": [ 1166 ], "Q": [ 363 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 163 ], "I1": [ 162 ], "I2": [ 174 ], "I3": [ 176 ], "O": [ 1167 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 3 ], "I3": [ 1167 ], "O": [ 191 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011110000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 163 ], "I3": [ 162 ], "O": [ 177 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000000000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1168 ], "I1": [ 1169 ], "I2": [ 1170 ], "I3": [ 176 ], "O": [ 171 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1171 ], "E": [ 1172 ], "Q": [ 1168 ], "R": [ 68 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_DFFER_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0010100010000010" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 176 ], "I1": [ 1173 ], "I2": [ "1" ], "I3": [ 1174 ], "O": [ 1171 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 1168 ], "O": [ 1173 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1175 ], "E": [ 1172 ], "Q": [ 1169 ], "R": [ 68 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_DFFER_Q_E_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0101000011011101" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 174 ], "I1": [ 176 ], "I2": [ 177 ], "I3": [ 171 ], "O": [ 1172 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0111001101010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1169 ], "I1": [ 174 ], "I2": [ 176 ], "I3": [ 171 ], "O": [ 1175 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000011111111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:12.34-13.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ "0" ], "I3": [ 1169 ], "O": [ 1174 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I3_O_SB_CARRY_CI": { "hide_name": 0, "type": "SB_CARRY", "parameters": { }, "attributes": { "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4" }, "port_directions": { "CI": "input", "CO": "output", "I0": "input", "I1": "input" }, "connections": { "CI": [ 1174 ], "CO": [ 1176 ], "I0": [ 1173 ], "I1": [ "1" ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_DFFER_Q": { "hide_name": 0, "type": "SB_DFFER", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116" }, "port_directions": { "C": "input", "D": "input", "E": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 164 ], "D": [ 1177 ], "E": [ 1172 ], "Q": [ 1170 ], "R": [ 68 ] } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1000001000101000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 176 ], "I1": [ 1170 ], "I2": [ "1" ], "I3": [ 1176 ], "O": [ 1177 ] } }, "w_lvds_rx_24_d1_SB_LUT4_I0": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000010000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 166 ], "I1": [ 165 ], "I2": [ 259 ], "I3": [ 261 ], "O": [ 258 ] } }, "w_smi_read_req_SB_DFFSR_Q": { "hide_name": 0, "type": "SB_DFFSR", "parameters": { }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "complex_fifo.v:88.2-96.5|/usr/local/bin/../share/yosys/ice40/ff_map.v:19.59-19.105" }, "port_directions": { "C": "input", "D": "input", "Q": "output", "R": "input" }, "connections": { "C": [ 70 ], "D": [ 1178 ], "Q": [ 372 ], "R": [ 68 ] } }, "w_smi_read_req_SB_DFFSR_Q_D_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0001001100110011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 694 ], "I1": [ 698 ], "I2": [ 738 ], "I3": [ 703 ], "O": [ 1178 ] } }, "w_smi_read_req_SB_LUT4_I1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000100001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 740 ], "I1": [ 372 ], "I2": [ 718 ], "I3": [ 1179 ], "O": [ 1180 ] } }, "w_smi_read_req_SB_LUT4_I1_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1100000000001100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 514 ], "I2": [ 513 ], "I3": [ 692 ], "O": [ 1179 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110100100000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 517 ], "I1": [ 516 ], "I2": [ 714 ], "I3": [ 1180 ], "O": [ 697 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000001101001" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 516 ], "I1": [ 691 ], "I2": [ 515 ], "I3": [ 1181 ], "O": [ 695 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000001000000000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 1182 ], "I1": [ 1183 ], "I2": [ 1184 ], "I3": [ 1185 ], "O": [ 696 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0011110011000011" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 511 ], "I2": [ 519 ], "I3": [ 734 ], "O": [ 1182 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_1": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000100110010000" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 515 ], "I1": [ 723 ], "I2": [ 513 ], "I3": [ 692 ], "O": [ 1183 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_2": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1110011101111110" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 739 ], "I1": [ 518 ], "I2": [ 741 ], "I3": [ 517 ], "O": [ 1184 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_3": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000001101111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 513 ], "I1": [ 730 ], "I2": [ 512 ], "I3": [ 1186 ], "O": [ 1185 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_3_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0000000000111100" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:22.34-23.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ 515 ], "I2": [ 723 ], "I3": [ 514 ], "O": [ 1186 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "0110011000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:26.33-27.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ 513 ], "I1": [ 730 ], "I2": [ 512 ], "I3": [ 1187 ], "O": [ 1181 ] } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_LUT4_O": { "hide_name": 0, "type": "SB_LUT4", "parameters": { "LUT_INIT": "1111000000001111" }, "attributes": { "module_not_derived": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:17.34-18.52" }, "port_directions": { "I0": "input", "I1": "input", "I2": "input", "I3": "input", "O": "output" }, "connections": { "I0": [ "0" ], "I1": [ "0" ], "I2": [ 511 ], "I3": [ 699 ], "O": [ 1187 ] } } }, "netnames": { "i_button": { "hide_name": 0, "bits": [ 22 ], "attributes": { "src": "top.v:42.11-42.19" } }, "i_button_SB_LUT4_I0_I3": { "hide_name": 0, "bits": [ 21, 63, 51, 52 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "i_button_SB_LUT4_I0_I3_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 54, 55, 56, 57 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "i_button_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 4, 144, 53 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "i_config": { "hide_name": 0, "bits": [ 18, 19, 20, 21 ], "attributes": { "src": "top.v:41.17-41.25" } }, "i_glob_clock": { "hide_name": 0, "bits": [ 2 ], "attributes": { "src": "top.v:10.11-10.23" } }, "i_iq_rx_09_p": { "hide_name": 0, "bits": [ 15 ], "attributes": { "src": "top.v:27.12-27.24" } }, "i_iq_rx_24_n": { "hide_name": 0, "bits": [ 16 ], "attributes": { "src": "top.v:28.12-28.24" } }, "i_iq_rx_clk_p": { "hide_name": 0, "bits": [ 17 ], "attributes": { "src": "top.v:29.12-29.25" } }, "i_mosi": { "hide_name": 0, "bits": [ 46 ], "attributes": { "src": "top.v:87.12-87.18" } }, "i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "src": "top.v:11.11-11.18" } }, "i_rst_b_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 68 ], "attributes": { } }, "i_sck": { "hide_name": 0, "bits": [ 47 ], "attributes": { "src": "top.v:88.12-88.17" } }, "i_smi_a2": { "hide_name": 0, "bits": [ 33 ], "attributes": { "src": "top.v:77.11-77.19" } }, "i_smi_a3": { "hide_name": 0, "bits": [ 34 ], "attributes": { "src": "top.v:78.11-78.19" } }, "i_smi_soe_se": { "hide_name": 0, "bits": [ 35 ], "attributes": { "src": "top.v:80.11-80.23" } }, "i_smi_swe_srw": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "top.v:81.11-81.24" } }, "i_ss": { "hide_name": 0, "bits": [ 48 ], "attributes": { "src": "top.v:89.12-89.16" } }, "int_miso": { "hide_name": 0, "bits": [ 370 ], "attributes": { "src": "top.v:151.8-151.16" } }, "io_ctrl_ins.i_button": { "hide_name": 0, "bits": [ 22 ], "attributes": { "hdlname": "io_ctrl_ins i_button", "src": "io_ctrl.v:14.22-14.30" } }, "io_ctrl_ins.i_config": { "hide_name": 0, "bits": [ 18, 19, 20, 21 ], "attributes": { "hdlname": "io_ctrl_ins i_config", "src": "io_ctrl.v:15.22-15.30" } }, "io_ctrl_ins.i_cs": { "hide_name": 0, "bits": [ 73 ], "attributes": { "hdlname": "io_ctrl_ins i_cs", "src": "io_ctrl.v:9.22-9.26" } }, "io_ctrl_ins.i_cs_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 71 ], "attributes": { } }, "io_ctrl_ins.i_data_in": { "hide_name": 0, "bits": [ 140, 138, 89, 136, 134, 133, 132, 130 ], "attributes": { "hdlname": "io_ctrl_ins i_data_in", "src": "io_ctrl.v:7.22-7.31" } }, "io_ctrl_ins.i_fetch_cmd": { "hide_name": 0, "bits": [ 129 ], "attributes": { "hdlname": "io_ctrl_ins i_fetch_cmd", "src": "io_ctrl.v:10.22-10.33" } }, "io_ctrl_ins.i_ioc": { "hide_name": 0, "bits": [ 55, 54, 60, 59, 58 ], "attributes": { "hdlname": "io_ctrl_ins i_ioc", "src": "io_ctrl.v:6.22-6.27" } }, "io_ctrl_ins.i_load_cmd": { "hide_name": 0, "bits": [ 146 ], "attributes": { "hdlname": "io_ctrl_ins i_load_cmd", "src": "io_ctrl.v:11.22-11.32" } }, "io_ctrl_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "io_ctrl_ins i_rst_b", "src": "io_ctrl.v:3.25-3.32" } }, "io_ctrl_ins.i_sys_clk": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "io_ctrl_ins i_sys_clk", "src": "io_ctrl.v:4.22-4.31" } }, "io_ctrl_ins.led0_state": { "hide_name": 0, "bits": [ 31 ], "attributes": { "hdlname": "io_ctrl_ins led0_state", "src": "io_ctrl.v:73.17-73.27" } }, "io_ctrl_ins.led1_state": { "hide_name": 0, "bits": [ 32 ], "attributes": { "hdlname": "io_ctrl_ins led1_state", "src": "io_ctrl.v:74.17-74.27" } }, "io_ctrl_ins.lna_rx_shutdown_state": { "hide_name": 0, "bits": [ 9 ], "attributes": { "hdlname": "io_ctrl_ins lna_rx_shutdown_state", "src": "io_ctrl.v:81.17-81.38" } }, "io_ctrl_ins.lna_rx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 77 ], "attributes": { } }, "io_ctrl_ins.lna_tx_shutdown_state": { "hide_name": 0, "bits": [ 10 ], "attributes": { "hdlname": "io_ctrl_ins lna_tx_shutdown_state", "src": "io_ctrl.v:82.17-82.38" } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 82 ], "attributes": { } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 87, 51, 86 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.lna_tx_shutdown_state_SB_LUT4_I1_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 91, 102, 88 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.mixer_en_state": { "hide_name": 0, "bits": [ 93 ], "attributes": { "hdlname": "io_ctrl_ins mixer_en_state", "src": "io_ctrl.v:78.17-78.31" } }, "io_ctrl_ins.mixer_en_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 92 ], "attributes": { } }, "io_ctrl_ins.o_data_out": { "hide_name": 0, "bits": [ 112, 98, 106, 101, 123, 121, 119, 117 ], "attributes": { "hdlname": "io_ctrl_ins o_data_out", "src": "io_ctrl.v:8.22-8.32" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 100 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_1_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 80, 102, 103 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 104 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_E": { "hide_name": 0, "bits": [ 105 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_2_R": { "hide_name": 0, "bits": [ 54, 57, 107 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 96 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 99 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFESS_Q_D": { "hide_name": 0, "bits": [ 111 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_1_D": { "hide_name": 0, "bits": [ 118 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_2_D": { "hide_name": 0, "bits": [ 120 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_3_D": { "hide_name": 0, "bits": [ 122 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_3_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 19, 124, 125 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 115 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 116 ], "attributes": { } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 128, 126 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_data_out_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 54, 55, 97 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.o_led0": { "hide_name": 0, "bits": [ 31 ], "attributes": { "hdlname": "io_ctrl_ins o_led0", "src": "io_ctrl.v:16.22-16.28" } }, "io_ctrl_ins.o_led1": { "hide_name": 0, "bits": [ 32 ], "attributes": { "hdlname": "io_ctrl_ins o_led1", "src": "io_ctrl.v:17.22-17.28" } }, "io_ctrl_ins.o_mixer_en": { "hide_name": 0, "bits": [ "1" ], "attributes": { "hdlname": "io_ctrl_ins o_mixer_en", "src": "io_ctrl.v:29.22-29.32" } }, "io_ctrl_ins.o_mixer_fm": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "io_ctrl_ins o_mixer_fm", "src": "io_ctrl.v:21.22-21.32" } }, "io_ctrl_ins.o_pmod": { "hide_name": 0, "bits": [ 23, 24, 25, 26 ], "attributes": { "hdlname": "io_ctrl_ins o_pmod", "src": "io_ctrl.v:18.22-18.28" } }, "io_ctrl_ins.o_rx_h_tx_l": { "hide_name": 0, "bits": [ 4 ], "attributes": { "hdlname": "io_ctrl_ins o_rx_h_tx_l", "src": "io_ctrl.v:22.22-22.33" } }, "io_ctrl_ins.o_rx_h_tx_l_b": { "hide_name": 0, "bits": [ 5 ], "attributes": { "hdlname": "io_ctrl_ins o_rx_h_tx_l_b", "src": "io_ctrl.v:23.22-23.35" } }, "io_ctrl_ins.o_shdn_rx_lna": { "hide_name": 0, "bits": [ 9 ], "attributes": { "hdlname": "io_ctrl_ins o_shdn_rx_lna", "src": "io_ctrl.v:28.22-28.35" } }, "io_ctrl_ins.o_shdn_tx_lna": { "hide_name": 0, "bits": [ 10 ], "attributes": { "hdlname": "io_ctrl_ins o_shdn_tx_lna", "src": "io_ctrl.v:27.22-27.35" } }, "io_ctrl_ins.o_tr_vc1": { "hide_name": 0, "bits": [ 6 ], "attributes": { "hdlname": "io_ctrl_ins o_tr_vc1", "src": "io_ctrl.v:24.22-24.30" } }, "io_ctrl_ins.o_tr_vc1_b": { "hide_name": 0, "bits": [ 7 ], "attributes": { "hdlname": "io_ctrl_ins o_tr_vc1_b", "src": "io_ctrl.v:25.22-25.32" } }, "io_ctrl_ins.o_tr_vc2": { "hide_name": 0, "bits": [ 8 ], "attributes": { "hdlname": "io_ctrl_ins o_tr_vc2", "src": "io_ctrl.v:26.22-26.30" } }, "io_ctrl_ins.pmod_dir_state": { "hide_name": 0, "bits": [ 141, 139, 87, 137, 135, 61, 63, 50 ], "attributes": { "hdlname": "io_ctrl_ins pmod_dir_state", "src": "io_ctrl.v:75.17-75.31" } }, "io_ctrl_ins.pmod_state": { "hide_name": 0, "bits": [ 23, 24, 25, 26 ], "attributes": { "hdlname": "io_ctrl_ins pmod_state", "src": "io_ctrl.v:76.17-76.27" } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 142 ], "attributes": { } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 143, 51 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2_1_O": { "hide_name": 0, "bits": [ 145 ], "attributes": { } }, "io_ctrl_ins.pmod_state_SB_DFFE_Q_E_SB_LUT4_O_I2_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 131 ], "attributes": { } }, "io_ctrl_ins.rf_pin_state": { "hide_name": 0, "bits": [ 94, 79, 83, 151, 150, 149, 148, 147 ], "attributes": { "hdlname": "io_ctrl_ins rf_pin_state", "src": "io_ctrl.v:77.17-77.29" } }, "io_ctrl_ins.rx_h_b_state": { "hide_name": 0, "bits": [ 5 ], "attributes": { "hdlname": "io_ctrl_ins rx_h_b_state", "src": "io_ctrl.v:84.17-84.29" } }, "io_ctrl_ins.rx_h_b_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 152 ], "attributes": { } }, "io_ctrl_ins.rx_h_b_state_SB_LUT4_I1_I3": { "hide_name": 0, "bits": [ 5, 144, 64 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.rx_h_state": { "hide_name": 0, "bits": [ 4 ], "attributes": { "hdlname": "io_ctrl_ins rx_h_state", "src": "io_ctrl.v:83.17-83.27" } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 154 ], "attributes": { } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 148, 81, 153 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.rx_h_state_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 78 ], "attributes": { } }, "io_ctrl_ins.tr_vc_1_b_state": { "hide_name": 0, "bits": [ 7 ], "attributes": { "hdlname": "io_ctrl_ins tr_vc_1_b_state", "src": "io_ctrl.v:86.17-86.32" } }, "io_ctrl_ins.tr_vc_1_b_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 155 ], "attributes": { } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 84, 126, 127 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 90 ], "attributes": { "defaultvalue": "1", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_2_Q": { "hide_name": 0, "bits": [ 151, 80, 84, 81 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_Q": { "hide_name": 0, "bits": [ 108, 113 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_b_state_SB_LUT4_I0_O_SB_DFFER_Q_E_SB_DFFER_E_Q_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 108, 157 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_state": { "hide_name": 0, "bits": [ 6 ], "attributes": { "hdlname": "io_ctrl_ins tr_vc_1_state", "src": "io_ctrl.v:85.17-85.30" } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 158 ], "attributes": { } }, "io_ctrl_ins.tr_vc_1_state_SB_DFFE_Q_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 150, 80, 156, 81 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_1_state_SB_LUT4_I1_I3": { "hide_name": 0, "bits": [ 6, 144, 62 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_ctrl_ins.tr_vc_2_state": { "hide_name": 0, "bits": [ 8 ], "attributes": { "hdlname": "io_ctrl_ins tr_vc_2_state", "src": "io_ctrl.v:87.17-87.30" } }, "io_ctrl_ins.tr_vc_2_state_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 159 ], "attributes": { } }, "io_ctrl_ins.tr_vc_2_state_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 137, 51, 161, 160 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "io_pmod_in": { "hide_name": 0, "bits": [ 27, 28, 29, 30 ], "attributes": { "src": "top.v:45.17-45.27" } }, "io_pmod_out": { "hide_name": 0, "bits": [ 23, 24, 25, 26 ], "attributes": { "src": "top.v:44.18-44.29" } }, "io_smi_data": { "hide_name": 0, "bits": [ 37, 38, 39, 40, 41, 42, 43, 44 ], "attributes": { "src": "top.v:82.17-82.28" } }, "iq_tx_n_OUTPUT_CLK": { "hide_name": 0, "bits": [ 167 ], "attributes": { } }, "lvds_clock": { "hide_name": 0, "bits": [ 164 ], "attributes": { "src": "top.v:249.8-249.18" } }, "lvds_clock_buf": { "hide_name": 0, "bits": [ 164 ], "attributes": { "src": "top.v:250.8-250.22" } }, "lvds_rx_09_inst.i_ddr_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_clk", "src": "lvds_rx.v:3.17-3.26" } }, "lvds_rx_09_inst.i_ddr_data": { "hide_name": 0, "bits": [ 163, 162 ], "attributes": { "hdlname": "lvds_rx_09_inst i_ddr_data", "src": "lvds_rx.v:4.17-4.27" } }, "lvds_rx_09_inst.i_fifo_full": { "hide_name": 0, "bits": [ 424 ], "attributes": { "hdlname": "lvds_rx_09_inst i_fifo_full", "src": "lvds_rx.v:6.23-6.34" } }, "lvds_rx_09_inst.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "lvds_rx_09_inst i_rst_b", "src": "lvds_rx.v:2.17-2.24" } }, "lvds_rx_09_inst.i_sync_input": { "hide_name": 0, "bits": [ 168 ], "attributes": { "hdlname": "lvds_rx_09_inst i_sync_input", "src": "lvds_rx.v:10.23-10.35" } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E": { "hide_name": 0, "bits": [ 169, 171 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 172 ], "attributes": { "defaultvalue": "1", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D": { "hide_name": 0, "bits": [ 175, 173 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "lvds_rx.v:0.0-0.0|lvds_rx.v:43.7-82.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q": { "hide_name": 0, "bits": [ 174, 176 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.i_sync_input_SB_DFFER_D_Q": { "hide_name": 0, "bits": [ 163, 170, 174, 171 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 30, 180, 181 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 182 ], "attributes": { "defaultvalue": "1", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 54, 55, 184 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 183 ], "attributes": { "defaultvalue": "1", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_2_Q": { "hide_name": 0, "bits": [ 187, 386 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_3_Q": { "hide_name": 0, "bits": [ 188, 386 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.i_sync_input_SB_LUT4_O_I2_SB_DFFER_Q_E_SB_LUT4_O_I3_SB_LUT4_I3_O_SB_DFFER_E_Q": { "hide_name": 0, "bits": [ 185, 386 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_09_inst.o_fifo_data": { "hide_name": 0, "bits": [ 252, 217, 192, 194, 219, 241, 243, 245, 247, 249, 197, 200, 196, 199, 202, 204, 206, 208, 210, 212, 214, 216, 221, 223, 225, 227, 229, 231, 233, 235, 237, 239 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_data", "src": "lvds_rx.v:9.23-9.34" } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_10_D": { "hide_name": 0, "bits": [ 195 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_11_D": { "hide_name": 0, "bits": [ 198 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_12_D": { "hide_name": 0, "bits": [ 201 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_13_D": { "hide_name": 0, "bits": [ 203 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_14_D": { "hide_name": 0, "bits": [ 205 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_15_D": { "hide_name": 0, "bits": [ 207 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_16_D": { "hide_name": 0, "bits": [ 209 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_17_D": { "hide_name": 0, "bits": [ 211 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_18_D": { "hide_name": 0, "bits": [ 213 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_19_D": { "hide_name": 0, "bits": [ 215 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 193 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_20_D": { "hide_name": 0, "bits": [ 220 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_21_D": { "hide_name": 0, "bits": [ 222 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_22_D": { "hide_name": 0, "bits": [ 224 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_23_D": { "hide_name": 0, "bits": [ 226 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_24_D": { "hide_name": 0, "bits": [ 228 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_25_D": { "hide_name": 0, "bits": [ 230 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_26_D": { "hide_name": 0, "bits": [ 232 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_27_D": { "hide_name": 0, "bits": [ 234 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_28_D": { "hide_name": 0, "bits": [ 236 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_29_D": { "hide_name": 0, "bits": [ 238 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 218 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 240 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 242 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 244 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 246 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 248 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 250 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 251 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 190 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 178 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_data_SB_DFFE_Q_1_D": { "hide_name": 0, "bits": [ 179 ], "attributes": { } }, "lvds_rx_09_inst.o_fifo_write_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "hdlname": "lvds_rx_09_inst o_fifo_write_clk", "src": "lvds_rx.v:7.23-7.39" } }, "lvds_rx_24_inst.i_ddr_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "hdlname": "lvds_rx_24_inst i_ddr_clk", "src": "lvds_rx.v:3.17-3.26" } }, "lvds_rx_24_inst.i_fifo_full": { "hide_name": 0, "bits": [ 424 ], "attributes": { "hdlname": "lvds_rx_24_inst i_fifo_full", "src": "lvds_rx.v:6.23-6.34" } }, "lvds_rx_24_inst.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "lvds_rx_24_inst i_rst_b", "src": "lvds_rx.v:2.17-2.24" } }, "lvds_rx_24_inst.i_sync_input": { "hide_name": 0, "bits": [ 253 ], "attributes": { "hdlname": "lvds_rx_24_inst i_sync_input", "src": "lvds_rx.v:10.23-10.35" } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E": { "hide_name": 0, "bits": [ 254, 256 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 257 ], "attributes": { "defaultvalue": "1", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D": { "hide_name": 0, "bits": [ 260, 258 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "lvds_rx.v:0.0-0.0|lvds_rx.v:43.7-82.14|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q": { "hide_name": 0, "bits": [ 166, 165, 259, 261 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 261, 263 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_E_SB_LUT4_O_I3_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 264 ], "attributes": { } }, "lvds_rx_24_inst.i_sync_input_SB_DFFER_D_Q": { "hide_name": 0, "bits": [ 166, 255, 265 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.i_sync_input_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 29, 267, 268 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_rx_24_inst.o_fifo_data": { "hide_name": 0, "bits": [ 330, 295, 270, 272, 297, 319, 321, 323, 325, 327, 275, 278, 274, 277, 280, 282, 284, 286, 288, 290, 292, 294, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_data", "src": "lvds_rx.v:9.23-9.34" } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_10_D": { "hide_name": 0, "bits": [ 273 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_11_D": { "hide_name": 0, "bits": [ 276 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_12_D": { "hide_name": 0, "bits": [ 279 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_13_D": { "hide_name": 0, "bits": [ 281 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_14_D": { "hide_name": 0, "bits": [ 283 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_15_D": { "hide_name": 0, "bits": [ 285 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_16_D": { "hide_name": 0, "bits": [ 287 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_17_D": { "hide_name": 0, "bits": [ 289 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_18_D": { "hide_name": 0, "bits": [ 291 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_19_D": { "hide_name": 0, "bits": [ 293 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 271 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_20_D": { "hide_name": 0, "bits": [ 298 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_21_D": { "hide_name": 0, "bits": [ 300 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_22_D": { "hide_name": 0, "bits": [ 302 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_23_D": { "hide_name": 0, "bits": [ 304 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_24_D": { "hide_name": 0, "bits": [ 306 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_25_D": { "hide_name": 0, "bits": [ 308 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_26_D": { "hide_name": 0, "bits": [ 310 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_27_D": { "hide_name": 0, "bits": [ 312 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_28_D": { "hide_name": 0, "bits": [ 314 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_29_D": { "hide_name": 0, "bits": [ 316 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 296 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 318 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 320 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 322 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 324 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 326 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 328 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 329 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 269 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 262 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_1_D": { "hide_name": 0, "bits": [ 266 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_data_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 331 ], "attributes": { } }, "lvds_rx_24_inst.o_fifo_write_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "hdlname": "lvds_rx_24_inst o_fifo_write_clk", "src": "lvds_rx.v:7.23-7.39" } }, "lvds_tx_inst.i_ddr_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "hdlname": "lvds_tx_inst i_ddr_clk", "src": "lvds_tx.v:3.21-3.30" } }, "lvds_tx_inst.i_debug_lb": { "hide_name": 0, "bits": [ "x" ], "attributes": { "hdlname": "lvds_tx_inst i_debug_lb", "src": "lvds_tx.v:13.21-13.31" } }, "lvds_tx_inst.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "lvds_tx_inst i_rst_b", "src": "lvds_tx.v:2.21-2.28" } }, "lvds_tx_inst.i_sample_gap": { "hide_name": 0, "bits": [ "x", "x", "x", "x" ], "attributes": { "hdlname": "lvds_tx_inst i_sample_gap", "src": "lvds_tx.v:10.21-10.33" } }, "lvds_tx_inst.o_ddr_data": { "hide_name": 0, "bits": [ "0", "0" ], "attributes": { "hdlname": "lvds_tx_inst o_ddr_data", "src": "lvds_tx.v:4.21-4.31" } }, "lvds_tx_inst.o_fifo_pull": { "hide_name": 0, "bits": [ 333 ], "attributes": { "hdlname": "lvds_tx_inst o_fifo_pull", "src": "lvds_tx.v:8.21-8.32" } }, "lvds_tx_inst.o_fifo_read_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "hdlname": "lvds_tx_inst o_fifo_read_clk", "src": "lvds_tx.v:7.21-7.36" } }, "lvds_tx_inst.o_sync_state_bit": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_tx_inst o_sync_state_bit", "src": "lvds_tx.v:15.21-15.37" } }, "lvds_tx_inst.o_tx_state_bit": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_tx_inst o_tx_state_bit", "src": "lvds_tx.v:14.21-14.35" } }, "lvds_tx_inst.r_fifo_data": { "hide_name": 0, "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], "attributes": { "hdlname": "lvds_tx_inst r_fifo_data", "src": "lvds_tx.v:32.16-32.27" } }, "lvds_tx_inst.r_pulled": { "hide_name": 0, "bits": [ 333 ], "attributes": { "hdlname": "lvds_tx_inst r_pulled", "src": "lvds_tx.v:33.9-33.17" } }, "lvds_tx_inst.r_pulled_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 333, 339, 340, 332 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_tx_inst.r_pulled_SB_DFFESR_Q_D_SB_DFFNSR_Q_D": { "hide_name": 0, "bits": [ 334 ], "attributes": { } }, "lvds_tx_inst.r_pulled_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 363, 1081, 341, 346 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 349, 348, 350 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_tx_inst.r_pulled_SB_LUT4_I1_O_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 335, 336, 337, 338 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "lvds_tx_inst.r_pulled_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 368 ], "attributes": { } }, "lvds_tx_inst.r_state": { "hide_name": 0, "bits": [ "0" ], "attributes": { "hdlname": "lvds_tx_inst r_state", "src": "lvds_tx.v:30.9-30.16" } }, "o_iq_tx_clk_n": { "hide_name": 0, "bits": [ 14 ], "attributes": { "src": "top.v:26.12-26.25" } }, "o_iq_tx_clk_p": { "hide_name": 0, "bits": [ 13 ], "attributes": { "src": "top.v:25.12-25.25" } }, "o_iq_tx_n": { "hide_name": 0, "bits": [ 12 ], "attributes": { "src": "top.v:24.12-24.21" } }, "o_iq_tx_p": { "hide_name": 0, "bits": [ 11 ], "attributes": { "src": "top.v:23.12-23.21" } }, "o_led0": { "hide_name": 0, "bits": [ 31 ], "attributes": { "src": "top.v:46.12-46.18" } }, "o_led0_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 113, 102, 95, 114 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "o_led0_SB_LUT4_I1_O_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 54, 55, 57 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "o_led1": { "hide_name": 0, "bits": [ 32 ], "attributes": { "src": "top.v:47.12-47.18" } }, "o_led1_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 369 ], "attributes": { "defaultvalue": "1", "src": "io_ctrl.v:111.5-207.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "o_led1_SB_LUT4_I1_I2": { "hide_name": 0, "bits": [ 7, 135, 51, 144 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "o_led1_SB_LUT4_I1_I3": { "hide_name": 0, "bits": [ 141, 31, 51, 124 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "o_led1_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 108, 102, 109, 110 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "o_led1_SB_LUT4_I1_O_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 55, 85 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "o_miso": { "hide_name": 0, "bits": [ 49 ], "attributes": { "src": "top.v:90.12-90.18" } }, "o_miso_$_TBUF__Y_E": { "hide_name": 0, "bits": [ 69 ], "attributes": { } }, "o_mixer_en": { "hide_name": 0, "bits": [ "x" ], "attributes": { "src": "top.v:38.12-38.22" } }, "o_mixer_fm": { "hide_name": 0, "bits": [ "x" ], "attributes": { "src": "top.v:37.12-37.22" } }, "o_rx_h_tx_l": { "hide_name": 0, "bits": [ 4 ], "attributes": { "src": "top.v:14.12-14.23" } }, "o_rx_h_tx_l_b": { "hide_name": 0, "bits": [ 5 ], "attributes": { "src": "top.v:15.12-15.25" } }, "o_shdn_rx_lna": { "hide_name": 0, "bits": [ 9 ], "attributes": { "src": "top.v:19.12-19.25" } }, "o_shdn_tx_lna": { "hide_name": 0, "bits": [ 10 ], "attributes": { "src": "top.v:20.12-20.25" } }, "o_smi_read_req": { "hide_name": 0, "bits": [ 45 ], "attributes": { "src": "top.v:84.12-84.26" } }, "o_smi_write_req": { "hide_name": 0, "bits": [ 36 ], "attributes": { "src": "top.v:83.12-83.27" } }, "o_tr_vc1": { "hide_name": 0, "bits": [ 6 ], "attributes": { "src": "top.v:16.12-16.20" } }, "o_tr_vc1_b": { "hide_name": 0, "bits": [ 7 ], "attributes": { "src": "top.v:17.12-17.22" } }, "o_tr_vc2": { "hide_name": 0, "bits": [ 8 ], "attributes": { "src": "top.v:18.12-18.20" } }, "r_counter": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "top.v:97.14-97.23" } }, "r_counter_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 374 ], "attributes": { } }, "r_tx_data": { "hide_name": 0, "bits": [ 413, 406, 402, 398, 394, 388, 379, 377 ], "attributes": { "src": "top.v:102.14-102.23" } }, "r_tx_data_SB_DFFE_Q_1_D": { "hide_name": 0, "bits": [ 378 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 119, 380, 381, 382 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 383 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_1_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 385, 386 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_2_D": { "hide_name": 0, "bits": [ 387 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_2_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 389, 381, 390 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_2_D_SB_LUT4_O_I1_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 391 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_3_D": { "hide_name": 0, "bits": [ 393 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 123, 395, 381, 382 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_3_D_SB_LUT4_O_I1_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 396 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_4_D": { "hide_name": 0, "bits": [ 397 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_4_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 101, 399, 381, 382 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_5_D": { "hide_name": 0, "bits": [ 401 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_6_D": { "hide_name": 0, "bits": [ 405 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_6_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 407, 381, 408 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_7_D": { "hide_name": 0, "bits": [ 412 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 414, 381, 415 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_7_D_SB_LUT4_O_I1_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 417, 411, 418 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 375 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 419, 381, 420 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 421 ], "attributes": { } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 422, 386 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "r_tx_data_SB_DFFE_Q_D_SB_LUT4_O_I1_SB_DFFER_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 186, 386 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o": { "hide_name": 0, "bits": [ 424 ], "attributes": { "hdlname": "rx_fifo full_o", "src": "complex_fifo.v:16.19-16.25" } }, "rx_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 423 ], "attributes": { } }, "rx_fifo.full_o_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 433, 432, 434 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 425, 426, 427, 428 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0": { "hide_name": 0, "bits": [ 443, 444, 445, 446 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1": { "hide_name": 0, "bits": [ 429, 489, 761, 451 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 455 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 456 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 458 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I1_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 460 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I2": { "hide_name": 0, "bits": [ 429, 451, 452, 453 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_1_I2_SB_LUT4_O_1_I3": { "hide_name": 0, "bits": [ 464, 465 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1": { "hide_name": 0, "bits": [ 466, 467, 468 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_1_I1": { "hide_name": 0, "bits": [ 482, 477, 473 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_1_I1_SB_LUT4_O_1_I3": { "hide_name": 0, "bits": [ 479 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 472, 473, 470, 474 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I2_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 481 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 483, 471 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I3_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 447, 448, 449, 450 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I0_O_SB_LUT4_I1_O_SB_LUT4_O_2_I0_SB_LUT4_O_2_I1_SB_LUT4_O_I3_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 462 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.full_o_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 490 ], "attributes": { } }, "rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_E": { "hide_name": 0, "bits": [ 491 ], "attributes": { "defaultvalue": "1", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q": { "hide_name": 0, "bits": [ 494, 492, 501 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I1_O_SB_DFFER_D_Q_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 493 ], "attributes": { "defaultvalue": "1", "src": "smi_ctrl.v:59.5-102.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "rx_fifo.full_o_SB_LUT4_I2_I3": { "hide_name": 0, "bits": [ 424, 265 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I2_I3_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 166, 165, 259, 256 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 496 ], "attributes": { } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E": { "hide_name": 0, "bits": [ 500, 497 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 502 ], "attributes": { "defaultvalue": "1", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_D": { "hide_name": 0, "bits": [ 505 ], "attributes": { } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 508 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10" } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q": { "hide_name": 0, "bits": [ 506, 504 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_1_Q_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 261, 507, "1", 508 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_2_D": { "hide_name": 0, "bits": [ 510 ], "attributes": { } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_2_Q": { "hide_name": 0, "bits": [ 498, 261, 499 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_D": { "hide_name": 0, "bits": [ 503 ], "attributes": { } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q": { "hide_name": 0, "bits": [ 261, 504, "1", 509 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.full_o_SB_LUT4_I2_O_SB_DFFER_D_E_SB_LUT4_I2_O_SB_DFFER_E_Q_SB_LUT4_I1_I3": { "hide_name": 0, "bits": [ 509 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.mem_i.0.0_RDATA": { "hide_name": 0, "bits": [ 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_i.0.0_WCLKE": { "hide_name": 0, "bits": [ 430 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1494.16-1494.21" } }, "rx_fifo.mem_i.0.0_WCLKE_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 543 ], "attributes": { } }, "rx_fifo.mem_i.0.0_WDATA": { "hide_name": 0, "bits": [ 542 ], "attributes": { } }, "rx_fifo.mem_i.0.0_WDATA_1": { "hide_name": 0, "bits": [ 541 ], "attributes": { } }, "rx_fifo.mem_i.0.0_WDATA_2": { "hide_name": 0, "bits": [ 540 ], "attributes": { } }, "rx_fifo.mem_i.0.0_WDATA_3": { "hide_name": 0, "bits": [ 539 ], "attributes": { } }, "rx_fifo.mem_i.0.1_RDATA": { "hide_name": 0, "bits": [ 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_i.0.1_WDATA": { "hide_name": 0, "bits": [ 563 ], "attributes": { } }, "rx_fifo.mem_i.0.1_WDATA_1": { "hide_name": 0, "bits": [ 562 ], "attributes": { } }, "rx_fifo.mem_i.0.1_WDATA_2": { "hide_name": 0, "bits": [ 561 ], "attributes": { } }, "rx_fifo.mem_i.0.1_WDATA_3": { "hide_name": 0, "bits": [ 560 ], "attributes": { } }, "rx_fifo.mem_i.0.2_RDATA": { "hide_name": 0, "bits": [ 564, 565, 566, 567, 568, 569, 570, 571, 572, 573, 574, 575, 576, 577, 578, 579 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_i.0.2_WDATA": { "hide_name": 0, "bits": [ 583 ], "attributes": { } }, "rx_fifo.mem_i.0.2_WDATA_1": { "hide_name": 0, "bits": [ 582 ], "attributes": { } }, "rx_fifo.mem_i.0.2_WDATA_2": { "hide_name": 0, "bits": [ 581 ], "attributes": { } }, "rx_fifo.mem_i.0.2_WDATA_3": { "hide_name": 0, "bits": [ 580 ], "attributes": { } }, "rx_fifo.mem_i.0.3_RDATA": { "hide_name": 0, "bits": [ 584, 585, 586, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 597, 598, 599 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_i.0.3_WDATA": { "hide_name": 0, "bits": [ 603 ], "attributes": { } }, "rx_fifo.mem_i.0.3_WDATA_1": { "hide_name": 0, "bits": [ 602 ], "attributes": { } }, "rx_fifo.mem_i.0.3_WDATA_2": { "hide_name": 0, "bits": [ 601 ], "attributes": { } }, "rx_fifo.mem_i.0.3_WDATA_3": { "hide_name": 0, "bits": [ 600 ], "attributes": { } }, "rx_fifo.mem_q.0.0_RDATA": { "hide_name": 0, "bits": [ 604, 605, 606, 607, 608, 609, 610, 611, 612, 613, 614, 615, 616, 617, 618, 619 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.0_WDATA": { "hide_name": 0, "bits": [ 623 ], "attributes": { } }, "rx_fifo.mem_q.0.0_WDATA_1": { "hide_name": 0, "bits": [ 622 ], "attributes": { } }, "rx_fifo.mem_q.0.0_WDATA_2": { "hide_name": 0, "bits": [ 621 ], "attributes": { } }, "rx_fifo.mem_q.0.0_WDATA_3": { "hide_name": 0, "bits": [ 620 ], "attributes": { } }, "rx_fifo.mem_q.0.1_RDATA": { "hide_name": 0, "bits": [ 624, 625, 626, 627, 628, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 639 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.1_WDATA": { "hide_name": 0, "bits": [ 643 ], "attributes": { } }, "rx_fifo.mem_q.0.1_WDATA_1": { "hide_name": 0, "bits": [ 642 ], "attributes": { } }, "rx_fifo.mem_q.0.1_WDATA_2": { "hide_name": 0, "bits": [ 641 ], "attributes": { } }, "rx_fifo.mem_q.0.1_WDATA_3": { "hide_name": 0, "bits": [ 640 ], "attributes": { } }, "rx_fifo.mem_q.0.2_RDATA": { "hide_name": 0, "bits": [ 644, 645, 646, 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 657, 658, 659 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.2_WDATA": { "hide_name": 0, "bits": [ 663 ], "attributes": { } }, "rx_fifo.mem_q.0.2_WDATA_1": { "hide_name": 0, "bits": [ 662 ], "attributes": { } }, "rx_fifo.mem_q.0.2_WDATA_2": { "hide_name": 0, "bits": [ 661 ], "attributes": { } }, "rx_fifo.mem_q.0.2_WDATA_3": { "hide_name": 0, "bits": [ 660 ], "attributes": { } }, "rx_fifo.mem_q.0.3_RDATA": { "hide_name": 0, "bits": [ 664, 665, 666, 667, 668, 669, 670, 671, 672, 673, 674, 675, 676, 677, 678, 679 ], "attributes": { "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1488.16-1488.21", "unused_bits": "0 2 3 4 6 7 8 10 11 12 14 15" } }, "rx_fifo.mem_q.0.3_WDATA": { "hide_name": 0, "bits": [ 683 ], "attributes": { } }, "rx_fifo.mem_q.0.3_WDATA_1": { "hide_name": 0, "bits": [ 682 ], "attributes": { } }, "rx_fifo.mem_q.0.3_WDATA_2": { "hide_name": 0, "bits": [ 681 ], "attributes": { } }, "rx_fifo.mem_q.0.3_WDATA_3": { "hide_name": 0, "bits": [ 680 ], "attributes": { } }, "rx_fifo.rd_addr": { "hide_name": 0, "bits": [ 520, 519, 511, 512, 513, 514, 515, 516, 517, 518 ], "attributes": { "hdlname": "rx_fifo rd_addr", "src": "complex_fifo.v:24.23-24.30" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 689, 688 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 712, 689 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 691, 692, 690, 693 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_I2_O_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 694, 698, 738, 703 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 704 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 705 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 706 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 707 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 708 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 709 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 684, 710 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 687, 686 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 713, 712 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 699, 700, 701, 702 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 711 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 717 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 718, 713 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 719 ], "attributes": { } }, "rx_fifo.rd_addr_gray": { "hide_name": 0, "bits": [ 743, 733, 731, 729, 727, 726, 724, 722, 721, 518 ], "attributes": { "hdlname": "rx_fifo rd_addr_gray", "src": "complex_fifo.v:25.23-25.35" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 720 ], "attributes": { } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 714, 715, 716 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 725 ], "attributes": { } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 730, 728 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 734, 732 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_8_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 521, 735, 736, 737 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.rd_addr_gray_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 742 ], "attributes": { } }, "rx_fifo.rd_addr_gray_wr": { "hide_name": 0, "bits": [ 753, 752, 751, 750, 749, 748, 747, 746, 745, 744 ], "attributes": { "hdlname": "rx_fifo rd_addr_gray_wr", "src": "complex_fifo.v:26.23-26.38" } }, "rx_fifo.rd_addr_gray_wr_r": { "hide_name": 0, "bits": [ 489, 484, 482, 472, 469, 483, 447, 435, 464, 429 ], "attributes": { "hdlname": "rx_fifo rd_addr_gray_wr_r", "src": "complex_fifo.v:27.23-27.40" } }, "rx_fifo.rd_clk_i": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "rx_fifo rd_clk_i", "src": "complex_fifo.v:12.28-12.36" } }, "rx_fifo.rd_data_o": { "hide_name": 0, "bits": [ 605, 613, 609, 617, 625, 633, 629, 637, 645, 653, 649, 657, 665, 673, 669, 677, 523, 531, 527, 535, 545, 553, 549, 557, 565, 573, 569, 577, 585, 593, 589, 597 ], "attributes": { "hdlname": "rx_fifo rd_data_o", "src": "complex_fifo.v:14.32-14.41" } }, "rx_fifo.rd_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "rx_fifo rd_rst_b_i", "src": "complex_fifo.v:11.28-11.38" } }, "rx_fifo.wr_addr": { "hide_name": 0, "bits": [ 538, 476, 475, 478, 480, 463, 461, 459, 457, 454 ], "attributes": { "hdlname": "rx_fifo wr_addr", "src": "complex_fifo.v:20.23-20.30" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 762 ], "attributes": { } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 755, 754 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 763 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 764 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 464, 754, 431, 765 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 766 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 767 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 768 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 769 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 770 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 771 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 761, 760 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2_1_O": { "hide_name": 0, "bits": [ 475, 484, 430, 485 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 759, 758 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 758, 757 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_SB_DFFESR_Q_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 756, 755 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray": { "hide_name": 0, "bits": [ 788, 786, 785, 783, 781, 779, 777, 776, 775, 454 ], "attributes": { "hdlname": "rx_fifo wr_addr_gray", "src": "complex_fifo.v:21.23-21.35" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 774 ], "attributes": { } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 483, 778 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 439, 440, 441, 442 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_4_D_SB_LUT4_I3_O_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 464, 780, 754, 431 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 447, 469, 772, 773 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 472, 782 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_6_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 435, 436, 437, 438 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 784 ], "attributes": { } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 484, 486, 487, 488 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "rx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 787 ], "attributes": { } }, "rx_fifo.wr_addr_gray_rd": { "hide_name": 0, "bits": [ 798, 797, 796, 795, 794, 793, 792, 791, 790, 789 ], "attributes": { "hdlname": "rx_fifo wr_addr_gray_rd", "src": "complex_fifo.v:22.23-22.38" } }, "rx_fifo.wr_addr_gray_rd_r": { "hide_name": 0, "bits": [ 740, 734, 699, 730, 692, 723, 691, 714, 741, 739 ], "attributes": { "hdlname": "rx_fifo wr_addr_gray_rd_r", "src": "complex_fifo.v:23.23-23.40" } }, "rx_fifo.wr_clk_i": { "hide_name": 0, "bits": [ 164 ], "attributes": { "hdlname": "rx_fifo wr_clk_i", "src": "complex_fifo.v:7.28-7.36" } }, "rx_fifo.wr_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "rx_fifo wr_rst_b_i", "src": "complex_fifo.v:6.28-6.38" } }, "smi_ctrl_ins.i_cs": { "hide_name": 0, "bits": [ 495 ], "attributes": { "hdlname": "smi_ctrl_ins i_cs", "src": "smi_ctrl.v:9.25-9.29" } }, "smi_ctrl_ins.i_cs_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 799 ], "attributes": { } }, "smi_ctrl_ins.i_data_in": { "hide_name": 0, "bits": [ 140, 138, 89, 136, 134, 133, 132, 130 ], "attributes": { "hdlname": "smi_ctrl_ins i_data_in", "src": "smi_ctrl.v:7.25-7.34" } }, "smi_ctrl_ins.i_fetch_cmd": { "hide_name": 0, "bits": [ 129 ], "attributes": { "hdlname": "smi_ctrl_ins i_fetch_cmd", "src": "smi_ctrl.v:10.25-10.36" } }, "smi_ctrl_ins.i_ioc": { "hide_name": 0, "bits": [ 55, 54, 60, 59, 58 ], "attributes": { "hdlname": "smi_ctrl_ins i_ioc", "src": "smi_ctrl.v:6.25-6.30" } }, "smi_ctrl_ins.i_load_cmd": { "hide_name": 0, "bits": [ 146 ], "attributes": { "hdlname": "smi_ctrl_ins i_load_cmd", "src": "smi_ctrl.v:11.25-11.35" } }, "smi_ctrl_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "smi_ctrl_ins i_rst_b", "src": "smi_ctrl.v:3.25-3.32" } }, "smi_ctrl_ins.i_rx_fifo_pulled_data": { "hide_name": 0, "bits": [ 605, 613, 609, 617, 625, 633, 629, 637, 645, 653, 649, 657, 665, 673, 669, 677, 523, 531, 527, 535, 545, 553, 549, 557, 565, 573, 569, 577, 585, 593, 589, 597 ], "attributes": { "hdlname": "smi_ctrl_ins i_rx_fifo_pulled_data", "src": "smi_ctrl.v:15.25-15.46" } }, "smi_ctrl_ins.i_smi_data_in": { "hide_name": 0, "bits": [ 939, 940, 941, 942, 943, 944, 945, 929 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_data_in", "src": "smi_ctrl.v:27.25-27.38", "unused_bits": "0 1 2 3 4 5 6" } }, "smi_ctrl_ins.i_smi_soe_se": { "hide_name": 0, "bits": [ 35 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_soe_se", "src": "smi_ctrl.v:24.25-24.37" } }, "smi_ctrl_ins.i_smi_swe_srw": { "hide_name": 0, "bits": [ 36 ], "attributes": { "hdlname": "smi_ctrl_ins i_smi_swe_srw", "src": "smi_ctrl.v:25.25-25.38" } }, "smi_ctrl_ins.i_sys_clk": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "smi_ctrl_ins i_sys_clk", "src": "smi_ctrl.v:4.25-4.34" } }, "smi_ctrl_ins.i_tx_fifo_full": { "hide_name": 0, "bits": [ 371 ], "attributes": { "hdlname": "smi_ctrl_ins i_tx_fifo_full", "src": "smi_ctrl.v:20.25-20.39" } }, "smi_ctrl_ins.int_cnt_rx": { "hide_name": 0, "bits": [ "0", "0", "0", 66, 65 ], "attributes": { "hdlname": "smi_ctrl_ins int_cnt_rx", "src": "smi_ctrl.v:108.15-108.25" } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_1_D": { "hide_name": 0, "bits": [ 802 ], "attributes": { } }, "smi_ctrl_ins.int_cnt_rx_SB_DFFNSR_Q_D": { "hide_name": 0, "bits": [ 801 ], "attributes": { } }, "smi_ctrl_ins.int_cnt_tx": { "hide_name": 0, "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x" ], "attributes": { "hdlname": "smi_ctrl_ins int_cnt_tx", "src": "smi_ctrl.v:162.16-162.26" } }, "smi_ctrl_ins.o_data_out": { "hide_name": 0, "bits": [ 417, 410, 804, "0", "0", "0", "0", "0" ], "attributes": { "hdlname": "smi_ctrl_ins o_data_out", "src": "smi_ctrl.v:8.25-8.35" } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_D": { "hide_name": 0, "bits": [ 805 ], "attributes": { } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E": { "hide_name": 0, "bits": [ 803 ], "attributes": { } }, "smi_ctrl_ins.o_data_out_SB_DFFESS_Q_E_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 57, 806 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_dir": { "hide_name": 0, "bits": [ 373 ], "attributes": { "hdlname": "smi_ctrl_ins o_dir", "src": "smi_ctrl.v:31.25-31.30" } }, "smi_ctrl_ins.o_smi_data_out": { "hide_name": 0, "bits": [ 858, 850, 842, 834, 826, 818, 810, 808 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_data_out", "src": "smi_ctrl.v:26.25-26.39" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D": { "hide_name": 0, "bits": [ 809 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_1_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 811, 812 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D": { "hide_name": 0, "bits": [ 817 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_2_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 819, 820 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D": { "hide_name": 0, "bits": [ 825 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_3_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 827, 828 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D": { "hide_name": 0, "bits": [ 833 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_4_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 835, 836 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D": { "hide_name": 0, "bits": [ 841 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_5_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 843, 844 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D": { "hide_name": 0, "bits": [ 849 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_6_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 851, 852 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D": { "hide_name": 0, "bits": [ 857 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_7_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 859, 860 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D": { "hide_name": 0, "bits": [ 807 ], "attributes": { } }, "smi_ctrl_ins.o_smi_data_out_SB_DFFNE_Q_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 865, 866 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.o_smi_read_req": { "hide_name": 0, "bits": [ 372 ], "attributes": { "hdlname": "smi_ctrl_ins o_smi_read_req", "src": "smi_ctrl.v:28.25-28.39" } }, "smi_ctrl_ins.o_tx_fifo_clock": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "smi_ctrl_ins o_tx_fifo_clock", "src": "smi_ctrl.v:21.25-21.40" } }, "smi_ctrl_ins.o_tx_fifo_pushed_data": { "hide_name": 0, "bits": [ "0", "x", "x", "x", "x", "x", "x", "0", "0", "0", "0", "0", "0", "0", "x", "0", "x", "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x", "0", "x" ], "attributes": { "hdlname": "smi_ctrl_ins o_tx_fifo_pushed_data", "src": "smi_ctrl.v:19.25-19.46" } }, "smi_ctrl_ins.r_dir": { "hide_name": 0, "bits": [ 373 ], "attributes": { "hdlname": "smi_ctrl_ins r_dir", "src": "smi_ctrl.v:114.9-114.14" } }, "smi_ctrl_ins.r_dir_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 871 ], "attributes": { "defaultvalue": "1", "src": "smi_ctrl.v:59.5-102.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "smi_ctrl_ins.r_fifo_pull": { "hide_name": 0, "bits": [ 872 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_pull", "src": "smi_ctrl.v:110.9-110.20" } }, "smi_ctrl_ins.r_fifo_pull_1": { "hide_name": 0, "bits": [ 873 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_pull_1", "src": "smi_ctrl.v:111.9-111.22" } }, "smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 521 ], "attributes": { "defaultvalue": "1", "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:204.532-204.765|/usr/local/bin/../share/yosys/ice40/cells_sim.v:1490.16-1490.21" } }, "smi_ctrl_ins.r_fifo_pull_1_SB_LUT4_I1_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 685 ], "attributes": { } }, "smi_ctrl_ins.r_fifo_pulled_data": { "hide_name": 0, "bits": [ 862, 854, 846, 838, 830, 822, 814, 868, 861, 853, 845, 837, 829, 821, 813, 867, 864, 856, 848, 840, 832, 824, 816, 870, 863, 855, 847, 839, 831, 823, 815, 869 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_pulled_data", "src": "smi_ctrl.v:115.16-115.34" } }, "smi_ctrl_ins.r_fifo_pulled_data_SB_DFFNESR_Q_E": { "hide_name": 0, "bits": [ 67 ], "attributes": { } }, "smi_ctrl_ins.r_fifo_push": { "hide_name": 0, "bits": [ 875 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_push", "src": "smi_ctrl.v:167.9-167.20" } }, "smi_ctrl_ins.r_fifo_push_1": { "hide_name": 0, "bits": [ 876 ], "attributes": { "hdlname": "smi_ctrl_ins r_fifo_push_1", "src": "smi_ctrl.v:168.9-168.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 3, 877 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O": { "hide_name": 0, "bits": [ 881, 886, 889, 893 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 882, 887, 888, 885 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 895 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 896 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 898 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 900 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 902 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 904 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 906 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:66.24-66.35|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 915, 910, 909 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 922, 917, 911 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I1_I3": { "hide_name": 0, "bits": [ 912, 911, 891, 913 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 919, 914 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2_I3": { "hide_name": 0, "bits": [ 916, 909, 917, 918 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_CARRY_CO_CI_SB_LUT4_I3_O_SB_LUT4_I2_I3_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 920, 921, 910 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_1_O_SB_LUT4_O_I3_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 890, 891, 884, 892 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.r_fifo_push_1_SB_LUT4_I3_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 878 ], "attributes": { } }, "smi_ctrl_ins.soe_and_reset": { "hide_name": 0, "bits": [ 800 ], "attributes": { "hdlname": "smi_ctrl_ins soe_and_reset", "src": "smi_ctrl.v:117.10-117.23" } }, "smi_ctrl_ins.swe_and_reset": { "hide_name": 0, "bits": [ 924 ], "attributes": { "hdlname": "smi_ctrl_ins swe_and_reset", "src": "smi_ctrl.v:170.10-170.23" } }, "smi_ctrl_ins.tx_reg_state": { "hide_name": 0, "bits": [ 930, 932, 928, 926 ], "attributes": { "onehot": "00000000000000000000000000000001" } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_1_D": { "hide_name": 0, "bits": [ 927 ], "attributes": { } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_2_D": { "hide_name": 0, "bits": [ 931 ], "attributes": { } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D": { "hide_name": 0, "bits": [ 933 ], "attributes": { } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_3_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 934, 935 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "smi_ctrl_ins.tx_reg_state_SB_DFFN_Q_D": { "hide_name": 0, "bits": [ 925 ], "attributes": { } }, "smi_ctrl_ins.w_fifo_pull_trigger": { "hide_name": 0, "bits": [ 874 ], "attributes": { "hdlname": "smi_ctrl_ins w_fifo_pull_trigger", "src": "smi_ctrl.v:112.10-112.29" } }, "smi_ctrl_ins.w_fifo_pull_trigger_SB_DFFNE_Q_D": { "hide_name": 0, "bits": [ 936 ], "attributes": { } }, "smi_ctrl_ins.w_fifo_push_trigger": { "hide_name": 0, "bits": [ 923 ], "attributes": { "hdlname": "smi_ctrl_ins w_fifo_push_trigger", "src": "smi_ctrl.v:169.10-169.29" } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_D": { "hide_name": 0, "bits": [ 937 ], "attributes": { } }, "smi_ctrl_ins.w_fifo_push_trigger_SB_DFFNSR_Q_R": { "hide_name": 0, "bits": [ 938 ], "attributes": { } }, "spi_if_ins.i_data_out": { "hide_name": 0, "bits": [ 413, 406, 402, 398, 394, 388, 379, 377 ], "attributes": { "hdlname": "spi_if_ins i_data_out", "src": "spi_if.v:9.22-9.32" } }, "spi_if_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "spi_if_ins i_rst_b", "src": "spi_if.v:4.11-4.18" } }, "spi_if_ins.i_spi_cs_b": { "hide_name": 0, "bits": [ 48 ], "attributes": { "hdlname": "spi_if_ins i_spi_cs_b", "src": "spi_if.v:18.12-18.22" } }, "spi_if_ins.i_spi_mosi": { "hide_name": 0, "bits": [ 46 ], "attributes": { "hdlname": "spi_if_ins i_spi_mosi", "src": "spi_if.v:17.12-17.22" } }, "spi_if_ins.i_spi_sck": { "hide_name": 0, "bits": [ 47 ], "attributes": { "hdlname": "spi_if_ins i_spi_sck", "src": "spi_if.v:15.12-15.21" } }, "spi_if_ins.i_sys_clk": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "spi_if_ins i_sys_clk", "src": "spi_if.v:5.11-5.20" } }, "spi_if_ins.o_cs": { "hide_name": 0, "bits": [ 189, 73, 495, 947 ], "attributes": { "hdlname": "spi_if_ins o_cs", "src": "spi_if.v:10.22-10.26" } }, "spi_if_ins.o_cs_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 946 ], "attributes": { } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O": { "hide_name": 0, "bits": [ 403, 392, 381, 404 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 416, 409, 949, 400 ], "attributes": { } }, "spi_if_ins.o_cs_SB_LUT4_I0_3_O_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 384 ], "attributes": { "defaultvalue": "1", "src": "sys_ctrl.v:83.5-152.8|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "spi_if_ins.o_cs_SB_LUT4_I0_4_O": { "hide_name": 0, "bits": [ 106, 804, 411, 382 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_cs_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 3, 948 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_cs_SB_LUT4_I0_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 376 ], "attributes": { } }, "spi_if_ins.o_data_in": { "hide_name": 0, "bits": [ 140, 138, 89, 136, 134, 133, 132, 130 ], "attributes": { "hdlname": "spi_if_ins o_data_in", "src": "spi_if.v:8.22-8.31" } }, "spi_if_ins.o_data_in_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 951 ], "attributes": { } }, "spi_if_ins.o_fetch_cmd": { "hide_name": 0, "bits": [ 129 ], "attributes": { "hdlname": "spi_if_ins o_fetch_cmd", "src": "spi_if.v:11.22-11.33" } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 962, 968, 957 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 958 ], "attributes": { } }, "spi_if_ins.o_fetch_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 3, 962, 963, 964 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_ioc": { "hide_name": 0, "bits": [ 55, 54, 60, 59, 58 ], "attributes": { "hdlname": "spi_if_ins o_ioc", "src": "spi_if.v:7.22-7.27" } }, "spi_if_ins.o_ioc_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 72 ], "attributes": { } }, "spi_if_ins.o_load_cmd": { "hide_name": 0, "bits": [ 146 ], "attributes": { "hdlname": "spi_if_ins o_load_cmd", "src": "spi_if.v:12.22-12.32" } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 962, 961, 968 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 965 ], "attributes": { } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_E_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 962, 960, 961, 970 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.o_load_cmd_SB_DFFESR_Q_R": { "hide_name": 0, "bits": [ 959 ], "attributes": { } }, "spi_if_ins.o_spi_miso": { "hide_name": 0, "bits": [ 370 ], "attributes": { "hdlname": "spi_if_ins o_spi_miso", "src": "spi_if.v:16.12-16.22" } }, "spi_if_ins.r_tx_byte": { "hide_name": 0, "bits": [ 980, 979, 978, 977, 976, 975, 974, 973 ], "attributes": { "hdlname": "spi_if_ins r_tx_byte", "src": "spi_if.v:33.14-33.23" } }, "spi_if_ins.r_tx_byte_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 972 ], "attributes": { } }, "spi_if_ins.r_tx_data_valid": { "hide_name": 0, "bits": [ 982 ], "attributes": { "hdlname": "spi_if_ins r_tx_data_valid", "src": "spi_if.v:32.14-32.29" } }, "spi_if_ins.r_tx_data_valid_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 981 ], "attributes": { } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_1_O": { "hide_name": 0, "bits": [ 984 ], "attributes": { } }, "spi_if_ins.r_tx_data_valid_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 989, 988, 983 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.SCKr": { "hide_name": 0, "bits": [ 990, 988, 989 ], "attributes": { "hdlname": "spi_if_ins spi SCKr", "src": "spi_slave.v:61.13-61.17" } }, "spi_if_ins.spi.i_spi_cs_b": { "hide_name": 0, "bits": [ 48 ], "attributes": { "hdlname": "spi_if_ins spi i_spi_cs_b", "src": "spi_slave.v:13.16-13.26" } }, "spi_if_ins.spi.i_spi_mosi": { "hide_name": 0, "bits": [ 46 ], "attributes": { "hdlname": "spi_if_ins spi i_spi_mosi", "src": "spi_slave.v:12.16-12.26" } }, "spi_if_ins.spi.i_spi_sck": { "hide_name": 0, "bits": [ 47 ], "attributes": { "hdlname": "spi_if_ins spi i_spi_sck", "src": "spi_slave.v:10.16-10.25" } }, "spi_if_ins.spi.i_sys_clk": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "spi_if_ins spi i_sys_clk", "src": "spi_slave.v:3.22-3.31" } }, "spi_if_ins.spi.i_tx_byte": { "hide_name": 0, "bits": [ 980, 979, 978, 977, 976, 975, 974, 973 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_byte", "src": "spi_slave.v:7.22-7.31" } }, "spi_if_ins.spi.i_tx_data_valid": { "hide_name": 0, "bits": [ 982 ], "attributes": { "hdlname": "spi_if_ins spi i_tx_data_valid", "src": "spi_slave.v:6.22-6.37" } }, "spi_if_ins.spi.o_rx_byte": { "hide_name": 0, "bits": [ 956, 955, 954, 953, 952, 76, 75, 950 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_byte", "src": "spi_slave.v:5.22-5.31" } }, "spi_if_ins.spi.o_rx_data_valid": { "hide_name": 0, "bits": [ 962 ], "attributes": { "hdlname": "spi_if_ins spi o_rx_data_valid", "src": "spi_slave.v:4.22-4.37" } }, "spi_if_ins.spi.o_spi_miso": { "hide_name": 0, "bits": [ 370 ], "attributes": { "hdlname": "spi_if_ins spi o_spi_miso", "src": "spi_slave.v:11.16-11.26" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 1000 ], "attributes": { } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 973, 983, 1001 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 1002, 1003, 1004, 1005 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_D_SB_LUT4_O_I3_SB_LUT4_O_I1_SB_LUT4_O_2_I2": { "hide_name": 0, "bits": [ 1008, 1012, 1013 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.o_spi_miso_SB_DFFE_Q_E": { "hide_name": 0, "bits": [ 983, 985, 986 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.r2_rx_done": { "hide_name": 0, "bits": [ 1019 ], "attributes": { "hdlname": "spi_if_ins spi r2_rx_done", "src": "spi_slave.v:21.7-21.17" } }, "spi_if_ins.spi.r3_rx_done": { "hide_name": 0, "bits": [ 1020 ], "attributes": { "hdlname": "spi_if_ins spi r3_rx_done", "src": "spi_slave.v:22.7-22.17" } }, "spi_if_ins.spi.r3_rx_done_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 992 ], "attributes": { } }, "spi_if_ins.spi.r_rx_bit_count": { "hide_name": 0, "bits": [ 1025, 1024, 1022 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_bit_count", "src": "spi_slave.v:16.13-16.27" } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_1_D": { "hide_name": 0, "bits": [ 1023 ], "attributes": { } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_2_D": { "hide_name": 0, "bits": [ 1026 ], "attributes": { } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 1021 ], "attributes": { } }, "spi_if_ins.spi.r_rx_bit_count_SB_DFFSR_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1027 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "spi_slave.v:32.25-32.43|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "spi_if_ins.spi.r_rx_byte": { "hide_name": 0, "bits": [ 999, 998, 997, 996, 995, 994, 993, 991 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_byte", "src": "spi_slave.v:19.13-19.22" } }, "spi_if_ins.spi.r_rx_done": { "hide_name": 0, "bits": [ 1018 ], "attributes": { "hdlname": "spi_if_ins spi r_rx_done", "src": "spi_slave.v:20.7-20.16" } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 48, 1036 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1029 ], "attributes": { } }, "spi_if_ins.spi.r_rx_done_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 1037 ], "attributes": { } }, "spi_if_ins.spi.r_temp_rx_byte": { "hide_name": 0, "bits": [ 1035, 1034, 1033, 1032, 1031, 1030, 1028, "x" ], "attributes": { "hdlname": "spi_if_ins spi r_temp_rx_byte", "src": "spi_slave.v:18.13-18.27" } }, "spi_if_ins.spi.r_tx_bit_count": { "hide_name": 0, "bits": [ 1009, 1008, 1002 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_bit_count", "src": "spi_slave.v:17.13-17.27" } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESR_Q_D": { "hide_name": 0, "bits": [ 1038 ], "attributes": { } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_1_D": { "hide_name": 0, "bits": [ 1040 ], "attributes": { } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D": { "hide_name": 0, "bits": [ 1039 ], "attributes": { } }, "spi_if_ins.spi.r_tx_bit_count_SB_DFFESS_Q_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1041 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "spi_slave.v:75.27-75.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "spi_if_ins.spi.r_tx_byte": { "hide_name": 0, "bits": [ 1015, 1014, 1017, 1016, 1011, 1007, 1010, 1006 ], "attributes": { "hdlname": "spi_if_ins spi r_tx_byte", "src": "spi_slave.v:23.13-23.22" } }, "spi_if_ins.spi.r_tx_byte_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 987 ], "attributes": { } }, "spi_if_ins.state_if": { "hide_name": 0, "bits": [ 967, 966, 960 ], "attributes": { "hdlname": "spi_if_ins state_if", "src": "spi_if.v:29.14-29.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 1044 ], "attributes": { } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 962, 963 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_1_D_SB_LUT4_O_I2_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 3, 969, 1042, 971 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 950, 963, 1045 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "spi_if_ins.state_if_SB_DFFESR_Q_E": { "hide_name": 0, "bits": [ 1043 ], "attributes": { } }, "spi_if_ins.w_rx_data": { "hide_name": 0, "bits": [ 956, 955, 954, 953, 952, 76, 75, 950 ], "attributes": { "hdlname": "spi_if_ins w_rx_data", "src": "spi_if.v:31.14-31.23" } }, "spi_if_ins.w_rx_data_valid": { "hide_name": 0, "bits": [ 962 ], "attributes": { "hdlname": "spi_if_ins w_rx_data_valid", "src": "spi_if.v:30.14-30.29" } }, "sys_ctrl_ins.i_cs": { "hide_name": 0, "bits": [ 189 ], "attributes": { "hdlname": "sys_ctrl_ins i_cs", "src": "sys_ctrl.v:9.29-9.33" } }, "sys_ctrl_ins.i_cs_SB_DFFE_Q_D": { "hide_name": 0, "bits": [ 74 ], "attributes": { } }, "sys_ctrl_ins.i_cs_SB_LUT4_I2_I3": { "hide_name": 0, "bits": [ 129, 189, 1046 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "sys_ctrl_ins.i_data_in": { "hide_name": 0, "bits": [ 140, 138, 89, 136, 134, 133, 132, 130 ], "attributes": { "hdlname": "sys_ctrl_ins i_data_in", "src": "sys_ctrl.v:7.29-7.38" } }, "sys_ctrl_ins.i_fetch_cmd": { "hide_name": 0, "bits": [ 129 ], "attributes": { "hdlname": "sys_ctrl_ins i_fetch_cmd", "src": "sys_ctrl.v:10.29-10.40" } }, "sys_ctrl_ins.i_ioc": { "hide_name": 0, "bits": [ 55, 54, 60, 59, 58 ], "attributes": { "hdlname": "sys_ctrl_ins i_ioc", "src": "sys_ctrl.v:6.29-6.34" } }, "sys_ctrl_ins.i_load_cmd": { "hide_name": 0, "bits": [ 146 ], "attributes": { "hdlname": "sys_ctrl_ins i_load_cmd", "src": "sys_ctrl.v:11.29-11.39" } }, "sys_ctrl_ins.i_rst_b": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "sys_ctrl_ins i_rst_b", "src": "sys_ctrl.v:3.29-3.36" } }, "sys_ctrl_ins.i_sys_clk": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "sys_ctrl_ins i_sys_clk", "src": "sys_ctrl.v:4.29-4.38" } }, "sys_ctrl_ins.o_debug_loopback_tx": { "hide_name": 0, "bits": [ "x" ], "attributes": { "hdlname": "sys_ctrl_ins o_debug_loopback_tx", "src": "sys_ctrl.v:17.29-17.48" } }, "sys_ctrl_ins.o_tx_sample_gap": { "hide_name": 0, "bits": [ "x", "x", "x", "x" ], "attributes": { "hdlname": "sys_ctrl_ins o_tx_sample_gap", "src": "sys_ctrl.v:18.29-18.44" } }, "tx_fifo.full_o": { "hide_name": 0, "bits": [ 371 ], "attributes": { "hdlname": "tx_fifo full_o", "src": "complex_fifo.v:16.19-16.25" } }, "tx_fifo.full_o_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 1047 ], "attributes": { } }, "tx_fifo.full_o_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 1054, 1053, 1055 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.full_o_SB_LUT4_I1_O_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 1048, 1049, 1050, 1051 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr": { "hide_name": 0, "bits": [ 1080, 362, 344, 1075, 342, 1067, 1065, 1063, 1061, 339 ], "attributes": { "hdlname": "tx_fifo rd_addr", "src": "complex_fifo.v:24.23-24.30" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D": { "hide_name": 0, "bits": [ 1077, 1066 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1068 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 1069 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 1070 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 1071 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 1072 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_CARRY_CI_CO_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1060, 347 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1064, 1062 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 1073 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 1074 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_3_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1078, 1077 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_6_D": { "hide_name": 0, "bits": [ 1079, 1078 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_6_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1076 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:77.15-77.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D": { "hide_name": 0, "bits": [ 1081, 1079 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 351, 352, 353, 354 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_1_I1": { "hide_name": 0, "bits": [ 342, 1082, 1083, 1084 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_2_I1": { "hide_name": 0, "bits": [ 1063, 1086, 1087, 1088 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I1_O_SB_LUT4_O_2_I1_SB_LUT4_O_2_I3": { "hide_name": 0, "bits": [ 1063, 1065, 1089, 1090 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_7_D_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 364, 365, 366, 367 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_SB_DFFNESR_Q_8_D": { "hide_name": 0, "bits": [ 1091 ], "attributes": { } }, "tx_fifo.rd_addr_gray": { "hide_name": 0, "bits": [ 1108, 1106, 1105, 1103, 1102, 1100, 1097, 1095, 1092, 339 ], "attributes": { "hdlname": "tx_fifo rd_addr_gray", "src": "complex_fifo.v:25.23-25.35" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_1_D": { "hide_name": 0, "bits": [ 355, 356, 357 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D": { "hide_name": 0, "bits": [ 1089, 1096, 1099 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_3_D_SB_LUT4_O_1_I3": { "hide_name": 0, "bits": [ 343, 1078, 1077, 1098 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D": { "hide_name": 0, "bits": [ 1085, 1101 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_5_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 358, 359, 360, 361 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_6_D": { "hide_name": 0, "bits": [ 343, 1093, 1094 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_7_D": { "hide_name": 0, "bits": [ 1104 ], "attributes": { } }, "tx_fifo.rd_addr_gray_SB_DFFNESR_Q_9_D": { "hide_name": 0, "bits": [ 1107 ], "attributes": { } }, "tx_fifo.rd_addr_gray_wr": { "hide_name": 0, "bits": [ 1118, 1117, 1116, 1115, 1114, 1113, 1112, 1111, 1110, 1109 ], "attributes": { "hdlname": "tx_fifo rd_addr_gray_wr", "src": "complex_fifo.v:26.23-26.38" } }, "tx_fifo.rd_addr_gray_wr_r": { "hide_name": 0, "bits": [ 887, 880, 920, 915, 916, 922, 912, 890, 883, 882 ], "attributes": { "hdlname": "tx_fifo rd_addr_gray_wr_r", "src": "complex_fifo.v:27.23-27.40" } }, "tx_fifo.rd_en_i": { "hide_name": 0, "bits": [ 333 ], "attributes": { "hdlname": "tx_fifo rd_en_i", "src": "complex_fifo.v:13.28-13.35" } }, "tx_fifo.rd_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "tx_fifo rd_rst_b_i", "src": "complex_fifo.v:11.28-11.38" } }, "tx_fifo.wr_addr": { "hide_name": 0, "bits": [ 1137, 908, 879, 907, 905, 903, 901, 899, 897, 894 ], "attributes": { "hdlname": "tx_fifo wr_addr", "src": "complex_fifo.v:20.23-20.30" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 1121, 1120 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 915, 1136, 1133, 1122 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1123 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 1124 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 1125 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CI_CO_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1119, 1052 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO_CI": { "hide_name": 0, "bits": [ 1126 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_1_D_SB_LUT4_O_I3_SB_CARRY_CO_CI_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1128, 1121 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4_D": { "hide_name": 0, "bits": [ 1129, 1128 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1130 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_4_D_SB_LUT4_O_I3_SB_CARRY_CI_CO": { "hide_name": 0, "bits": [ 1127 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 1132, 1129 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_5_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1131 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_6_D": { "hide_name": 0, "bits": [ 1135, 1132 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_6_D_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 1134 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "complex_fifo.v:51.15-51.29|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 888, 1135 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_7_D_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 922, 880, 1138, 1139 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_SB_DFFESR_Q_8_D": { "hide_name": 0, "bits": [ 1140 ], "attributes": { } }, "tx_fifo.wr_addr_gray": { "hide_name": 0, "bits": [ 1156, 1154, 1152, 1150, 1149, 1147, 1146, 1144, 1142, 894 ], "attributes": { "hdlname": "tx_fifo wr_addr_gray", "src": "complex_fifo.v:21.23-21.35" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_1_D": { "hide_name": 0, "bits": [ 1141 ], "attributes": { } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_2_D": { "hide_name": 0, "bits": [ 1143 ], "attributes": { } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_3_D": { "hide_name": 0, "bits": [ 1145 ], "attributes": { } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_5_D": { "hide_name": 0, "bits": [ 1148 ], "attributes": { } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D": { "hide_name": 0, "bits": [ 915, 920, 1151, 1133 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 1056, 1057, 1058, 1059 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_7_D_SB_LUT4_I2_O_SB_LUT4_O_1_I3": { "hide_name": 0, "bits": [ 890, 1120, 1119, 1153 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "tx_fifo.wr_addr_gray_SB_DFFESR_Q_9_D": { "hide_name": 0, "bits": [ 1155 ], "attributes": { } }, "tx_fifo.wr_addr_gray_rd": { "hide_name": 0, "bits": [ 1166, 1165, 1164, 1163, 1162, 1161, 1160, 1159, 1158, 1157 ], "attributes": { "hdlname": "tx_fifo wr_addr_gray_rd", "src": "complex_fifo.v:22.23-22.38" } }, "tx_fifo.wr_addr_gray_rd_r": { "hide_name": 0, "bits": [ 363, 365, 345, 343, 1085, 364, 1089, 358, 355, 340 ], "attributes": { "hdlname": "tx_fifo wr_addr_gray_rd_r", "src": "complex_fifo.v:23.23-23.40" } }, "tx_fifo.wr_clk_i": { "hide_name": 0, "bits": [ 70 ], "attributes": { "hdlname": "tx_fifo wr_clk_i", "src": "complex_fifo.v:7.28-7.36" } }, "tx_fifo.wr_data_i": { "hide_name": 0, "bits": [ "0", "x", "x", "x", "x", "x", "x", "0", "0", "0", "0", "0", "0", "0", "x", "0", "x", "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x", "0", "x" ], "attributes": { "hdlname": "tx_fifo wr_data_i", "src": "complex_fifo.v:9.32-9.41" } }, "tx_fifo.wr_rst_b_i": { "hide_name": 0, "bits": [ 3 ], "attributes": { "hdlname": "tx_fifo wr_rst_b_i", "src": "complex_fifo.v:6.28-6.38" } }, "tx_sample_gap": { "hide_name": 0, "bits": [ "x", "x", "x", "x" ], "attributes": { "src": "top.v:185.14-185.27" } }, "w_clock_sys": { "hide_name": 0, "bits": [ 70 ], "attributes": { "src": "top.v:99.14-99.25" } }, "w_cs": { "hide_name": 0, "bits": [ 189, 73, 495, 947 ], "attributes": { "src": "top.v:103.14-103.18" } }, "w_debug_lb_tx": { "hide_name": 0, "bits": [ "x" ], "attributes": { "src": "top.v:184.8-184.21" } }, "w_fetch": { "hide_name": 0, "bits": [ 129 ], "attributes": { "src": "top.v:104.14-104.21" } }, "w_ioc": { "hide_name": 0, "bits": [ 55, 54, 60, 59, 58 ], "attributes": { "src": "top.v:100.14-100.19" } }, "w_load": { "hide_name": 0, "bits": [ 146 ], "attributes": { "src": "top.v:105.14-105.20" } }, "w_lvds_rx_09_d0": { "hide_name": 0, "bits": [ 162 ], "attributes": { "src": "top.v:349.8-349.23" } }, "w_lvds_rx_09_d1": { "hide_name": 0, "bits": [ 163 ], "attributes": { "src": "top.v:350.8-350.23" } }, "w_lvds_rx_09_d1_SB_LUT4_I0_O": { "hide_name": 0, "bits": [ 3, 1167 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1_SB_LUT4_I0_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 191 ], "attributes": { } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O": { "hide_name": 0, "bits": [ 174, 176, 177, 171 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I0": { "hide_name": 0, "bits": [ 1168 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 1171 ], "attributes": { } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I0_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 176, 1173, "1", 1174 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1": { "hide_name": 0, "bits": [ 1169 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 1175 ], "attributes": { } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_DFFER_Q_E": { "hide_name": 0, "bits": [ 1172 ], "attributes": { "defaultvalue": "1", "src": "lvds_rx.v:36.3-84.6|/usr/local/bin/../share/yosys/ice40/ff_map.v:14.63-14.116|/usr/local/bin/../share/yosys/ice40/cells_sim.v:656.8-656.9" } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I1_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 1174 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:8.8-8.10" } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I2": { "hide_name": 0, "bits": [ 1168, 1169, 1170, 176 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_DFFER_Q_D": { "hide_name": 0, "bits": [ 1177 ], "attributes": { } }, "w_lvds_rx_09_d1_SB_LUT4_I2_O_SB_LUT4_O_I2_SB_LUT4_I1_I3": { "hide_name": 0, "bits": [ 1176 ], "attributes": { "abc9_carry": "00000000000000000000000000000001", "src": "lvds_rx.v:64.28-64.45|/usr/local/bin/../share/yosys/ice40/arith_map.v:62.5-70.4|/usr/local/bin/../share/yosys/ice40/abc9_model.v:4.9-4.11" } }, "w_lvds_rx_24_d0": { "hide_name": 0, "bits": [ 165 ], "attributes": { "src": "top.v:351.8-351.23" } }, "w_lvds_rx_24_d1": { "hide_name": 0, "bits": [ 166 ], "attributes": { "src": "top.v:352.8-352.23" } }, "w_lvds_tx_d0": { "hide_name": 0, "bits": [ "0" ], "attributes": { "src": "top.v:420.8-420.20" } }, "w_lvds_tx_d1": { "hide_name": 0, "bits": [ "0" ], "attributes": { "src": "top.v:421.8-421.20" } }, "w_rx_09_fifo_data": { "hide_name": 0, "bits": [ 252, 217, 192, 194, 219, 241, 243, 245, 247, 249, 197, 200, 196, 199, 202, 204, 206, 208, 210, 212, 214, 216, 221, 223, 225, 227, 229, 231, 233, 235, 237, 239 ], "attributes": { "src": "top.v:357.15-357.32" } }, "w_rx_09_fifo_write_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "src": "top.v:355.8-355.30" } }, "w_rx_24_fifo_data": { "hide_name": 0, "bits": [ 330, 295, 270, 272, 297, 319, 321, 323, 325, 327, 275, 278, 274, 277, 280, 282, 284, 286, 288, 290, 292, 294, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317 ], "attributes": { "src": "top.v:361.15-361.32" } }, "w_rx_24_fifo_write_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "src": "top.v:359.8-359.30" } }, "w_rx_data": { "hide_name": 0, "bits": [ 140, 138, 89, 136, 134, 133, 132, 130 ], "attributes": { "src": "top.v:101.14-101.23" } }, "w_rx_fifo_full": { "hide_name": 0, "bits": [ 424 ], "attributes": { "src": "top.v:396.8-396.22" } }, "w_rx_fifo_pulled_data": { "hide_name": 0, "bits": [ 605, 613, 609, 617, 625, 633, 629, 637, 645, 653, 649, 657, 665, 673, 669, 677, 523, 531, 527, 535, 545, 553, 549, 557, 565, 573, 569, 577, 585, 593, 589, 597 ], "attributes": { "src": "top.v:395.15-395.36" } }, "w_rx_fifo_write_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "src": "top.v:391.8-391.27" } }, "w_rx_sync_input_09": { "hide_name": 0, "bits": [ 168 ], "attributes": { "src": "top.v:121.8-121.26" } }, "w_rx_sync_input_24": { "hide_name": 0, "bits": [ 253 ], "attributes": { "src": "top.v:122.8-122.26" } }, "w_smi_data_direction": { "hide_name": 0, "bits": [ 373 ], "attributes": { "src": "top.v:482.8-482.28" } }, "w_smi_data_input": { "hide_name": 0, "bits": [ 939, 940, 941, 942, 943, 944, 945, 929 ], "attributes": { "src": "top.v:518.14-518.30", "unused_bits": "0 1 2 3 4 5 6" } }, "w_smi_data_output": { "hide_name": 0, "bits": [ 858, 850, 842, 834, 826, 818, 810, 808 ], "attributes": { "src": "top.v:517.14-517.31" } }, "w_smi_read_req": { "hide_name": 0, "bits": [ 372 ], "attributes": { "src": "top.v:519.8-519.22" } }, "w_smi_read_req_SB_DFFSR_Q_D": { "hide_name": 0, "bits": [ 1178 ], "attributes": { } }, "w_smi_read_req_SB_LUT4_I1_I3": { "hide_name": 0, "bits": [ 740, 372, 718, 1179 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_smi_read_req_SB_LUT4_I1_O": { "hide_name": 0, "bits": [ 517, 516, 714, 1180 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O": { "hide_name": 0, "bits": [ 695, 696, 697 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0": { "hide_name": 0, "bits": [ 1182, 1183, 1184, 1185 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_1_I0_SB_LUT4_O_3_I3": { "hide_name": 0, "bits": [ 513, 730, 512, 1186 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 516, 691, 515, 1181 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_smi_read_req_SB_LUT4_I1_O_SB_LUT4_I3_O_SB_LUT4_O_I3_SB_LUT4_O_I3": { "hide_name": 0, "bits": [ 513, 730, 512, 1187 ], "attributes": { "force_downto": "00000000000000000000000000000001", "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:6.21-6.22" } }, "w_tx_data_io": { "hide_name": 0, "bits": [ 112, 98, 106, 101, 123, 121, 119, 117 ], "attributes": { "src": "top.v:108.14-108.26" } }, "w_tx_data_smi": { "hide_name": 0, "bits": [ 417, 410, 804 ], "attributes": { } }, "w_tx_fifo_data": { "hide_name": 0, "bits": [ "0", "x", "x", "x", "x", "x", "x", "0", "0", "0", "0", "0", "0", "0", "x", "0", "x", "0", "0", "0", "0", "0", "0", "0", "0", "0", "x", "x", "x", "x", "0", "x" ], "attributes": { "src": "top.v:458.15-458.29" } }, "w_tx_fifo_full": { "hide_name": 0, "bits": [ 371 ], "attributes": { "src": "top.v:454.8-454.22" } }, "w_tx_fifo_pull": { "hide_name": 0, "bits": [ 333 ], "attributes": { "src": "top.v:459.8-459.22" } }, "w_tx_fifo_read_clk": { "hide_name": 0, "bits": [ 164 ], "attributes": { "src": "top.v:456.8-456.26" } } } } } }