bug_fixes_integration_tx
meexmachina 2021-11-26 23:34:28 +02:00
commit 640a92ad94
703 zmienionych plików z 75 dodań i 55491 usunięć

32
.github/workflows/main.yml vendored 100644
Wyświetl plik

@ -0,0 +1,32 @@
name: "Caribou"
on: ['pull_request', 'push']
jobs:
tests:
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v2.2.0
- name: Environment
run: sudo apt-get install -y cmake libsoapysdr-dev libpthread-stubs0-dev
- name: libcaribou
run: |
cd software/libcariboulite/
mkdir build
cd build
cmake ..
make
cd ../../..
# nix for FPGA deps
- uses: cachix/install-nix-action@v16
with:
nix_path: nixpkgs=channel:nixos-unstable
- name: ice40 synth
run: |
cd firmware
make clean
nix-shell --command 'make top.bin'

Wyświetl plik

@ -2,22 +2,18 @@ PROG = ../software/libcariboulite/build/test/ice40programmer
filename = top
pcf_file = ./io.pcf
build:
top.bin:
yosys -p 'synth_ice40 -top top -json $(filename).json' $(filename).v
nextpnr-ice40 --lp1k --package qn84 --json $(filename).json --pcf $(pcf_file) --asc $(filename).asc
icepack $(filename).asc $(filename).bin
build: top.bin
echo "Generating code blob"
../software/utils/generate_bin_blob ./top.bin cariboulite_firmware ./h-files/cariboulite_fpga_firmware.h
echo "Copying dtbo blob h-file to the code directory"
cp ./h-files/cariboulite_fpga_firmware.h ../software/libcariboulite/src/
#build:
# yosys -p "synth_ice40 -blif $(filename).blif" $(filename).v
# arachne-pnr -d 1k -P qn84 -p $(pcf_file) $(filename).blif -o $(filename).asc
# icepack $(filename).asc $(filename).bin
prog: build
$(PROG) $(filename).bin

23
firmware/shell.nix 100644
Wyświetl plik

@ -0,0 +1,23 @@
# This is a nix-shell for use with the nix package manager.
# If you have nix installed, you may simply run `nix-shell`
# in this repo, and have all dependencies ready in the new shell.
{pkgs ? import (builtins.fetchTarball {
# Descriptive name to make the store path easier to identify
name = "nixos-2021-05";
# Commit hash
url = "https://github.com/NixOS/nixpkgs/archive/refs/tags/21.05.tar.gz";
# Hash obtained using `nix-prefetch-url --unpack <url>`
sha256 = "1ckzhh24mgz6jd1xhfgx0i9mijk6xjqxwsshnvq789xsavrmsc36";
}) {}}:
pkgs.mkShell {
buildInputs = with pkgs;
[
yosys
nextpnr
icestorm
];
}

Wyświetl plik

@ -0,0 +1 @@
build

Wyświetl plik

@ -1,6 +1,7 @@
cmake_minimum_required(VERSION 3.15)
project(cariboulite)
set(CMAKE_BUILD_TYPE Release)
set(CMAKE_POSITION_INDEPENDENT_CODE ON)
# Bring the headers
include_directories(./ ./include)

Wyświetl plik

@ -13,7 +13,7 @@ sudo apt update
sudo apt install gcc
# We used libsoapysdr-dev version 0.6.1-4+
sudo apt install libsoapysdr-dev
sudo apt install libsoapysdr-dev libpthread-stubs0-dev
# cmake version 3.15+
sudo apt install cmake

Wyświetl plik

@ -1,453 +0,0 @@
# This is the CMakeCache file.
# For build in directory: /home/pi/projects/cariboulite/software/libcariboulite/build
# It was generated by CMake: /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# You can edit this file to change values found and used by cmake.
# If you do not want to change any of the values, simply exit the editor.
# If you do want to change a value, simply edit, save, and exit the editor.
# The syntax for the file is as follows:
# KEY:TYPE=VALUE
# KEY is the name of a variable in the cache.
# TYPE is a hint to GUIs for the type of VALUE, DO NOT EDIT TYPE!.
# VALUE is the current value for the KEY.
########################
# EXTERNAL cache entries
########################
//Path to a program.
CMAKE_ADDR2LINE:FILEPATH=/usr/bin/addr2line
//Path to a program.
CMAKE_AR:FILEPATH=/usr/bin/ar
//Choose the type of build, options are: None Debug Release RelWithDebInfo
// MinSizeRel ...
CMAKE_BUILD_TYPE:STRING=
//Enable/Disable color output during build.
CMAKE_COLOR_MAKEFILE:BOOL=ON
//CXX compiler
CMAKE_CXX_COMPILER:FILEPATH=/usr/bin/c++
//A wrapper around 'ar' adding the appropriate '--plugin' option
// for the GCC compiler
CMAKE_CXX_COMPILER_AR:FILEPATH=/usr/bin/gcc-ar-8
//A wrapper around 'ranlib' adding the appropriate '--plugin' option
// for the GCC compiler
CMAKE_CXX_COMPILER_RANLIB:FILEPATH=/usr/bin/gcc-ranlib-8
//Flags used by the CXX compiler during all build types.
CMAKE_CXX_FLAGS:STRING=
//Flags used by the CXX compiler during DEBUG builds.
CMAKE_CXX_FLAGS_DEBUG:STRING=-g
//Flags used by the CXX compiler during MINSIZEREL builds.
CMAKE_CXX_FLAGS_MINSIZEREL:STRING=-Os -DNDEBUG
//Flags used by the CXX compiler during RELEASE builds.
CMAKE_CXX_FLAGS_RELEASE:STRING=-O3 -DNDEBUG
//Flags used by the CXX compiler during RELWITHDEBINFO builds.
CMAKE_CXX_FLAGS_RELWITHDEBINFO:STRING=-O2 -g -DNDEBUG
//C compiler
CMAKE_C_COMPILER:FILEPATH=/usr/bin/cc
//A wrapper around 'ar' adding the appropriate '--plugin' option
// for the GCC compiler
CMAKE_C_COMPILER_AR:FILEPATH=/usr/bin/gcc-ar-8
//A wrapper around 'ranlib' adding the appropriate '--plugin' option
// for the GCC compiler
CMAKE_C_COMPILER_RANLIB:FILEPATH=/usr/bin/gcc-ranlib-8
//Flags used by the C compiler during all build types.
CMAKE_C_FLAGS:STRING=
//Flags used by the C compiler during DEBUG builds.
CMAKE_C_FLAGS_DEBUG:STRING=-g
//Flags used by the C compiler during MINSIZEREL builds.
CMAKE_C_FLAGS_MINSIZEREL:STRING=-Os -DNDEBUG
//Flags used by the C compiler during RELEASE builds.
CMAKE_C_FLAGS_RELEASE:STRING=-O3 -DNDEBUG
//Flags used by the C compiler during RELWITHDEBINFO builds.
CMAKE_C_FLAGS_RELWITHDEBINFO:STRING=-O2 -g -DNDEBUG
//Path to a program.
CMAKE_DLLTOOL:FILEPATH=CMAKE_DLLTOOL-NOTFOUND
//Flags used by the linker during all build types.
CMAKE_EXE_LINKER_FLAGS:STRING=
//Flags used by the linker during DEBUG builds.
CMAKE_EXE_LINKER_FLAGS_DEBUG:STRING=
//Flags used by the linker during MINSIZEREL builds.
CMAKE_EXE_LINKER_FLAGS_MINSIZEREL:STRING=
//Flags used by the linker during RELEASE builds.
CMAKE_EXE_LINKER_FLAGS_RELEASE:STRING=
//Flags used by the linker during RELWITHDEBINFO builds.
CMAKE_EXE_LINKER_FLAGS_RELWITHDEBINFO:STRING=
//Enable/Disable output of compile commands during generation.
CMAKE_EXPORT_COMPILE_COMMANDS:BOOL=
//User executables (bin)
CMAKE_INSTALL_BINDIR:PATH=bin
//Read-only architecture-independent data (DATAROOTDIR)
CMAKE_INSTALL_DATADIR:PATH=
//Read-only architecture-independent data root (share)
CMAKE_INSTALL_DATAROOTDIR:PATH=share
//Documentation root (DATAROOTDIR/doc/PROJECT_NAME)
CMAKE_INSTALL_DOCDIR:PATH=
//C header files (include)
CMAKE_INSTALL_INCLUDEDIR:PATH=include
//Info documentation (DATAROOTDIR/info)
CMAKE_INSTALL_INFODIR:PATH=
//Object code libraries (lib)
CMAKE_INSTALL_LIBDIR:PATH=lib
//Program executables (libexec)
CMAKE_INSTALL_LIBEXECDIR:PATH=libexec
//Locale-dependent data (DATAROOTDIR/locale)
CMAKE_INSTALL_LOCALEDIR:PATH=
//Modifiable single-machine data (var)
CMAKE_INSTALL_LOCALSTATEDIR:PATH=var
//Man documentation (DATAROOTDIR/man)
CMAKE_INSTALL_MANDIR:PATH=
//C header files for non-gcc (/usr/include)
CMAKE_INSTALL_OLDINCLUDEDIR:PATH=/usr/include
//Install path prefix, prepended onto install directories.
CMAKE_INSTALL_PREFIX:PATH=/usr/local
//Run-time variable data (LOCALSTATEDIR/run)
CMAKE_INSTALL_RUNSTATEDIR:PATH=
//System admin executables (sbin)
CMAKE_INSTALL_SBINDIR:PATH=sbin
//Modifiable architecture-independent data (com)
CMAKE_INSTALL_SHAREDSTATEDIR:PATH=com
//Read-only single-machine data (etc)
CMAKE_INSTALL_SYSCONFDIR:PATH=etc
//Path to a program.
CMAKE_LINKER:FILEPATH=/usr/bin/ld
//Path to a program.
CMAKE_MAKE_PROGRAM:FILEPATH=/usr/bin/make
//Flags used by the linker during the creation of modules during
// all build types.
CMAKE_MODULE_LINKER_FLAGS:STRING=
//Flags used by the linker during the creation of modules during
// DEBUG builds.
CMAKE_MODULE_LINKER_FLAGS_DEBUG:STRING=
//Flags used by the linker during the creation of modules during
// MINSIZEREL builds.
CMAKE_MODULE_LINKER_FLAGS_MINSIZEREL:STRING=
//Flags used by the linker during the creation of modules during
// RELEASE builds.
CMAKE_MODULE_LINKER_FLAGS_RELEASE:STRING=
//Flags used by the linker during the creation of modules during
// RELWITHDEBINFO builds.
CMAKE_MODULE_LINKER_FLAGS_RELWITHDEBINFO:STRING=
//Path to a program.
CMAKE_NM:FILEPATH=/usr/bin/nm
//Path to a program.
CMAKE_OBJCOPY:FILEPATH=/usr/bin/objcopy
//Path to a program.
CMAKE_OBJDUMP:FILEPATH=/usr/bin/objdump
//Value Computed by CMake
CMAKE_PROJECT_DESCRIPTION:STATIC=
//Value Computed by CMake
CMAKE_PROJECT_HOMEPAGE_URL:STATIC=
//Value Computed by CMake
CMAKE_PROJECT_NAME:STATIC=cariboulite
//Path to a program.
CMAKE_RANLIB:FILEPATH=/usr/bin/ranlib
//Path to a program.
CMAKE_READELF:FILEPATH=/usr/bin/readelf
//Flags used by the linker during the creation of shared libraries
// during all build types.
CMAKE_SHARED_LINKER_FLAGS:STRING=
//Flags used by the linker during the creation of shared libraries
// during DEBUG builds.
CMAKE_SHARED_LINKER_FLAGS_DEBUG:STRING=
//Flags used by the linker during the creation of shared libraries
// during MINSIZEREL builds.
CMAKE_SHARED_LINKER_FLAGS_MINSIZEREL:STRING=
//Flags used by the linker during the creation of shared libraries
// during RELEASE builds.
CMAKE_SHARED_LINKER_FLAGS_RELEASE:STRING=
//Flags used by the linker during the creation of shared libraries
// during RELWITHDEBINFO builds.
CMAKE_SHARED_LINKER_FLAGS_RELWITHDEBINFO:STRING=
//If set, runtime paths are not added when installing shared libraries,
// but are added when building.
CMAKE_SKIP_INSTALL_RPATH:BOOL=NO
//If set, runtime paths are not added when using shared libraries.
CMAKE_SKIP_RPATH:BOOL=NO
//Flags used by the linker during the creation of static libraries
// during all build types.
CMAKE_STATIC_LINKER_FLAGS:STRING=
//Flags used by the linker during the creation of static libraries
// during DEBUG builds.
CMAKE_STATIC_LINKER_FLAGS_DEBUG:STRING=
//Flags used by the linker during the creation of static libraries
// during MINSIZEREL builds.
CMAKE_STATIC_LINKER_FLAGS_MINSIZEREL:STRING=
//Flags used by the linker during the creation of static libraries
// during RELEASE builds.
CMAKE_STATIC_LINKER_FLAGS_RELEASE:STRING=
//Flags used by the linker during the creation of static libraries
// during RELWITHDEBINFO builds.
CMAKE_STATIC_LINKER_FLAGS_RELWITHDEBINFO:STRING=
//Path to a program.
CMAKE_STRIP:FILEPATH=/usr/bin/strip
//If this value is on, makefiles will be generated without the
// .SILENT directive, and all commands will be echoed to the console
// during the make. This is useful for debugging only. With Visual
// Studio IDE projects all commands are done without /nologo.
CMAKE_VERBOSE_MAKEFILE:BOOL=FALSE
//The directory containing a CMake configuration file for SoapySDR.
SoapySDR_DIR:PATH=/usr/local/share/cmake/SoapySDR
//Value Computed by CMake
cariboulite_BINARY_DIR:STATIC=/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom
//Value Computed by CMake
cariboulite_SOURCE_DIR:STATIC=/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_eeprom
########################
# INTERNAL cache entries
########################
//ADVANCED property for variable: CMAKE_ADDR2LINE
CMAKE_ADDR2LINE-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_AR
CMAKE_AR-ADVANCED:INTERNAL=1
//This is the directory where this CMakeCache.txt was created
CMAKE_CACHEFILE_DIR:INTERNAL=/home/pi/projects/cariboulite/software/libcariboulite/build
//Major version of cmake used to create the current loaded cache
CMAKE_CACHE_MAJOR_VERSION:INTERNAL=3
//Minor version of cmake used to create the current loaded cache
CMAKE_CACHE_MINOR_VERSION:INTERNAL=18
//Patch version of cmake used to create the current loaded cache
CMAKE_CACHE_PATCH_VERSION:INTERNAL=4
//ADVANCED property for variable: CMAKE_COLOR_MAKEFILE
CMAKE_COLOR_MAKEFILE-ADVANCED:INTERNAL=1
//Path to CMake executable.
CMAKE_COMMAND:INTERNAL=/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
//Path to cpack program executable.
CMAKE_CPACK_COMMAND:INTERNAL=/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cpack
//Path to ctest program executable.
CMAKE_CTEST_COMMAND:INTERNAL=/usr/local/lib/python3.7/dist-packages/cmake/data/bin/ctest
//ADVANCED property for variable: CMAKE_CXX_COMPILER
CMAKE_CXX_COMPILER-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_CXX_COMPILER_AR
CMAKE_CXX_COMPILER_AR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_CXX_COMPILER_RANLIB
CMAKE_CXX_COMPILER_RANLIB-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_CXX_FLAGS
CMAKE_CXX_FLAGS-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_CXX_FLAGS_DEBUG
CMAKE_CXX_FLAGS_DEBUG-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_CXX_FLAGS_MINSIZEREL
CMAKE_CXX_FLAGS_MINSIZEREL-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_CXX_FLAGS_RELEASE
CMAKE_CXX_FLAGS_RELEASE-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_CXX_FLAGS_RELWITHDEBINFO
CMAKE_CXX_FLAGS_RELWITHDEBINFO-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_COMPILER
CMAKE_C_COMPILER-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_COMPILER_AR
CMAKE_C_COMPILER_AR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_COMPILER_RANLIB
CMAKE_C_COMPILER_RANLIB-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_FLAGS
CMAKE_C_FLAGS-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_FLAGS_DEBUG
CMAKE_C_FLAGS_DEBUG-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_FLAGS_MINSIZEREL
CMAKE_C_FLAGS_MINSIZEREL-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_FLAGS_RELEASE
CMAKE_C_FLAGS_RELEASE-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_C_FLAGS_RELWITHDEBINFO
CMAKE_C_FLAGS_RELWITHDEBINFO-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_DLLTOOL
CMAKE_DLLTOOL-ADVANCED:INTERNAL=1
//Executable file format
CMAKE_EXECUTABLE_FORMAT:INTERNAL=ELF
//ADVANCED property for variable: CMAKE_EXE_LINKER_FLAGS
CMAKE_EXE_LINKER_FLAGS-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_EXE_LINKER_FLAGS_DEBUG
CMAKE_EXE_LINKER_FLAGS_DEBUG-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_EXE_LINKER_FLAGS_MINSIZEREL
CMAKE_EXE_LINKER_FLAGS_MINSIZEREL-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_EXE_LINKER_FLAGS_RELEASE
CMAKE_EXE_LINKER_FLAGS_RELEASE-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_EXE_LINKER_FLAGS_RELWITHDEBINFO
CMAKE_EXE_LINKER_FLAGS_RELWITHDEBINFO-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_EXPORT_COMPILE_COMMANDS
CMAKE_EXPORT_COMPILE_COMMANDS-ADVANCED:INTERNAL=1
//Name of external makefile project generator.
CMAKE_EXTRA_GENERATOR:INTERNAL=
//Name of generator.
CMAKE_GENERATOR:INTERNAL=Unix Makefiles
//Generator instance identifier.
CMAKE_GENERATOR_INSTANCE:INTERNAL=
//Name of generator platform.
CMAKE_GENERATOR_PLATFORM:INTERNAL=
//Name of generator toolset.
CMAKE_GENERATOR_TOOLSET:INTERNAL=
//Source directory with the top level CMakeLists.txt file for this
// project
CMAKE_HOME_DIRECTORY:INTERNAL=/home/pi/projects/cariboulite/software/libcariboulite
//ADVANCED property for variable: CMAKE_INSTALL_BINDIR
CMAKE_INSTALL_BINDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_DATADIR
CMAKE_INSTALL_DATADIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_DATAROOTDIR
CMAKE_INSTALL_DATAROOTDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_DOCDIR
CMAKE_INSTALL_DOCDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_INCLUDEDIR
CMAKE_INSTALL_INCLUDEDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_INFODIR
CMAKE_INSTALL_INFODIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_LIBDIR
CMAKE_INSTALL_LIBDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_LIBEXECDIR
CMAKE_INSTALL_LIBEXECDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_LOCALEDIR
CMAKE_INSTALL_LOCALEDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_LOCALSTATEDIR
CMAKE_INSTALL_LOCALSTATEDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_MANDIR
CMAKE_INSTALL_MANDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_OLDINCLUDEDIR
CMAKE_INSTALL_OLDINCLUDEDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_RUNSTATEDIR
CMAKE_INSTALL_RUNSTATEDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_SBINDIR
CMAKE_INSTALL_SBINDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_SHAREDSTATEDIR
CMAKE_INSTALL_SHAREDSTATEDIR-ADVANCED:INTERNAL=1
//Install .so files without execute permission.
CMAKE_INSTALL_SO_NO_EXE:INTERNAL=1
//ADVANCED property for variable: CMAKE_INSTALL_SYSCONFDIR
CMAKE_INSTALL_SYSCONFDIR-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_LINKER
CMAKE_LINKER-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_MAKE_PROGRAM
CMAKE_MAKE_PROGRAM-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_MODULE_LINKER_FLAGS
CMAKE_MODULE_LINKER_FLAGS-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_MODULE_LINKER_FLAGS_DEBUG
CMAKE_MODULE_LINKER_FLAGS_DEBUG-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_MODULE_LINKER_FLAGS_MINSIZEREL
CMAKE_MODULE_LINKER_FLAGS_MINSIZEREL-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_MODULE_LINKER_FLAGS_RELEASE
CMAKE_MODULE_LINKER_FLAGS_RELEASE-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_MODULE_LINKER_FLAGS_RELWITHDEBINFO
CMAKE_MODULE_LINKER_FLAGS_RELWITHDEBINFO-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_NM
CMAKE_NM-ADVANCED:INTERNAL=1
//number of local generators
CMAKE_NUMBER_OF_MAKEFILES:INTERNAL=12
//ADVANCED property for variable: CMAKE_OBJCOPY
CMAKE_OBJCOPY-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_OBJDUMP
CMAKE_OBJDUMP-ADVANCED:INTERNAL=1
//Platform information initialized
CMAKE_PLATFORM_INFO_INITIALIZED:INTERNAL=1
//ADVANCED property for variable: CMAKE_RANLIB
CMAKE_RANLIB-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_READELF
CMAKE_READELF-ADVANCED:INTERNAL=1
//Path to CMake installation.
CMAKE_ROOT:INTERNAL=/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18
//ADVANCED property for variable: CMAKE_SHARED_LINKER_FLAGS
CMAKE_SHARED_LINKER_FLAGS-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_SHARED_LINKER_FLAGS_DEBUG
CMAKE_SHARED_LINKER_FLAGS_DEBUG-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_SHARED_LINKER_FLAGS_MINSIZEREL
CMAKE_SHARED_LINKER_FLAGS_MINSIZEREL-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_SHARED_LINKER_FLAGS_RELEASE
CMAKE_SHARED_LINKER_FLAGS_RELEASE-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_SHARED_LINKER_FLAGS_RELWITHDEBINFO
CMAKE_SHARED_LINKER_FLAGS_RELWITHDEBINFO-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_SKIP_INSTALL_RPATH
CMAKE_SKIP_INSTALL_RPATH-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_SKIP_RPATH
CMAKE_SKIP_RPATH-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_STATIC_LINKER_FLAGS
CMAKE_STATIC_LINKER_FLAGS-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_STATIC_LINKER_FLAGS_DEBUG
CMAKE_STATIC_LINKER_FLAGS_DEBUG-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_STATIC_LINKER_FLAGS_MINSIZEREL
CMAKE_STATIC_LINKER_FLAGS_MINSIZEREL-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_STATIC_LINKER_FLAGS_RELEASE
CMAKE_STATIC_LINKER_FLAGS_RELEASE-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_STATIC_LINKER_FLAGS_RELWITHDEBINFO
CMAKE_STATIC_LINKER_FLAGS_RELWITHDEBINFO-ADVANCED:INTERNAL=1
//ADVANCED property for variable: CMAKE_STRIP
CMAKE_STRIP-ADVANCED:INTERNAL=1
//uname command
CMAKE_UNAME:INTERNAL=/usr/bin/uname
//ADVANCED property for variable: CMAKE_VERBOSE_MAKEFILE
CMAKE_VERBOSE_MAKEFILE-ADVANCED:INTERNAL=1
//Test HAS_STD_CXX11
HAS_STD_CXX11:INTERNAL=1
//CMAKE_INSTALL_PREFIX during last run
_GNUInstallDirs_LAST_CMAKE_INSTALL_PREFIX:INTERNAL=/usr/local

Wyświetl plik

@ -1,77 +0,0 @@
set(CMAKE_C_COMPILER "/usr/bin/cc")
set(CMAKE_C_COMPILER_ARG1 "")
set(CMAKE_C_COMPILER_ID "GNU")
set(CMAKE_C_COMPILER_VERSION "8.3.0")
set(CMAKE_C_COMPILER_VERSION_INTERNAL "")
set(CMAKE_C_COMPILER_WRAPPER "")
set(CMAKE_C_STANDARD_COMPUTED_DEFAULT "11")
set(CMAKE_C_COMPILE_FEATURES "c_std_90;c_function_prototypes;c_std_99;c_restrict;c_variadic_macros;c_std_11;c_static_assert")
set(CMAKE_C90_COMPILE_FEATURES "c_std_90;c_function_prototypes")
set(CMAKE_C99_COMPILE_FEATURES "c_std_99;c_restrict;c_variadic_macros")
set(CMAKE_C11_COMPILE_FEATURES "c_std_11;c_static_assert")
set(CMAKE_C_PLATFORM_ID "Linux")
set(CMAKE_C_SIMULATE_ID "")
set(CMAKE_C_COMPILER_FRONTEND_VARIANT "")
set(CMAKE_C_SIMULATE_VERSION "")
set(CMAKE_AR "/usr/bin/ar")
set(CMAKE_C_COMPILER_AR "/usr/bin/gcc-ar-8")
set(CMAKE_RANLIB "/usr/bin/ranlib")
set(CMAKE_C_COMPILER_RANLIB "/usr/bin/gcc-ranlib-8")
set(CMAKE_LINKER "/usr/bin/ld")
set(CMAKE_MT "")
set(CMAKE_COMPILER_IS_GNUCC 1)
set(CMAKE_C_COMPILER_LOADED 1)
set(CMAKE_C_COMPILER_WORKS TRUE)
set(CMAKE_C_ABI_COMPILED TRUE)
set(CMAKE_COMPILER_IS_MINGW )
set(CMAKE_COMPILER_IS_CYGWIN )
if(CMAKE_COMPILER_IS_CYGWIN)
set(CYGWIN 1)
set(UNIX 1)
endif()
set(CMAKE_C_COMPILER_ENV_VAR "CC")
if(CMAKE_COMPILER_IS_MINGW)
set(MINGW 1)
endif()
set(CMAKE_C_COMPILER_ID_RUN 1)
set(CMAKE_C_SOURCE_FILE_EXTENSIONS c;m)
set(CMAKE_C_IGNORE_EXTENSIONS h;H;o;O;obj;OBJ;def;DEF;rc;RC)
set(CMAKE_C_LINKER_PREFERENCE 10)
# Save compiler ABI information.
set(CMAKE_C_SIZEOF_DATA_PTR "4")
set(CMAKE_C_COMPILER_ABI "ELF")
set(CMAKE_C_LIBRARY_ARCHITECTURE "arm-linux-gnueabihf")
if(CMAKE_C_SIZEOF_DATA_PTR)
set(CMAKE_SIZEOF_VOID_P "${CMAKE_C_SIZEOF_DATA_PTR}")
endif()
if(CMAKE_C_COMPILER_ABI)
set(CMAKE_INTERNAL_PLATFORM_ABI "${CMAKE_C_COMPILER_ABI}")
endif()
if(CMAKE_C_LIBRARY_ARCHITECTURE)
set(CMAKE_LIBRARY_ARCHITECTURE "arm-linux-gnueabihf")
endif()
set(CMAKE_C_CL_SHOWINCLUDES_PREFIX "")
if(CMAKE_C_CL_SHOWINCLUDES_PREFIX)
set(CMAKE_CL_SHOWINCLUDES_PREFIX "${CMAKE_C_CL_SHOWINCLUDES_PREFIX}")
endif()
set(CMAKE_C_IMPLICIT_INCLUDE_DIRECTORIES "/usr/lib/gcc/arm-linux-gnueabihf/8/include;/usr/local/include;/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed;/usr/include/arm-linux-gnueabihf;/usr/include")
set(CMAKE_C_IMPLICIT_LINK_LIBRARIES "gcc;gcc_s;c;gcc;gcc_s")
set(CMAKE_C_IMPLICIT_LINK_DIRECTORIES "/usr/lib/gcc/arm-linux-gnueabihf/8;/usr/lib/arm-linux-gnueabihf;/usr/lib;/lib/arm-linux-gnueabihf")
set(CMAKE_C_IMPLICIT_LINK_FRAMEWORK_DIRECTORIES "")

Wyświetl plik

@ -1,89 +0,0 @@
set(CMAKE_CXX_COMPILER "/usr/bin/c++")
set(CMAKE_CXX_COMPILER_ARG1 "")
set(CMAKE_CXX_COMPILER_ID "GNU")
set(CMAKE_CXX_COMPILER_VERSION "8.3.0")
set(CMAKE_CXX_COMPILER_VERSION_INTERNAL "")
set(CMAKE_CXX_COMPILER_WRAPPER "")
set(CMAKE_CXX_STANDARD_COMPUTED_DEFAULT "14")
set(CMAKE_CXX_COMPILE_FEATURES "cxx_std_98;cxx_template_template_parameters;cxx_std_11;cxx_alias_templates;cxx_alignas;cxx_alignof;cxx_attributes;cxx_auto_type;cxx_constexpr;cxx_decltype;cxx_decltype_incomplete_return_types;cxx_default_function_template_args;cxx_defaulted_functions;cxx_defaulted_move_initializers;cxx_delegating_constructors;cxx_deleted_functions;cxx_enum_forward_declarations;cxx_explicit_conversions;cxx_extended_friend_declarations;cxx_extern_templates;cxx_final;cxx_func_identifier;cxx_generalized_initializers;cxx_inheriting_constructors;cxx_inline_namespaces;cxx_lambdas;cxx_local_type_template_args;cxx_long_long_type;cxx_noexcept;cxx_nonstatic_member_init;cxx_nullptr;cxx_override;cxx_range_for;cxx_raw_string_literals;cxx_reference_qualified_functions;cxx_right_angle_brackets;cxx_rvalue_references;cxx_sizeof_member;cxx_static_assert;cxx_strong_enums;cxx_thread_local;cxx_trailing_return_types;cxx_unicode_literals;cxx_uniform_initialization;cxx_unrestricted_unions;cxx_user_literals;cxx_variadic_macros;cxx_variadic_templates;cxx_std_14;cxx_aggregate_default_initializers;cxx_attribute_deprecated;cxx_binary_literals;cxx_contextual_conversions;cxx_decltype_auto;cxx_digit_separators;cxx_generic_lambdas;cxx_lambda_init_captures;cxx_relaxed_constexpr;cxx_return_type_deduction;cxx_variable_templates;cxx_std_17;cxx_std_20")
set(CMAKE_CXX98_COMPILE_FEATURES "cxx_std_98;cxx_template_template_parameters")
set(CMAKE_CXX11_COMPILE_FEATURES "cxx_std_11;cxx_alias_templates;cxx_alignas;cxx_alignof;cxx_attributes;cxx_auto_type;cxx_constexpr;cxx_decltype;cxx_decltype_incomplete_return_types;cxx_default_function_template_args;cxx_defaulted_functions;cxx_defaulted_move_initializers;cxx_delegating_constructors;cxx_deleted_functions;cxx_enum_forward_declarations;cxx_explicit_conversions;cxx_extended_friend_declarations;cxx_extern_templates;cxx_final;cxx_func_identifier;cxx_generalized_initializers;cxx_inheriting_constructors;cxx_inline_namespaces;cxx_lambdas;cxx_local_type_template_args;cxx_long_long_type;cxx_noexcept;cxx_nonstatic_member_init;cxx_nullptr;cxx_override;cxx_range_for;cxx_raw_string_literals;cxx_reference_qualified_functions;cxx_right_angle_brackets;cxx_rvalue_references;cxx_sizeof_member;cxx_static_assert;cxx_strong_enums;cxx_thread_local;cxx_trailing_return_types;cxx_unicode_literals;cxx_uniform_initialization;cxx_unrestricted_unions;cxx_user_literals;cxx_variadic_macros;cxx_variadic_templates")
set(CMAKE_CXX14_COMPILE_FEATURES "cxx_std_14;cxx_aggregate_default_initializers;cxx_attribute_deprecated;cxx_binary_literals;cxx_contextual_conversions;cxx_decltype_auto;cxx_digit_separators;cxx_generic_lambdas;cxx_lambda_init_captures;cxx_relaxed_constexpr;cxx_return_type_deduction;cxx_variable_templates")
set(CMAKE_CXX17_COMPILE_FEATURES "cxx_std_17")
set(CMAKE_CXX20_COMPILE_FEATURES "cxx_std_20")
set(CMAKE_CXX_PLATFORM_ID "Linux")
set(CMAKE_CXX_SIMULATE_ID "")
set(CMAKE_CXX_COMPILER_FRONTEND_VARIANT "")
set(CMAKE_CXX_SIMULATE_VERSION "")
set(CMAKE_AR "/usr/bin/ar")
set(CMAKE_CXX_COMPILER_AR "/usr/bin/gcc-ar-8")
set(CMAKE_RANLIB "/usr/bin/ranlib")
set(CMAKE_CXX_COMPILER_RANLIB "/usr/bin/gcc-ranlib-8")
set(CMAKE_LINKER "/usr/bin/ld")
set(CMAKE_MT "")
set(CMAKE_COMPILER_IS_GNUCXX 1)
set(CMAKE_CXX_COMPILER_LOADED 1)
set(CMAKE_CXX_COMPILER_WORKS TRUE)
set(CMAKE_CXX_ABI_COMPILED TRUE)
set(CMAKE_COMPILER_IS_MINGW )
set(CMAKE_COMPILER_IS_CYGWIN )
if(CMAKE_COMPILER_IS_CYGWIN)
set(CYGWIN 1)
set(UNIX 1)
endif()
set(CMAKE_CXX_COMPILER_ENV_VAR "CXX")
if(CMAKE_COMPILER_IS_MINGW)
set(MINGW 1)
endif()
set(CMAKE_CXX_COMPILER_ID_RUN 1)
set(CMAKE_CXX_SOURCE_FILE_EXTENSIONS C;M;c++;cc;cpp;cxx;m;mm;CPP)
set(CMAKE_CXX_IGNORE_EXTENSIONS inl;h;hpp;HPP;H;o;O;obj;OBJ;def;DEF;rc;RC)
foreach (lang C OBJC OBJCXX)
if (CMAKE_${lang}_COMPILER_ID_RUN)
foreach(extension IN LISTS CMAKE_${lang}_SOURCE_FILE_EXTENSIONS)
list(REMOVE_ITEM CMAKE_CXX_SOURCE_FILE_EXTENSIONS ${extension})
endforeach()
endif()
endforeach()
set(CMAKE_CXX_LINKER_PREFERENCE 30)
set(CMAKE_CXX_LINKER_PREFERENCE_PROPAGATES 1)
# Save compiler ABI information.
set(CMAKE_CXX_SIZEOF_DATA_PTR "4")
set(CMAKE_CXX_COMPILER_ABI "ELF")
set(CMAKE_CXX_LIBRARY_ARCHITECTURE "arm-linux-gnueabihf")
if(CMAKE_CXX_SIZEOF_DATA_PTR)
set(CMAKE_SIZEOF_VOID_P "${CMAKE_CXX_SIZEOF_DATA_PTR}")
endif()
if(CMAKE_CXX_COMPILER_ABI)
set(CMAKE_INTERNAL_PLATFORM_ABI "${CMAKE_CXX_COMPILER_ABI}")
endif()
if(CMAKE_CXX_LIBRARY_ARCHITECTURE)
set(CMAKE_LIBRARY_ARCHITECTURE "arm-linux-gnueabihf")
endif()
set(CMAKE_CXX_CL_SHOWINCLUDES_PREFIX "")
if(CMAKE_CXX_CL_SHOWINCLUDES_PREFIX)
set(CMAKE_CL_SHOWINCLUDES_PREFIX "${CMAKE_CXX_CL_SHOWINCLUDES_PREFIX}")
endif()
set(CMAKE_CXX_IMPLICIT_INCLUDE_DIRECTORIES "/usr/include/c++/8;/usr/include/arm-linux-gnueabihf/c++/8;/usr/include/c++/8/backward;/usr/lib/gcc/arm-linux-gnueabihf/8/include;/usr/local/include;/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed;/usr/include/arm-linux-gnueabihf;/usr/include")
set(CMAKE_CXX_IMPLICIT_LINK_LIBRARIES "stdc++;m;gcc_s;gcc;c;gcc_s;gcc")
set(CMAKE_CXX_IMPLICIT_LINK_DIRECTORIES "/usr/lib/gcc/arm-linux-gnueabihf/8;/usr/lib/arm-linux-gnueabihf;/usr/lib;/lib/arm-linux-gnueabihf")
set(CMAKE_CXX_IMPLICIT_LINK_FRAMEWORK_DIRECTORIES "")

Wyświetl plik

@ -1,15 +0,0 @@
set(CMAKE_HOST_SYSTEM "Linux-5.10.60-v7l+")
set(CMAKE_HOST_SYSTEM_NAME "Linux")
set(CMAKE_HOST_SYSTEM_VERSION "5.10.60-v7l+")
set(CMAKE_HOST_SYSTEM_PROCESSOR "armv7l")
set(CMAKE_SYSTEM "Linux-5.10.60-v7l+")
set(CMAKE_SYSTEM_NAME "Linux")
set(CMAKE_SYSTEM_VERSION "5.10.60-v7l+")
set(CMAKE_SYSTEM_PROCESSOR "armv7l")
set(CMAKE_CROSSCOMPILING "FALSE")
set(CMAKE_SYSTEM_LOADED 1)

Wyświetl plik

@ -1,674 +0,0 @@
#ifdef __cplusplus
# error "A C++ compiler has been selected for C."
#endif
#if defined(__18CXX)
# define ID_VOID_MAIN
#endif
#if defined(__CLASSIC_C__)
/* cv-qualifiers did not exist in K&R C */
# define const
# define volatile
#endif
/* Version number components: V=Version, R=Revision, P=Patch
Version date components: YYYY=Year, MM=Month, DD=Day */
#if defined(__INTEL_COMPILER) || defined(__ICC)
# define COMPILER_ID "Intel"
# if defined(_MSC_VER)
# define SIMULATE_ID "MSVC"
# endif
# if defined(__GNUC__)
# define SIMULATE_ID "GNU"
# endif
/* __INTEL_COMPILER = VRP */
# define COMPILER_VERSION_MAJOR DEC(__INTEL_COMPILER/100)
# define COMPILER_VERSION_MINOR DEC(__INTEL_COMPILER/10 % 10)
# if defined(__INTEL_COMPILER_UPDATE)
# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER_UPDATE)
# else
# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER % 10)
# endif
# if defined(__INTEL_COMPILER_BUILD_DATE)
/* __INTEL_COMPILER_BUILD_DATE = YYYYMMDD */
# define COMPILER_VERSION_TWEAK DEC(__INTEL_COMPILER_BUILD_DATE)
# endif
# if defined(_MSC_VER)
/* _MSC_VER = VVRR */
# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100)
# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100)
# endif
# if defined(__GNUC__)
# define SIMULATE_VERSION_MAJOR DEC(__GNUC__)
# elif defined(__GNUG__)
# define SIMULATE_VERSION_MAJOR DEC(__GNUG__)
# endif
# if defined(__GNUC_MINOR__)
# define SIMULATE_VERSION_MINOR DEC(__GNUC_MINOR__)
# endif
# if defined(__GNUC_PATCHLEVEL__)
# define SIMULATE_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__)
# endif
#elif defined(__PATHCC__)
# define COMPILER_ID "PathScale"
# define COMPILER_VERSION_MAJOR DEC(__PATHCC__)
# define COMPILER_VERSION_MINOR DEC(__PATHCC_MINOR__)
# if defined(__PATHCC_PATCHLEVEL__)
# define COMPILER_VERSION_PATCH DEC(__PATHCC_PATCHLEVEL__)
# endif
#elif defined(__BORLANDC__) && defined(__CODEGEARC_VERSION__)
# define COMPILER_ID "Embarcadero"
# define COMPILER_VERSION_MAJOR HEX(__CODEGEARC_VERSION__>>24 & 0x00FF)
# define COMPILER_VERSION_MINOR HEX(__CODEGEARC_VERSION__>>16 & 0x00FF)
# define COMPILER_VERSION_PATCH DEC(__CODEGEARC_VERSION__ & 0xFFFF)
#elif defined(__BORLANDC__)
# define COMPILER_ID "Borland"
/* __BORLANDC__ = 0xVRR */
# define COMPILER_VERSION_MAJOR HEX(__BORLANDC__>>8)
# define COMPILER_VERSION_MINOR HEX(__BORLANDC__ & 0xFF)
#elif defined(__WATCOMC__) && __WATCOMC__ < 1200
# define COMPILER_ID "Watcom"
/* __WATCOMC__ = VVRR */
# define COMPILER_VERSION_MAJOR DEC(__WATCOMC__ / 100)
# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10)
# if (__WATCOMC__ % 10) > 0
# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10)
# endif
#elif defined(__WATCOMC__)
# define COMPILER_ID "OpenWatcom"
/* __WATCOMC__ = VVRP + 1100 */
# define COMPILER_VERSION_MAJOR DEC((__WATCOMC__ - 1100) / 100)
# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10)
# if (__WATCOMC__ % 10) > 0
# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10)
# endif
#elif defined(__SUNPRO_C)
# define COMPILER_ID "SunPro"
# if __SUNPRO_C >= 0x5100
/* __SUNPRO_C = 0xVRRP */
# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_C>>12)
# define COMPILER_VERSION_MINOR HEX(__SUNPRO_C>>4 & 0xFF)
# define COMPILER_VERSION_PATCH HEX(__SUNPRO_C & 0xF)
# else
/* __SUNPRO_CC = 0xVRP */
# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_C>>8)
# define COMPILER_VERSION_MINOR HEX(__SUNPRO_C>>4 & 0xF)
# define COMPILER_VERSION_PATCH HEX(__SUNPRO_C & 0xF)
# endif
#elif defined(__HP_cc)
# define COMPILER_ID "HP"
/* __HP_cc = VVRRPP */
# define COMPILER_VERSION_MAJOR DEC(__HP_cc/10000)
# define COMPILER_VERSION_MINOR DEC(__HP_cc/100 % 100)
# define COMPILER_VERSION_PATCH DEC(__HP_cc % 100)
#elif defined(__DECC)
# define COMPILER_ID "Compaq"
/* __DECC_VER = VVRRTPPPP */
# define COMPILER_VERSION_MAJOR DEC(__DECC_VER/10000000)
# define COMPILER_VERSION_MINOR DEC(__DECC_VER/100000 % 100)
# define COMPILER_VERSION_PATCH DEC(__DECC_VER % 10000)
#elif defined(__IBMC__) && defined(__COMPILER_VER__)
# define COMPILER_ID "zOS"
/* __IBMC__ = VRP */
# define COMPILER_VERSION_MAJOR DEC(__IBMC__/100)
# define COMPILER_VERSION_MINOR DEC(__IBMC__/10 % 10)
# define COMPILER_VERSION_PATCH DEC(__IBMC__ % 10)
#elif defined(__ibmxl__) && defined(__clang__)
# define COMPILER_ID "XLClang"
# define COMPILER_VERSION_MAJOR DEC(__ibmxl_version__)
# define COMPILER_VERSION_MINOR DEC(__ibmxl_release__)
# define COMPILER_VERSION_PATCH DEC(__ibmxl_modification__)
# define COMPILER_VERSION_TWEAK DEC(__ibmxl_ptf_fix_level__)
#elif defined(__IBMC__) && !defined(__COMPILER_VER__) && __IBMC__ >= 800
# define COMPILER_ID "XL"
/* __IBMC__ = VRP */
# define COMPILER_VERSION_MAJOR DEC(__IBMC__/100)
# define COMPILER_VERSION_MINOR DEC(__IBMC__/10 % 10)
# define COMPILER_VERSION_PATCH DEC(__IBMC__ % 10)
#elif defined(__IBMC__) && !defined(__COMPILER_VER__) && __IBMC__ < 800
# define COMPILER_ID "VisualAge"
/* __IBMC__ = VRP */
# define COMPILER_VERSION_MAJOR DEC(__IBMC__/100)
# define COMPILER_VERSION_MINOR DEC(__IBMC__/10 % 10)
# define COMPILER_VERSION_PATCH DEC(__IBMC__ % 10)
#elif defined(__PGI)
# define COMPILER_ID "PGI"
# define COMPILER_VERSION_MAJOR DEC(__PGIC__)
# define COMPILER_VERSION_MINOR DEC(__PGIC_MINOR__)
# if defined(__PGIC_PATCHLEVEL__)
# define COMPILER_VERSION_PATCH DEC(__PGIC_PATCHLEVEL__)
# endif
#elif defined(_CRAYC)
# define COMPILER_ID "Cray"
# define COMPILER_VERSION_MAJOR DEC(_RELEASE_MAJOR)
# define COMPILER_VERSION_MINOR DEC(_RELEASE_MINOR)
#elif defined(__TI_COMPILER_VERSION__)
# define COMPILER_ID "TI"
/* __TI_COMPILER_VERSION__ = VVVRRRPPP */
# define COMPILER_VERSION_MAJOR DEC(__TI_COMPILER_VERSION__/1000000)
# define COMPILER_VERSION_MINOR DEC(__TI_COMPILER_VERSION__/1000 % 1000)
# define COMPILER_VERSION_PATCH DEC(__TI_COMPILER_VERSION__ % 1000)
#elif defined(__FUJITSU) || defined(__FCC_VERSION) || defined(__fcc_version)
# define COMPILER_ID "Fujitsu"
#elif defined(__ghs__)
# define COMPILER_ID "GHS"
/* __GHS_VERSION_NUMBER = VVVVRP */
# ifdef __GHS_VERSION_NUMBER
# define COMPILER_VERSION_MAJOR DEC(__GHS_VERSION_NUMBER / 100)
# define COMPILER_VERSION_MINOR DEC(__GHS_VERSION_NUMBER / 10 % 10)
# define COMPILER_VERSION_PATCH DEC(__GHS_VERSION_NUMBER % 10)
# endif
#elif defined(__TINYC__)
# define COMPILER_ID "TinyCC"
#elif defined(__BCC__)
# define COMPILER_ID "Bruce"
#elif defined(__SCO_VERSION__)
# define COMPILER_ID "SCO"
#elif defined(__ARMCC_VERSION) && !defined(__clang__)
# define COMPILER_ID "ARMCC"
#if __ARMCC_VERSION >= 1000000
/* __ARMCC_VERSION = VRRPPPP */
# define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/1000000)
# define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 100)
# define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000)
#else
/* __ARMCC_VERSION = VRPPPP */
# define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/100000)
# define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 10)
# define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000)
#endif
#elif defined(__clang__) && defined(__apple_build_version__)
# define COMPILER_ID "AppleClang"
# if defined(_MSC_VER)
# define SIMULATE_ID "MSVC"
# endif
# define COMPILER_VERSION_MAJOR DEC(__clang_major__)
# define COMPILER_VERSION_MINOR DEC(__clang_minor__)
# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__)
# if defined(_MSC_VER)
/* _MSC_VER = VVRR */
# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100)
# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100)
# endif
# define COMPILER_VERSION_TWEAK DEC(__apple_build_version__)
#elif defined(__clang__) && defined(__ARMCOMPILER_VERSION)
# define COMPILER_ID "ARMClang"
# define COMPILER_VERSION_MAJOR DEC(__ARMCOMPILER_VERSION/1000000)
# define COMPILER_VERSION_MINOR DEC(__ARMCOMPILER_VERSION/10000 % 100)
# define COMPILER_VERSION_PATCH DEC(__ARMCOMPILER_VERSION % 10000)
# define COMPILER_VERSION_INTERNAL DEC(__ARMCOMPILER_VERSION)
#elif defined(__clang__)
# define COMPILER_ID "Clang"
# if defined(_MSC_VER)
# define SIMULATE_ID "MSVC"
# endif
# define COMPILER_VERSION_MAJOR DEC(__clang_major__)
# define COMPILER_VERSION_MINOR DEC(__clang_minor__)
# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__)
# if defined(_MSC_VER)
/* _MSC_VER = VVRR */
# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100)
# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100)
# endif
#elif defined(__GNUC__)
# define COMPILER_ID "GNU"
# define COMPILER_VERSION_MAJOR DEC(__GNUC__)
# if defined(__GNUC_MINOR__)
# define COMPILER_VERSION_MINOR DEC(__GNUC_MINOR__)
# endif
# if defined(__GNUC_PATCHLEVEL__)
# define COMPILER_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__)
# endif
#elif defined(_MSC_VER)
# define COMPILER_ID "MSVC"
/* _MSC_VER = VVRR */
# define COMPILER_VERSION_MAJOR DEC(_MSC_VER / 100)
# define COMPILER_VERSION_MINOR DEC(_MSC_VER % 100)
# if defined(_MSC_FULL_VER)
# if _MSC_VER >= 1400
/* _MSC_FULL_VER = VVRRPPPPP */
# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 100000)
# else
/* _MSC_FULL_VER = VVRRPPPP */
# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 10000)
# endif
# endif
# if defined(_MSC_BUILD)
# define COMPILER_VERSION_TWEAK DEC(_MSC_BUILD)
# endif
#elif defined(__VISUALDSPVERSION__) || defined(__ADSPBLACKFIN__) || defined(__ADSPTS__) || defined(__ADSP21000__)
# define COMPILER_ID "ADSP"
#if defined(__VISUALDSPVERSION__)
/* __VISUALDSPVERSION__ = 0xVVRRPP00 */
# define COMPILER_VERSION_MAJOR HEX(__VISUALDSPVERSION__>>24)
# define COMPILER_VERSION_MINOR HEX(__VISUALDSPVERSION__>>16 & 0xFF)
# define COMPILER_VERSION_PATCH HEX(__VISUALDSPVERSION__>>8 & 0xFF)
#endif
#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC)
# define COMPILER_ID "IAR"
# if defined(__VER__) && defined(__ICCARM__)
# define COMPILER_VERSION_MAJOR DEC((__VER__) / 1000000)
# define COMPILER_VERSION_MINOR DEC(((__VER__) / 1000) % 1000)
# define COMPILER_VERSION_PATCH DEC((__VER__) % 1000)
# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__)
# elif defined(__VER__) && (defined(__ICCAVR__) || defined(__ICCRX__) || defined(__ICCRH850__) || defined(__ICCRL78__) || defined(__ICC430__) || defined(__ICCRISCV__) || defined(__ICCV850__) || defined(__ICC8051__))
# define COMPILER_VERSION_MAJOR DEC((__VER__) / 100)
# define COMPILER_VERSION_MINOR DEC((__VER__) - (((__VER__) / 100)*100))
# define COMPILER_VERSION_PATCH DEC(__SUBVERSION__)
# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__)
# endif
#elif defined(__SDCC_VERSION_MAJOR) || defined(SDCC)
# define COMPILER_ID "SDCC"
# if defined(__SDCC_VERSION_MAJOR)
# define COMPILER_VERSION_MAJOR DEC(__SDCC_VERSION_MAJOR)
# define COMPILER_VERSION_MINOR DEC(__SDCC_VERSION_MINOR)
# define COMPILER_VERSION_PATCH DEC(__SDCC_VERSION_PATCH)
# else
/* SDCC = VRP */
# define COMPILER_VERSION_MAJOR DEC(SDCC/100)
# define COMPILER_VERSION_MINOR DEC(SDCC/10 % 10)
# define COMPILER_VERSION_PATCH DEC(SDCC % 10)
# endif
/* These compilers are either not known or too old to define an
identification macro. Try to identify the platform and guess that
it is the native compiler. */
#elif defined(__hpux) || defined(__hpua)
# define COMPILER_ID "HP"
#else /* unknown compiler */
# define COMPILER_ID ""
#endif
/* Construct the string literal in pieces to prevent the source from
getting matched. Store it in a pointer rather than an array
because some compilers will just produce instructions to fill the
array rather than assigning a pointer to a static array. */
char const* info_compiler = "INFO" ":" "compiler[" COMPILER_ID "]";
#ifdef SIMULATE_ID
char const* info_simulate = "INFO" ":" "simulate[" SIMULATE_ID "]";
#endif
#ifdef __QNXNTO__
char const* qnxnto = "INFO" ":" "qnxnto[]";
#endif
#if defined(__CRAYXE) || defined(__CRAYXC)
char const *info_cray = "INFO" ":" "compiler_wrapper[CrayPrgEnv]";
#endif
#define STRINGIFY_HELPER(X) #X
#define STRINGIFY(X) STRINGIFY_HELPER(X)
/* Identify known platforms by name. */
#if defined(__linux) || defined(__linux__) || defined(linux)
# define PLATFORM_ID "Linux"
#elif defined(__CYGWIN__)
# define PLATFORM_ID "Cygwin"
#elif defined(__MINGW32__)
# define PLATFORM_ID "MinGW"
#elif defined(__APPLE__)
# define PLATFORM_ID "Darwin"
#elif defined(_WIN32) || defined(__WIN32__) || defined(WIN32)
# define PLATFORM_ID "Windows"
#elif defined(__FreeBSD__) || defined(__FreeBSD)
# define PLATFORM_ID "FreeBSD"
#elif defined(__NetBSD__) || defined(__NetBSD)
# define PLATFORM_ID "NetBSD"
#elif defined(__OpenBSD__) || defined(__OPENBSD)
# define PLATFORM_ID "OpenBSD"
#elif defined(__sun) || defined(sun)
# define PLATFORM_ID "SunOS"
#elif defined(_AIX) || defined(__AIX) || defined(__AIX__) || defined(__aix) || defined(__aix__)
# define PLATFORM_ID "AIX"
#elif defined(__hpux) || defined(__hpux__)
# define PLATFORM_ID "HP-UX"
#elif defined(__HAIKU__)
# define PLATFORM_ID "Haiku"
#elif defined(__BeOS) || defined(__BEOS__) || defined(_BEOS)
# define PLATFORM_ID "BeOS"
#elif defined(__QNX__) || defined(__QNXNTO__)
# define PLATFORM_ID "QNX"
#elif defined(__tru64) || defined(_tru64) || defined(__TRU64__)
# define PLATFORM_ID "Tru64"
#elif defined(__riscos) || defined(__riscos__)
# define PLATFORM_ID "RISCos"
#elif defined(__sinix) || defined(__sinix__) || defined(__SINIX__)
# define PLATFORM_ID "SINIX"
#elif defined(__UNIX_SV__)
# define PLATFORM_ID "UNIX_SV"
#elif defined(__bsdos__)
# define PLATFORM_ID "BSDOS"
#elif defined(_MPRAS) || defined(MPRAS)
# define PLATFORM_ID "MP-RAS"
#elif defined(__osf) || defined(__osf__)
# define PLATFORM_ID "OSF1"
#elif defined(_SCO_SV) || defined(SCO_SV) || defined(sco_sv)
# define PLATFORM_ID "SCO_SV"
#elif defined(__ultrix) || defined(__ultrix__) || defined(_ULTRIX)
# define PLATFORM_ID "ULTRIX"
#elif defined(__XENIX__) || defined(_XENIX) || defined(XENIX)
# define PLATFORM_ID "Xenix"
#elif defined(__WATCOMC__)
# if defined(__LINUX__)
# define PLATFORM_ID "Linux"
# elif defined(__DOS__)
# define PLATFORM_ID "DOS"
# elif defined(__OS2__)
# define PLATFORM_ID "OS2"
# elif defined(__WINDOWS__)
# define PLATFORM_ID "Windows3x"
# elif defined(__VXWORKS__)
# define PLATFORM_ID "VxWorks"
# else /* unknown platform */
# define PLATFORM_ID
# endif
#elif defined(__INTEGRITY)
# if defined(INT_178B)
# define PLATFORM_ID "Integrity178"
# else /* regular Integrity */
# define PLATFORM_ID "Integrity"
# endif
#else /* unknown platform */
# define PLATFORM_ID
#endif
/* For windows compilers MSVC and Intel we can determine
the architecture of the compiler being used. This is because
the compilers do not have flags that can change the architecture,
but rather depend on which compiler is being used
*/
#if defined(_WIN32) && defined(_MSC_VER)
# if defined(_M_IA64)
# define ARCHITECTURE_ID "IA64"
# elif defined(_M_X64) || defined(_M_AMD64)
# define ARCHITECTURE_ID "x64"
# elif defined(_M_IX86)
# define ARCHITECTURE_ID "X86"
# elif defined(_M_ARM64)
# define ARCHITECTURE_ID "ARM64"
# elif defined(_M_ARM)
# if _M_ARM == 4
# define ARCHITECTURE_ID "ARMV4I"
# elif _M_ARM == 5
# define ARCHITECTURE_ID "ARMV5I"
# else
# define ARCHITECTURE_ID "ARMV" STRINGIFY(_M_ARM)
# endif
# elif defined(_M_MIPS)
# define ARCHITECTURE_ID "MIPS"
# elif defined(_M_SH)
# define ARCHITECTURE_ID "SHx"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#elif defined(__WATCOMC__)
# if defined(_M_I86)
# define ARCHITECTURE_ID "I86"
# elif defined(_M_IX86)
# define ARCHITECTURE_ID "X86"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC)
# if defined(__ICCARM__)
# define ARCHITECTURE_ID "ARM"
# elif defined(__ICCRX__)
# define ARCHITECTURE_ID "RX"
# elif defined(__ICCRH850__)
# define ARCHITECTURE_ID "RH850"
# elif defined(__ICCRL78__)
# define ARCHITECTURE_ID "RL78"
# elif defined(__ICCRISCV__)
# define ARCHITECTURE_ID "RISCV"
# elif defined(__ICCAVR__)
# define ARCHITECTURE_ID "AVR"
# elif defined(__ICC430__)
# define ARCHITECTURE_ID "MSP430"
# elif defined(__ICCV850__)
# define ARCHITECTURE_ID "V850"
# elif defined(__ICC8051__)
# define ARCHITECTURE_ID "8051"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#elif defined(__ghs__)
# if defined(__PPC64__)
# define ARCHITECTURE_ID "PPC64"
# elif defined(__ppc__)
# define ARCHITECTURE_ID "PPC"
# elif defined(__ARM__)
# define ARCHITECTURE_ID "ARM"
# elif defined(__x86_64__)
# define ARCHITECTURE_ID "x64"
# elif defined(__i386__)
# define ARCHITECTURE_ID "X86"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#else
# define ARCHITECTURE_ID
#endif
/* Convert integer to decimal digit literals. */
#define DEC(n) \
('0' + (((n) / 10000000)%10)), \
('0' + (((n) / 1000000)%10)), \
('0' + (((n) / 100000)%10)), \
('0' + (((n) / 10000)%10)), \
('0' + (((n) / 1000)%10)), \
('0' + (((n) / 100)%10)), \
('0' + (((n) / 10)%10)), \
('0' + ((n) % 10))
/* Convert integer to hex digit literals. */
#define HEX(n) \
('0' + ((n)>>28 & 0xF)), \
('0' + ((n)>>24 & 0xF)), \
('0' + ((n)>>20 & 0xF)), \
('0' + ((n)>>16 & 0xF)), \
('0' + ((n)>>12 & 0xF)), \
('0' + ((n)>>8 & 0xF)), \
('0' + ((n)>>4 & 0xF)), \
('0' + ((n) & 0xF))
/* Construct a string literal encoding the version number components. */
#ifdef COMPILER_VERSION_MAJOR
char const info_version[] = {
'I', 'N', 'F', 'O', ':',
'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','[',
COMPILER_VERSION_MAJOR,
# ifdef COMPILER_VERSION_MINOR
'.', COMPILER_VERSION_MINOR,
# ifdef COMPILER_VERSION_PATCH
'.', COMPILER_VERSION_PATCH,
# ifdef COMPILER_VERSION_TWEAK
'.', COMPILER_VERSION_TWEAK,
# endif
# endif
# endif
']','\0'};
#endif
/* Construct a string literal encoding the internal version number. */
#ifdef COMPILER_VERSION_INTERNAL
char const info_version_internal[] = {
'I', 'N', 'F', 'O', ':',
'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','_',
'i','n','t','e','r','n','a','l','[',
COMPILER_VERSION_INTERNAL,']','\0'};
#endif
/* Construct a string literal encoding the version number components. */
#ifdef SIMULATE_VERSION_MAJOR
char const info_simulate_version[] = {
'I', 'N', 'F', 'O', ':',
's','i','m','u','l','a','t','e','_','v','e','r','s','i','o','n','[',
SIMULATE_VERSION_MAJOR,
# ifdef SIMULATE_VERSION_MINOR
'.', SIMULATE_VERSION_MINOR,
# ifdef SIMULATE_VERSION_PATCH
'.', SIMULATE_VERSION_PATCH,
# ifdef SIMULATE_VERSION_TWEAK
'.', SIMULATE_VERSION_TWEAK,
# endif
# endif
# endif
']','\0'};
#endif
/* Construct the string literal in pieces to prevent the source from
getting matched. Store it in a pointer rather than an array
because some compilers will just produce instructions to fill the
array rather than assigning a pointer to a static array. */
char const* info_platform = "INFO" ":" "platform[" PLATFORM_ID "]";
char const* info_arch = "INFO" ":" "arch[" ARCHITECTURE_ID "]";
#if !defined(__STDC__)
# if (defined(_MSC_VER) && !defined(__clang__)) \
|| (defined(__ibmxl__) || defined(__IBMC__))
# define C_DIALECT "90"
# else
# define C_DIALECT
# endif
#elif __STDC_VERSION__ >= 201000L
# define C_DIALECT "11"
#elif __STDC_VERSION__ >= 199901L
# define C_DIALECT "99"
#else
# define C_DIALECT "90"
#endif
const char* info_language_dialect_default =
"INFO" ":" "dialect_default[" C_DIALECT "]";
/*--------------------------------------------------------------------------*/
#ifdef ID_VOID_MAIN
void main() {}
#else
# if defined(__CLASSIC_C__)
int main(argc, argv) int argc; char *argv[];
# else
int main(int argc, char* argv[])
# endif
{
int require = 0;
require += info_compiler[argc];
require += info_platform[argc];
require += info_arch[argc];
#ifdef COMPILER_VERSION_MAJOR
require += info_version[argc];
#endif
#ifdef COMPILER_VERSION_INTERNAL
require += info_version_internal[argc];
#endif
#ifdef SIMULATE_ID
require += info_simulate[argc];
#endif
#ifdef SIMULATE_VERSION_MAJOR
require += info_simulate_version[argc];
#endif
#if defined(__CRAYXE) || defined(__CRAYXC)
require += info_cray[argc];
#endif
require += info_language_dialect_default[argc];
(void)argv;
return require;
}
#endif

Wyświetl plik

@ -1,663 +0,0 @@
/* This source file must have a .cpp extension so that all C++ compilers
recognize the extension without flags. Borland does not know .cxx for
example. */
#ifndef __cplusplus
# error "A C compiler has been selected for C++."
#endif
/* Version number components: V=Version, R=Revision, P=Patch
Version date components: YYYY=Year, MM=Month, DD=Day */
#if defined(__COMO__)
# define COMPILER_ID "Comeau"
/* __COMO_VERSION__ = VRR */
# define COMPILER_VERSION_MAJOR DEC(__COMO_VERSION__ / 100)
# define COMPILER_VERSION_MINOR DEC(__COMO_VERSION__ % 100)
#elif defined(__INTEL_COMPILER) || defined(__ICC)
# define COMPILER_ID "Intel"
# if defined(_MSC_VER)
# define SIMULATE_ID "MSVC"
# endif
# if defined(__GNUC__)
# define SIMULATE_ID "GNU"
# endif
/* __INTEL_COMPILER = VRP */
# define COMPILER_VERSION_MAJOR DEC(__INTEL_COMPILER/100)
# define COMPILER_VERSION_MINOR DEC(__INTEL_COMPILER/10 % 10)
# if defined(__INTEL_COMPILER_UPDATE)
# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER_UPDATE)
# else
# define COMPILER_VERSION_PATCH DEC(__INTEL_COMPILER % 10)
# endif
# if defined(__INTEL_COMPILER_BUILD_DATE)
/* __INTEL_COMPILER_BUILD_DATE = YYYYMMDD */
# define COMPILER_VERSION_TWEAK DEC(__INTEL_COMPILER_BUILD_DATE)
# endif
# if defined(_MSC_VER)
/* _MSC_VER = VVRR */
# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100)
# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100)
# endif
# if defined(__GNUC__)
# define SIMULATE_VERSION_MAJOR DEC(__GNUC__)
# elif defined(__GNUG__)
# define SIMULATE_VERSION_MAJOR DEC(__GNUG__)
# endif
# if defined(__GNUC_MINOR__)
# define SIMULATE_VERSION_MINOR DEC(__GNUC_MINOR__)
# endif
# if defined(__GNUC_PATCHLEVEL__)
# define SIMULATE_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__)
# endif
#elif defined(__PATHCC__)
# define COMPILER_ID "PathScale"
# define COMPILER_VERSION_MAJOR DEC(__PATHCC__)
# define COMPILER_VERSION_MINOR DEC(__PATHCC_MINOR__)
# if defined(__PATHCC_PATCHLEVEL__)
# define COMPILER_VERSION_PATCH DEC(__PATHCC_PATCHLEVEL__)
# endif
#elif defined(__BORLANDC__) && defined(__CODEGEARC_VERSION__)
# define COMPILER_ID "Embarcadero"
# define COMPILER_VERSION_MAJOR HEX(__CODEGEARC_VERSION__>>24 & 0x00FF)
# define COMPILER_VERSION_MINOR HEX(__CODEGEARC_VERSION__>>16 & 0x00FF)
# define COMPILER_VERSION_PATCH DEC(__CODEGEARC_VERSION__ & 0xFFFF)
#elif defined(__BORLANDC__)
# define COMPILER_ID "Borland"
/* __BORLANDC__ = 0xVRR */
# define COMPILER_VERSION_MAJOR HEX(__BORLANDC__>>8)
# define COMPILER_VERSION_MINOR HEX(__BORLANDC__ & 0xFF)
#elif defined(__WATCOMC__) && __WATCOMC__ < 1200
# define COMPILER_ID "Watcom"
/* __WATCOMC__ = VVRR */
# define COMPILER_VERSION_MAJOR DEC(__WATCOMC__ / 100)
# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10)
# if (__WATCOMC__ % 10) > 0
# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10)
# endif
#elif defined(__WATCOMC__)
# define COMPILER_ID "OpenWatcom"
/* __WATCOMC__ = VVRP + 1100 */
# define COMPILER_VERSION_MAJOR DEC((__WATCOMC__ - 1100) / 100)
# define COMPILER_VERSION_MINOR DEC((__WATCOMC__ / 10) % 10)
# if (__WATCOMC__ % 10) > 0
# define COMPILER_VERSION_PATCH DEC(__WATCOMC__ % 10)
# endif
#elif defined(__SUNPRO_CC)
# define COMPILER_ID "SunPro"
# if __SUNPRO_CC >= 0x5100
/* __SUNPRO_CC = 0xVRRP */
# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_CC>>12)
# define COMPILER_VERSION_MINOR HEX(__SUNPRO_CC>>4 & 0xFF)
# define COMPILER_VERSION_PATCH HEX(__SUNPRO_CC & 0xF)
# else
/* __SUNPRO_CC = 0xVRP */
# define COMPILER_VERSION_MAJOR HEX(__SUNPRO_CC>>8)
# define COMPILER_VERSION_MINOR HEX(__SUNPRO_CC>>4 & 0xF)
# define COMPILER_VERSION_PATCH HEX(__SUNPRO_CC & 0xF)
# endif
#elif defined(__HP_aCC)
# define COMPILER_ID "HP"
/* __HP_aCC = VVRRPP */
# define COMPILER_VERSION_MAJOR DEC(__HP_aCC/10000)
# define COMPILER_VERSION_MINOR DEC(__HP_aCC/100 % 100)
# define COMPILER_VERSION_PATCH DEC(__HP_aCC % 100)
#elif defined(__DECCXX)
# define COMPILER_ID "Compaq"
/* __DECCXX_VER = VVRRTPPPP */
# define COMPILER_VERSION_MAJOR DEC(__DECCXX_VER/10000000)
# define COMPILER_VERSION_MINOR DEC(__DECCXX_VER/100000 % 100)
# define COMPILER_VERSION_PATCH DEC(__DECCXX_VER % 10000)
#elif defined(__IBMCPP__) && defined(__COMPILER_VER__)
# define COMPILER_ID "zOS"
/* __IBMCPP__ = VRP */
# define COMPILER_VERSION_MAJOR DEC(__IBMCPP__/100)
# define COMPILER_VERSION_MINOR DEC(__IBMCPP__/10 % 10)
# define COMPILER_VERSION_PATCH DEC(__IBMCPP__ % 10)
#elif defined(__ibmxl__) && defined(__clang__)
# define COMPILER_ID "XLClang"
# define COMPILER_VERSION_MAJOR DEC(__ibmxl_version__)
# define COMPILER_VERSION_MINOR DEC(__ibmxl_release__)
# define COMPILER_VERSION_PATCH DEC(__ibmxl_modification__)
# define COMPILER_VERSION_TWEAK DEC(__ibmxl_ptf_fix_level__)
#elif defined(__IBMCPP__) && !defined(__COMPILER_VER__) && __IBMCPP__ >= 800
# define COMPILER_ID "XL"
/* __IBMCPP__ = VRP */
# define COMPILER_VERSION_MAJOR DEC(__IBMCPP__/100)
# define COMPILER_VERSION_MINOR DEC(__IBMCPP__/10 % 10)
# define COMPILER_VERSION_PATCH DEC(__IBMCPP__ % 10)
#elif defined(__IBMCPP__) && !defined(__COMPILER_VER__) && __IBMCPP__ < 800
# define COMPILER_ID "VisualAge"
/* __IBMCPP__ = VRP */
# define COMPILER_VERSION_MAJOR DEC(__IBMCPP__/100)
# define COMPILER_VERSION_MINOR DEC(__IBMCPP__/10 % 10)
# define COMPILER_VERSION_PATCH DEC(__IBMCPP__ % 10)
#elif defined(__PGI)
# define COMPILER_ID "PGI"
# define COMPILER_VERSION_MAJOR DEC(__PGIC__)
# define COMPILER_VERSION_MINOR DEC(__PGIC_MINOR__)
# if defined(__PGIC_PATCHLEVEL__)
# define COMPILER_VERSION_PATCH DEC(__PGIC_PATCHLEVEL__)
# endif
#elif defined(_CRAYC)
# define COMPILER_ID "Cray"
# define COMPILER_VERSION_MAJOR DEC(_RELEASE_MAJOR)
# define COMPILER_VERSION_MINOR DEC(_RELEASE_MINOR)
#elif defined(__TI_COMPILER_VERSION__)
# define COMPILER_ID "TI"
/* __TI_COMPILER_VERSION__ = VVVRRRPPP */
# define COMPILER_VERSION_MAJOR DEC(__TI_COMPILER_VERSION__/1000000)
# define COMPILER_VERSION_MINOR DEC(__TI_COMPILER_VERSION__/1000 % 1000)
# define COMPILER_VERSION_PATCH DEC(__TI_COMPILER_VERSION__ % 1000)
#elif defined(__FUJITSU) || defined(__FCC_VERSION) || defined(__fcc_version)
# define COMPILER_ID "Fujitsu"
#elif defined(__ghs__)
# define COMPILER_ID "GHS"
/* __GHS_VERSION_NUMBER = VVVVRP */
# ifdef __GHS_VERSION_NUMBER
# define COMPILER_VERSION_MAJOR DEC(__GHS_VERSION_NUMBER / 100)
# define COMPILER_VERSION_MINOR DEC(__GHS_VERSION_NUMBER / 10 % 10)
# define COMPILER_VERSION_PATCH DEC(__GHS_VERSION_NUMBER % 10)
# endif
#elif defined(__SCO_VERSION__)
# define COMPILER_ID "SCO"
#elif defined(__ARMCC_VERSION) && !defined(__clang__)
# define COMPILER_ID "ARMCC"
#if __ARMCC_VERSION >= 1000000
/* __ARMCC_VERSION = VRRPPPP */
# define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/1000000)
# define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 100)
# define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000)
#else
/* __ARMCC_VERSION = VRPPPP */
# define COMPILER_VERSION_MAJOR DEC(__ARMCC_VERSION/100000)
# define COMPILER_VERSION_MINOR DEC(__ARMCC_VERSION/10000 % 10)
# define COMPILER_VERSION_PATCH DEC(__ARMCC_VERSION % 10000)
#endif
#elif defined(__clang__) && defined(__apple_build_version__)
# define COMPILER_ID "AppleClang"
# if defined(_MSC_VER)
# define SIMULATE_ID "MSVC"
# endif
# define COMPILER_VERSION_MAJOR DEC(__clang_major__)
# define COMPILER_VERSION_MINOR DEC(__clang_minor__)
# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__)
# if defined(_MSC_VER)
/* _MSC_VER = VVRR */
# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100)
# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100)
# endif
# define COMPILER_VERSION_TWEAK DEC(__apple_build_version__)
#elif defined(__clang__) && defined(__ARMCOMPILER_VERSION)
# define COMPILER_ID "ARMClang"
# define COMPILER_VERSION_MAJOR DEC(__ARMCOMPILER_VERSION/1000000)
# define COMPILER_VERSION_MINOR DEC(__ARMCOMPILER_VERSION/10000 % 100)
# define COMPILER_VERSION_PATCH DEC(__ARMCOMPILER_VERSION % 10000)
# define COMPILER_VERSION_INTERNAL DEC(__ARMCOMPILER_VERSION)
#elif defined(__clang__)
# define COMPILER_ID "Clang"
# if defined(_MSC_VER)
# define SIMULATE_ID "MSVC"
# endif
# define COMPILER_VERSION_MAJOR DEC(__clang_major__)
# define COMPILER_VERSION_MINOR DEC(__clang_minor__)
# define COMPILER_VERSION_PATCH DEC(__clang_patchlevel__)
# if defined(_MSC_VER)
/* _MSC_VER = VVRR */
# define SIMULATE_VERSION_MAJOR DEC(_MSC_VER / 100)
# define SIMULATE_VERSION_MINOR DEC(_MSC_VER % 100)
# endif
#elif defined(__GNUC__) || defined(__GNUG__)
# define COMPILER_ID "GNU"
# if defined(__GNUC__)
# define COMPILER_VERSION_MAJOR DEC(__GNUC__)
# else
# define COMPILER_VERSION_MAJOR DEC(__GNUG__)
# endif
# if defined(__GNUC_MINOR__)
# define COMPILER_VERSION_MINOR DEC(__GNUC_MINOR__)
# endif
# if defined(__GNUC_PATCHLEVEL__)
# define COMPILER_VERSION_PATCH DEC(__GNUC_PATCHLEVEL__)
# endif
#elif defined(_MSC_VER)
# define COMPILER_ID "MSVC"
/* _MSC_VER = VVRR */
# define COMPILER_VERSION_MAJOR DEC(_MSC_VER / 100)
# define COMPILER_VERSION_MINOR DEC(_MSC_VER % 100)
# if defined(_MSC_FULL_VER)
# if _MSC_VER >= 1400
/* _MSC_FULL_VER = VVRRPPPPP */
# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 100000)
# else
/* _MSC_FULL_VER = VVRRPPPP */
# define COMPILER_VERSION_PATCH DEC(_MSC_FULL_VER % 10000)
# endif
# endif
# if defined(_MSC_BUILD)
# define COMPILER_VERSION_TWEAK DEC(_MSC_BUILD)
# endif
#elif defined(__VISUALDSPVERSION__) || defined(__ADSPBLACKFIN__) || defined(__ADSPTS__) || defined(__ADSP21000__)
# define COMPILER_ID "ADSP"
#if defined(__VISUALDSPVERSION__)
/* __VISUALDSPVERSION__ = 0xVVRRPP00 */
# define COMPILER_VERSION_MAJOR HEX(__VISUALDSPVERSION__>>24)
# define COMPILER_VERSION_MINOR HEX(__VISUALDSPVERSION__>>16 & 0xFF)
# define COMPILER_VERSION_PATCH HEX(__VISUALDSPVERSION__>>8 & 0xFF)
#endif
#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC)
# define COMPILER_ID "IAR"
# if defined(__VER__) && defined(__ICCARM__)
# define COMPILER_VERSION_MAJOR DEC((__VER__) / 1000000)
# define COMPILER_VERSION_MINOR DEC(((__VER__) / 1000) % 1000)
# define COMPILER_VERSION_PATCH DEC((__VER__) % 1000)
# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__)
# elif defined(__VER__) && (defined(__ICCAVR__) || defined(__ICCRX__) || defined(__ICCRH850__) || defined(__ICCRL78__) || defined(__ICC430__) || defined(__ICCRISCV__) || defined(__ICCV850__) || defined(__ICC8051__))
# define COMPILER_VERSION_MAJOR DEC((__VER__) / 100)
# define COMPILER_VERSION_MINOR DEC((__VER__) - (((__VER__) / 100)*100))
# define COMPILER_VERSION_PATCH DEC(__SUBVERSION__)
# define COMPILER_VERSION_INTERNAL DEC(__IAR_SYSTEMS_ICC__)
# endif
/* These compilers are either not known or too old to define an
identification macro. Try to identify the platform and guess that
it is the native compiler. */
#elif defined(__hpux) || defined(__hpua)
# define COMPILER_ID "HP"
#else /* unknown compiler */
# define COMPILER_ID ""
#endif
/* Construct the string literal in pieces to prevent the source from
getting matched. Store it in a pointer rather than an array
because some compilers will just produce instructions to fill the
array rather than assigning a pointer to a static array. */
char const* info_compiler = "INFO" ":" "compiler[" COMPILER_ID "]";
#ifdef SIMULATE_ID
char const* info_simulate = "INFO" ":" "simulate[" SIMULATE_ID "]";
#endif
#ifdef __QNXNTO__
char const* qnxnto = "INFO" ":" "qnxnto[]";
#endif
#if defined(__CRAYXE) || defined(__CRAYXC)
char const *info_cray = "INFO" ":" "compiler_wrapper[CrayPrgEnv]";
#endif
#define STRINGIFY_HELPER(X) #X
#define STRINGIFY(X) STRINGIFY_HELPER(X)
/* Identify known platforms by name. */
#if defined(__linux) || defined(__linux__) || defined(linux)
# define PLATFORM_ID "Linux"
#elif defined(__CYGWIN__)
# define PLATFORM_ID "Cygwin"
#elif defined(__MINGW32__)
# define PLATFORM_ID "MinGW"
#elif defined(__APPLE__)
# define PLATFORM_ID "Darwin"
#elif defined(_WIN32) || defined(__WIN32__) || defined(WIN32)
# define PLATFORM_ID "Windows"
#elif defined(__FreeBSD__) || defined(__FreeBSD)
# define PLATFORM_ID "FreeBSD"
#elif defined(__NetBSD__) || defined(__NetBSD)
# define PLATFORM_ID "NetBSD"
#elif defined(__OpenBSD__) || defined(__OPENBSD)
# define PLATFORM_ID "OpenBSD"
#elif defined(__sun) || defined(sun)
# define PLATFORM_ID "SunOS"
#elif defined(_AIX) || defined(__AIX) || defined(__AIX__) || defined(__aix) || defined(__aix__)
# define PLATFORM_ID "AIX"
#elif defined(__hpux) || defined(__hpux__)
# define PLATFORM_ID "HP-UX"
#elif defined(__HAIKU__)
# define PLATFORM_ID "Haiku"
#elif defined(__BeOS) || defined(__BEOS__) || defined(_BEOS)
# define PLATFORM_ID "BeOS"
#elif defined(__QNX__) || defined(__QNXNTO__)
# define PLATFORM_ID "QNX"
#elif defined(__tru64) || defined(_tru64) || defined(__TRU64__)
# define PLATFORM_ID "Tru64"
#elif defined(__riscos) || defined(__riscos__)
# define PLATFORM_ID "RISCos"
#elif defined(__sinix) || defined(__sinix__) || defined(__SINIX__)
# define PLATFORM_ID "SINIX"
#elif defined(__UNIX_SV__)
# define PLATFORM_ID "UNIX_SV"
#elif defined(__bsdos__)
# define PLATFORM_ID "BSDOS"
#elif defined(_MPRAS) || defined(MPRAS)
# define PLATFORM_ID "MP-RAS"
#elif defined(__osf) || defined(__osf__)
# define PLATFORM_ID "OSF1"
#elif defined(_SCO_SV) || defined(SCO_SV) || defined(sco_sv)
# define PLATFORM_ID "SCO_SV"
#elif defined(__ultrix) || defined(__ultrix__) || defined(_ULTRIX)
# define PLATFORM_ID "ULTRIX"
#elif defined(__XENIX__) || defined(_XENIX) || defined(XENIX)
# define PLATFORM_ID "Xenix"
#elif defined(__WATCOMC__)
# if defined(__LINUX__)
# define PLATFORM_ID "Linux"
# elif defined(__DOS__)
# define PLATFORM_ID "DOS"
# elif defined(__OS2__)
# define PLATFORM_ID "OS2"
# elif defined(__WINDOWS__)
# define PLATFORM_ID "Windows3x"
# elif defined(__VXWORKS__)
# define PLATFORM_ID "VxWorks"
# else /* unknown platform */
# define PLATFORM_ID
# endif
#elif defined(__INTEGRITY)
# if defined(INT_178B)
# define PLATFORM_ID "Integrity178"
# else /* regular Integrity */
# define PLATFORM_ID "Integrity"
# endif
#else /* unknown platform */
# define PLATFORM_ID
#endif
/* For windows compilers MSVC and Intel we can determine
the architecture of the compiler being used. This is because
the compilers do not have flags that can change the architecture,
but rather depend on which compiler is being used
*/
#if defined(_WIN32) && defined(_MSC_VER)
# if defined(_M_IA64)
# define ARCHITECTURE_ID "IA64"
# elif defined(_M_X64) || defined(_M_AMD64)
# define ARCHITECTURE_ID "x64"
# elif defined(_M_IX86)
# define ARCHITECTURE_ID "X86"
# elif defined(_M_ARM64)
# define ARCHITECTURE_ID "ARM64"
# elif defined(_M_ARM)
# if _M_ARM == 4
# define ARCHITECTURE_ID "ARMV4I"
# elif _M_ARM == 5
# define ARCHITECTURE_ID "ARMV5I"
# else
# define ARCHITECTURE_ID "ARMV" STRINGIFY(_M_ARM)
# endif
# elif defined(_M_MIPS)
# define ARCHITECTURE_ID "MIPS"
# elif defined(_M_SH)
# define ARCHITECTURE_ID "SHx"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#elif defined(__WATCOMC__)
# if defined(_M_I86)
# define ARCHITECTURE_ID "I86"
# elif defined(_M_IX86)
# define ARCHITECTURE_ID "X86"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#elif defined(__IAR_SYSTEMS_ICC__) || defined(__IAR_SYSTEMS_ICC)
# if defined(__ICCARM__)
# define ARCHITECTURE_ID "ARM"
# elif defined(__ICCRX__)
# define ARCHITECTURE_ID "RX"
# elif defined(__ICCRH850__)
# define ARCHITECTURE_ID "RH850"
# elif defined(__ICCRL78__)
# define ARCHITECTURE_ID "RL78"
# elif defined(__ICCRISCV__)
# define ARCHITECTURE_ID "RISCV"
# elif defined(__ICCAVR__)
# define ARCHITECTURE_ID "AVR"
# elif defined(__ICC430__)
# define ARCHITECTURE_ID "MSP430"
# elif defined(__ICCV850__)
# define ARCHITECTURE_ID "V850"
# elif defined(__ICC8051__)
# define ARCHITECTURE_ID "8051"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#elif defined(__ghs__)
# if defined(__PPC64__)
# define ARCHITECTURE_ID "PPC64"
# elif defined(__ppc__)
# define ARCHITECTURE_ID "PPC"
# elif defined(__ARM__)
# define ARCHITECTURE_ID "ARM"
# elif defined(__x86_64__)
# define ARCHITECTURE_ID "x64"
# elif defined(__i386__)
# define ARCHITECTURE_ID "X86"
# else /* unknown architecture */
# define ARCHITECTURE_ID ""
# endif
#else
# define ARCHITECTURE_ID
#endif
/* Convert integer to decimal digit literals. */
#define DEC(n) \
('0' + (((n) / 10000000)%10)), \
('0' + (((n) / 1000000)%10)), \
('0' + (((n) / 100000)%10)), \
('0' + (((n) / 10000)%10)), \
('0' + (((n) / 1000)%10)), \
('0' + (((n) / 100)%10)), \
('0' + (((n) / 10)%10)), \
('0' + ((n) % 10))
/* Convert integer to hex digit literals. */
#define HEX(n) \
('0' + ((n)>>28 & 0xF)), \
('0' + ((n)>>24 & 0xF)), \
('0' + ((n)>>20 & 0xF)), \
('0' + ((n)>>16 & 0xF)), \
('0' + ((n)>>12 & 0xF)), \
('0' + ((n)>>8 & 0xF)), \
('0' + ((n)>>4 & 0xF)), \
('0' + ((n) & 0xF))
/* Construct a string literal encoding the version number components. */
#ifdef COMPILER_VERSION_MAJOR
char const info_version[] = {
'I', 'N', 'F', 'O', ':',
'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','[',
COMPILER_VERSION_MAJOR,
# ifdef COMPILER_VERSION_MINOR
'.', COMPILER_VERSION_MINOR,
# ifdef COMPILER_VERSION_PATCH
'.', COMPILER_VERSION_PATCH,
# ifdef COMPILER_VERSION_TWEAK
'.', COMPILER_VERSION_TWEAK,
# endif
# endif
# endif
']','\0'};
#endif
/* Construct a string literal encoding the internal version number. */
#ifdef COMPILER_VERSION_INTERNAL
char const info_version_internal[] = {
'I', 'N', 'F', 'O', ':',
'c','o','m','p','i','l','e','r','_','v','e','r','s','i','o','n','_',
'i','n','t','e','r','n','a','l','[',
COMPILER_VERSION_INTERNAL,']','\0'};
#endif
/* Construct a string literal encoding the version number components. */
#ifdef SIMULATE_VERSION_MAJOR
char const info_simulate_version[] = {
'I', 'N', 'F', 'O', ':',
's','i','m','u','l','a','t','e','_','v','e','r','s','i','o','n','[',
SIMULATE_VERSION_MAJOR,
# ifdef SIMULATE_VERSION_MINOR
'.', SIMULATE_VERSION_MINOR,
# ifdef SIMULATE_VERSION_PATCH
'.', SIMULATE_VERSION_PATCH,
# ifdef SIMULATE_VERSION_TWEAK
'.', SIMULATE_VERSION_TWEAK,
# endif
# endif
# endif
']','\0'};
#endif
/* Construct the string literal in pieces to prevent the source from
getting matched. Store it in a pointer rather than an array
because some compilers will just produce instructions to fill the
array rather than assigning a pointer to a static array. */
char const* info_platform = "INFO" ":" "platform[" PLATFORM_ID "]";
char const* info_arch = "INFO" ":" "arch[" ARCHITECTURE_ID "]";
#if defined(__INTEL_COMPILER) && defined(_MSVC_LANG) && _MSVC_LANG < 201403L
# if defined(__INTEL_CXX11_MODE__)
# if defined(__cpp_aggregate_nsdmi)
# define CXX_STD 201402L
# else
# define CXX_STD 201103L
# endif
# else
# define CXX_STD 199711L
# endif
#elif defined(_MSC_VER) && defined(_MSVC_LANG)
# define CXX_STD _MSVC_LANG
#else
# define CXX_STD __cplusplus
#endif
const char* info_language_dialect_default = "INFO" ":" "dialect_default["
#if CXX_STD > 201703L
"20"
#elif CXX_STD >= 201703L
"17"
#elif CXX_STD >= 201402L
"14"
#elif CXX_STD >= 201103L
"11"
#else
"98"
#endif
"]";
/*--------------------------------------------------------------------------*/
int main(int argc, char* argv[])
{
int require = 0;
require += info_compiler[argc];
require += info_platform[argc];
#ifdef COMPILER_VERSION_MAJOR
require += info_version[argc];
#endif
#ifdef COMPILER_VERSION_INTERNAL
require += info_version_internal[argc];
#endif
#ifdef SIMULATE_ID
require += info_simulate[argc];
#endif
#ifdef SIMULATE_VERSION_MAJOR
require += info_simulate_version[argc];
#endif
#if defined(__CRAYXE) || defined(__CRAYXC)
require += info_cray[argc];
#endif
require += info_language_dialect_default[argc];
(void)argv;
return require;
}

Wyświetl plik

@ -1,16 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Relative path conversion top directories.
set(CMAKE_RELATIVE_PATH_TOP_SOURCE "/home/pi/projects/cariboulite/software/libcariboulite")
set(CMAKE_RELATIVE_PATH_TOP_BINARY "/home/pi/projects/cariboulite/software/libcariboulite/build")
# Force unix paths in dependencies.
set(CMAKE_FORCE_UNIX_PATHS 1)
# The C and CXX include file regular expressions for this directory.
set(CMAKE_C_INCLUDE_REGEX_SCAN "^.*$")
set(CMAKE_C_INCLUDE_REGEX_COMPLAIN "^$")
set(CMAKE_CXX_INCLUDE_REGEX_SCAN ${CMAKE_C_INCLUDE_REGEX_SCAN})
set(CMAKE_CXX_INCLUDE_REGEX_COMPLAIN ${CMAKE_C_INCLUDE_REGEX_COMPLAIN})

Wyświetl plik

@ -1,418 +0,0 @@
The system is: Linux - 5.10.60-v7l+ - armv7l
Compiling the C compiler identification source file "CMakeCCompilerId.c" succeeded.
Compiler: /usr/bin/cc
Build flags:
Id flags:
The output was:
0
Compilation of the C compiler identification source "CMakeCCompilerId.c" produced "a.out"
The C compiler identification is GNU, found in "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/3.18.4/CompilerIdC/a.out"
Compiling the CXX compiler identification source file "CMakeCXXCompilerId.cpp" succeeded.
Compiler: /usr/bin/c++
Build flags:
Id flags:
The output was:
0
Compilation of the CXX compiler identification source "CMakeCXXCompilerId.cpp" produced "a.out"
The CXX compiler identification is GNU, found in "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/3.18.4/CompilerIdCXX/a.out"
Detecting C compiler ABI info compiled with the following output:
Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp
Run Build Command(s):/usr/bin/make cmTC_e390b/fast && /usr/bin/make -f CMakeFiles/cmTC_e390b.dir/build.make CMakeFiles/cmTC_e390b.dir/build
make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp'
Building C object CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o
/usr/bin/cc -v -o CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c
Using built-in specs.
COLLECT_GCC=/usr/bin/cc
Target: arm-linux-gnueabihf
Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf
Thread model: posix
gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1)
COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
/usr/lib/gcc/arm-linux-gnueabihf/8/cc1 -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c -quiet -dumpbase CMakeCCompilerABI.c -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -version -o /tmp/ccpiK7jd.s
GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)
compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP
GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072
ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf"
ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include"
#include "..." search starts here:
#include <...> search starts here:
/usr/lib/gcc/arm-linux-gnueabihf/8/include
/usr/local/include
/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed
/usr/include/arm-linux-gnueabihf
/usr/include
End of search list.
GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)
compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP
GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072
Compiler executable checksum: bcc8c085e8c103b65550a3bb7c44a354
COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o /tmp/ccpiK7jd.s
GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1
COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/
LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/
COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
Linking C executable cmTC_e390b
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_e390b.dir/link.txt --verbose=1
/usr/bin/cc -v CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -o cmTC_e390b
Using built-in specs.
COLLECT_GCC=/usr/bin/cc
COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper
Target: arm-linux-gnueabihf
Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf
Thread model: posix
gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1)
COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/
LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/
COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_e390b' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
/usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/ccJnUwdn.res -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_e390b /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -lgcc --push-state --as-needed -lgcc_s --pop-state -lc -lgcc --push-state --as-needed -lgcc_s --pop-state /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o
COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_e390b' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
make[1]: Leaving directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp'
Parsed C implicit include dir info from above output: rv=done
found start of include info
found start of implicit include info
add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include]
add: [/usr/local/include]
add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed]
add: [/usr/include/arm-linux-gnueabihf]
add: [/usr/include]
end of search list found
collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include]
collapse include dir [/usr/local/include] ==> [/usr/local/include]
collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed]
collapse include dir [/usr/include/arm-linux-gnueabihf] ==> [/usr/include/arm-linux-gnueabihf]
collapse include dir [/usr/include] ==> [/usr/include]
implicit include dirs: [/usr/lib/gcc/arm-linux-gnueabihf/8/include;/usr/local/include;/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed;/usr/include/arm-linux-gnueabihf;/usr/include]
Parsed C implicit link information from above output:
link line regex: [^( *|.*[/\])(ld|CMAKE_LINK_STARTFILE-NOTFOUND|([^/\]+-)?ld|collect2)[^/\]*( |$)]
ignore line: [Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp]
ignore line: []
ignore line: [Run Build Command(s):/usr/bin/make cmTC_e390b/fast && /usr/bin/make -f CMakeFiles/cmTC_e390b.dir/build.make CMakeFiles/cmTC_e390b.dir/build]
ignore line: [make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp']
ignore line: [Building C object CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o]
ignore line: [/usr/bin/cc -v -o CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c]
ignore line: [Using built-in specs.]
ignore line: [COLLECT_GCC=/usr/bin/cc]
ignore line: [Target: arm-linux-gnueabihf]
ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf]
ignore line: [Thread model: posix]
ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/cc1 -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCCompilerABI.c -quiet -dumpbase CMakeCCompilerABI.c -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -version -o /tmp/ccpiK7jd.s]
ignore line: [GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)]
ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP]
ignore line: []
ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072]
ignore line: [ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf"]
ignore line: [ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include"]
ignore line: [#include "..." search starts here:]
ignore line: [#include <...> search starts here:]
ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include]
ignore line: [ /usr/local/include]
ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed]
ignore line: [ /usr/include/arm-linux-gnueabihf]
ignore line: [ /usr/include]
ignore line: [End of search list.]
ignore line: [GNU C17 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)]
ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP]
ignore line: []
ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072]
ignore line: [Compiler executable checksum: bcc8c085e8c103b65550a3bb7c44a354]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
ignore line: [ as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o /tmp/ccpiK7jd.s]
ignore line: [GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1]
ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/]
ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o' '-c' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
ignore line: [Linking C executable cmTC_e390b]
ignore line: [/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_e390b.dir/link.txt --verbose=1]
ignore line: [/usr/bin/cc -v CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -o cmTC_e390b ]
ignore line: [Using built-in specs.]
ignore line: [COLLECT_GCC=/usr/bin/cc]
ignore line: [COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper]
ignore line: [Target: arm-linux-gnueabihf]
ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf]
ignore line: [Thread model: posix]
ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ]
ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/]
ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_e390b' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
link line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/ccJnUwdn.res -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lgcc_s --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_e390b /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o -lgcc --push-state --as-needed -lgcc_s --pop-state -lc -lgcc --push-state --as-needed -lgcc_s --pop-state /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o]
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/collect2] ==> ignore
arg [-plugin] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so] ==> ignore
arg [-plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper] ==> ignore
arg [-plugin-opt=-fresolution=/tmp/ccJnUwdn.res] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore
arg [-plugin-opt=-pass-through=-lc] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore
arg [--build-id] ==> ignore
arg [--eh-frame-hdr] ==> ignore
arg [-dynamic-linker] ==> ignore
arg [/lib/ld-linux-armhf.so.3] ==> ignore
arg [-X] ==> ignore
arg [--hash-style=gnu] ==> ignore
arg [-m] ==> ignore
arg [armelf_linux_eabi] ==> ignore
arg [-o] ==> ignore
arg [cmTC_e390b] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o] ==> ignore
arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8]
arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf]
arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..]
arg [-L/lib/arm-linux-gnueabihf] ==> dir [/lib/arm-linux-gnueabihf]
arg [-L/usr/lib/arm-linux-gnueabihf] ==> dir [/usr/lib/arm-linux-gnueabihf]
arg [CMakeFiles/cmTC_e390b.dir/CMakeCCompilerABI.c.o] ==> ignore
arg [-lgcc] ==> lib [gcc]
arg [--push-state] ==> ignore
arg [--as-needed] ==> ignore
arg [-lgcc_s] ==> lib [gcc_s]
arg [--pop-state] ==> ignore
arg [-lc] ==> lib [c]
arg [-lgcc] ==> lib [gcc]
arg [--push-state] ==> ignore
arg [--as-needed] ==> ignore
arg [-lgcc_s] ==> lib [gcc_s]
arg [--pop-state] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o] ==> ignore
collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8]
collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf]
collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> [/usr/lib]
collapse library dir [/lib/arm-linux-gnueabihf] ==> [/lib/arm-linux-gnueabihf]
collapse library dir [/usr/lib/arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf]
implicit libs: [gcc;gcc_s;c;gcc;gcc_s]
implicit dirs: [/usr/lib/gcc/arm-linux-gnueabihf/8;/usr/lib/arm-linux-gnueabihf;/usr/lib;/lib/arm-linux-gnueabihf]
implicit fwks: []
Detecting CXX compiler ABI info compiled with the following output:
Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp
Run Build Command(s):/usr/bin/make cmTC_301ec/fast && /usr/bin/make -f CMakeFiles/cmTC_301ec.dir/build.make CMakeFiles/cmTC_301ec.dir/build
make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp'
Building CXX object CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o
/usr/bin/c++ -v -o CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp
Using built-in specs.
COLLECT_GCC=/usr/bin/c++
Target: arm-linux-gnueabihf
Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf
Thread model: posix
gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1)
COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
/usr/lib/gcc/arm-linux-gnueabihf/8/cc1plus -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf -D_GNU_SOURCE /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp -quiet -dumpbase CMakeCXXCompilerABI.cpp -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -version -o /tmp/ccyBSL3C.s
GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)
compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP
GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072
ignoring duplicate directory "/usr/include/arm-linux-gnueabihf/c++/8"
ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf"
ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include"
#include "..." search starts here:
#include <...> search starts here:
/usr/include/c++/8
/usr/include/arm-linux-gnueabihf/c++/8
/usr/include/c++/8/backward
/usr/lib/gcc/arm-linux-gnueabihf/8/include
/usr/local/include
/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed
/usr/include/arm-linux-gnueabihf
/usr/include
End of search list.
GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)
compiled by GNU C version 8.3.0, GMP version 6.1.2, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.20-GMP
GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072
Compiler executable checksum: 7defdc925cf5fede452fc531d54623d1
COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o /tmp/ccyBSL3C.s
GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1
COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/
LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/
COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
Linking CXX executable cmTC_301ec
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_301ec.dir/link.txt --verbose=1
/usr/bin/c++ -v CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -o cmTC_301ec
Using built-in specs.
COLLECT_GCC=/usr/bin/c++
COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper
Target: arm-linux-gnueabihf
Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c,ada,c++,go,d,fortran,objc,obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf
Thread model: posix
gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1)
COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/
LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/
COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_301ec' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
/usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/ccoLslGN.res -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_301ec /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -lstdc++ -lm -lgcc_s -lgcc -lc -lgcc_s -lgcc /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o
COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_301ec' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp'
make[1]: Leaving directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp'
Parsed CXX implicit include dir info from above output: rv=done
found start of include info
found start of implicit include info
add: [/usr/include/c++/8]
add: [/usr/include/arm-linux-gnueabihf/c++/8]
add: [/usr/include/c++/8/backward]
add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include]
add: [/usr/local/include]
add: [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed]
add: [/usr/include/arm-linux-gnueabihf]
add: [/usr/include]
end of search list found
collapse include dir [/usr/include/c++/8] ==> [/usr/include/c++/8]
collapse include dir [/usr/include/arm-linux-gnueabihf/c++/8] ==> [/usr/include/arm-linux-gnueabihf/c++/8]
collapse include dir [/usr/include/c++/8/backward] ==> [/usr/include/c++/8/backward]
collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include]
collapse include dir [/usr/local/include] ==> [/usr/local/include]
collapse include dir [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed]
collapse include dir [/usr/include/arm-linux-gnueabihf] ==> [/usr/include/arm-linux-gnueabihf]
collapse include dir [/usr/include] ==> [/usr/include]
implicit include dirs: [/usr/include/c++/8;/usr/include/arm-linux-gnueabihf/c++/8;/usr/include/c++/8/backward;/usr/lib/gcc/arm-linux-gnueabihf/8/include;/usr/local/include;/usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed;/usr/include/arm-linux-gnueabihf;/usr/include]
Parsed CXX implicit link information from above output:
link line regex: [^( *|.*[/\])(ld|CMAKE_LINK_STARTFILE-NOTFOUND|([^/\]+-)?ld|collect2)[^/\]*( |$)]
ignore line: [Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp]
ignore line: []
ignore line: [Run Build Command(s):/usr/bin/make cmTC_301ec/fast && /usr/bin/make -f CMakeFiles/cmTC_301ec.dir/build.make CMakeFiles/cmTC_301ec.dir/build]
ignore line: [make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp']
ignore line: [Building CXX object CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o]
ignore line: [/usr/bin/c++ -v -o CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -c /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp]
ignore line: [Using built-in specs.]
ignore line: [COLLECT_GCC=/usr/bin/c++]
ignore line: [Target: arm-linux-gnueabihf]
ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf]
ignore line: [Thread model: posix]
ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/cc1plus -quiet -v -imultilib . -imultiarch arm-linux-gnueabihf -D_GNU_SOURCE /usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXCompilerABI.cpp -quiet -dumpbase CMakeCXXCompilerABI.cpp -mfloat-abi=hard -mfpu=vfp -mtls-dialect=gnu -marm -march=armv6+fp -auxbase-strip CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -version -o /tmp/ccyBSL3C.s]
ignore line: [GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)]
ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP]
ignore line: []
ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072]
ignore line: [ignoring duplicate directory "/usr/include/arm-linux-gnueabihf/c++/8"]
ignore line: [ignoring nonexistent directory "/usr/local/include/arm-linux-gnueabihf"]
ignore line: [ignoring nonexistent directory "/usr/lib/gcc/arm-linux-gnueabihf/8/../../../../arm-linux-gnueabihf/include"]
ignore line: [#include "..." search starts here:]
ignore line: [#include <...> search starts here:]
ignore line: [ /usr/include/c++/8]
ignore line: [ /usr/include/arm-linux-gnueabihf/c++/8]
ignore line: [ /usr/include/c++/8/backward]
ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include]
ignore line: [ /usr/local/include]
ignore line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/include-fixed]
ignore line: [ /usr/include/arm-linux-gnueabihf]
ignore line: [ /usr/include]
ignore line: [End of search list.]
ignore line: [GNU C++14 (Raspbian 8.3.0-6+rpi1) version 8.3.0 (arm-linux-gnueabihf)]
ignore line: [ compiled by GNU C version 8.3.0 GMP version 6.1.2 MPFR version 4.0.2 MPC version 1.1.0 isl version isl-0.20-GMP]
ignore line: []
ignore line: [GGC heuristics: --param ggc-min-expand=100 --param ggc-min-heapsize=131072]
ignore line: [Compiler executable checksum: 7defdc925cf5fede452fc531d54623d1]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
ignore line: [ as -v -march=armv6 -mfloat-abi=hard -mfpu=vfp -meabi=5 -o CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o /tmp/ccyBSL3C.s]
ignore line: [GNU assembler version 2.31.1 (arm-linux-gnueabihf) using BFD version (GNU Binutils for Raspbian) 2.31.1]
ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/]
ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o' '-c' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
ignore line: [Linking CXX executable cmTC_301ec]
ignore line: [/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_301ec.dir/link.txt --verbose=1]
ignore line: [/usr/bin/c++ -v CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -o cmTC_301ec ]
ignore line: [Using built-in specs.]
ignore line: [COLLECT_GCC=/usr/bin/c++]
ignore line: [COLLECT_LTO_WRAPPER=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper]
ignore line: [Target: arm-linux-gnueabihf]
ignore line: [Configured with: ../src/configure -v --with-pkgversion='Raspbian 8.3.0-6+rpi1' --with-bugurl=file:///usr/share/doc/gcc-8/README.Bugs --enable-languages=c ada c++ go d fortran objc obj-c++ --prefix=/usr --with-gcc-major-version-only --program-suffix=-8 --program-prefix=arm-linux-gnueabihf- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib --without-included-gettext --enable-threads=posix --libdir=/usr/lib --enable-nls --enable-bootstrap --enable-clocale=gnu --enable-libstdcxx-debug --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-gnu-unique-object --disable-libitm --disable-libquadmath --disable-libquadmath-support --enable-plugin --with-system-zlib --with-target-system-zlib --enable-objc-gc=auto --enable-multiarch --disable-sjlj-exceptions --with-arch=armv6 --with-fpu=vfp --with-float=hard --disable-werror --enable-checking=release --build=arm-linux-gnueabihf --host=arm-linux-gnueabihf --target=arm-linux-gnueabihf]
ignore line: [Thread model: posix]
ignore line: [gcc version 8.3.0 (Raspbian 8.3.0-6+rpi1) ]
ignore line: [COMPILER_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/]
ignore line: [LIBRARY_PATH=/usr/lib/gcc/arm-linux-gnueabihf/8/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/:/usr/lib/gcc/arm-linux-gnueabihf/8/../../../:/lib/arm-linux-gnueabihf/:/lib/:/usr/lib/arm-linux-gnueabihf/:/usr/lib/]
ignore line: [COLLECT_GCC_OPTIONS='-v' '-o' 'cmTC_301ec' '-shared-libgcc' '-mfloat-abi=hard' '-mfpu=vfp' '-mtls-dialect=gnu' '-marm' '-march=armv6+fp']
link line: [ /usr/lib/gcc/arm-linux-gnueabihf/8/collect2 -plugin /usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so -plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper -plugin-opt=-fresolution=/tmp/ccoLslGN.res -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc -plugin-opt=-pass-through=-lc -plugin-opt=-pass-through=-lgcc_s -plugin-opt=-pass-through=-lgcc --build-id --eh-frame-hdr -dynamic-linker /lib/ld-linux-armhf.so.3 -X --hash-style=gnu -m armelf_linux_eabi -o cmTC_301ec /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o /usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o -L/usr/lib/gcc/arm-linux-gnueabihf/8 -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf -L/usr/lib/gcc/arm-linux-gnueabihf/8/../../.. -L/lib/arm-linux-gnueabihf -L/usr/lib/arm-linux-gnueabihf CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o -lstdc++ -lm -lgcc_s -lgcc -lc -lgcc_s -lgcc /usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o /usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o]
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/collect2] ==> ignore
arg [-plugin] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/liblto_plugin.so] ==> ignore
arg [-plugin-opt=/usr/lib/gcc/arm-linux-gnueabihf/8/lto-wrapper] ==> ignore
arg [-plugin-opt=-fresolution=/tmp/ccoLslGN.res] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc] ==> ignore
arg [-plugin-opt=-pass-through=-lc] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc_s] ==> ignore
arg [-plugin-opt=-pass-through=-lgcc] ==> ignore
arg [--build-id] ==> ignore
arg [--eh-frame-hdr] ==> ignore
arg [-dynamic-linker] ==> ignore
arg [/lib/ld-linux-armhf.so.3] ==> ignore
arg [-X] ==> ignore
arg [--hash-style=gnu] ==> ignore
arg [-m] ==> ignore
arg [armelf_linux_eabi] ==> ignore
arg [-o] ==> ignore
arg [cmTC_301ec] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crt1.o] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crti.o] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtbegin.o] ==> ignore
arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8]
arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf]
arg [-L/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..]
arg [-L/lib/arm-linux-gnueabihf] ==> dir [/lib/arm-linux-gnueabihf]
arg [-L/usr/lib/arm-linux-gnueabihf] ==> dir [/usr/lib/arm-linux-gnueabihf]
arg [CMakeFiles/cmTC_301ec.dir/CMakeCXXCompilerABI.cpp.o] ==> ignore
arg [-lstdc++] ==> lib [stdc++]
arg [-lm] ==> lib [m]
arg [-lgcc_s] ==> lib [gcc_s]
arg [-lgcc] ==> lib [gcc]
arg [-lc] ==> lib [c]
arg [-lgcc_s] ==> lib [gcc_s]
arg [-lgcc] ==> lib [gcc]
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/crtend.o] ==> ignore
arg [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf/crtn.o] ==> ignore
collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8] ==> [/usr/lib/gcc/arm-linux-gnueabihf/8]
collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../../arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf]
collapse library dir [/usr/lib/gcc/arm-linux-gnueabihf/8/../../..] ==> [/usr/lib]
collapse library dir [/lib/arm-linux-gnueabihf] ==> [/lib/arm-linux-gnueabihf]
collapse library dir [/usr/lib/arm-linux-gnueabihf] ==> [/usr/lib/arm-linux-gnueabihf]
implicit libs: [stdc++;m;gcc_s;gcc;c;gcc_s;gcc]
implicit dirs: [/usr/lib/gcc/arm-linux-gnueabihf/8;/usr/lib/arm-linux-gnueabihf;/usr/lib;/lib/arm-linux-gnueabihf]
implicit fwks: []
Performing C++ SOURCE FILE Test HAS_STD_CXX11 succeeded with the following output:
Change Dir: /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp
Run Build Command(s):/usr/bin/make cmTC_87593/fast && /usr/bin/make -f CMakeFiles/cmTC_87593.dir/build.make CMakeFiles/cmTC_87593.dir/build
make[1]: Entering directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp'
Building CXX object CMakeFiles/cmTC_87593.dir/src.cxx.o
/usr/bin/c++ -DHAS_STD_CXX11 -std=c++11 -o CMakeFiles/cmTC_87593.dir/src.cxx.o -c /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp/src.cxx
Linking CXX executable cmTC_87593
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E cmake_link_script CMakeFiles/cmTC_87593.dir/link.txt --verbose=1
/usr/bin/c++ -DHAS_STD_CXX11 CMakeFiles/cmTC_87593.dir/src.cxx.o -o cmTC_87593
make[1]: Leaving directory '/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/CMakeTmp'
Source file was:
int main() { return 0; }

Wyświetl plik

@ -1,105 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# The generator used is:
set(CMAKE_DEPENDS_GENERATOR "Unix Makefiles")
# The top level Makefile was generated from the following files:
set(CMAKE_MAKEFILE_DEPENDS
"CMakeCache.txt"
"../CMakeLists.txt"
"CMakeFiles/3.18.4/CMakeCCompiler.cmake"
"CMakeFiles/3.18.4/CMakeCXXCompiler.cmake"
"CMakeFiles/3.18.4/CMakeSystem.cmake"
"../src/at86rf215/CMakeLists.txt"
"../src/caribou_fpga/CMakeLists.txt"
"../src/caribou_smi/CMakeLists.txt"
"../src/cariboulite_config/CMakeLists.txt"
"../src/cariboulite_eeprom/CMakeLists.txt"
"../src/datatypes/CMakeLists.txt"
"../src/io_utils/CMakeLists.txt"
"../src/latticeice40/CMakeLists.txt"
"../src/rffc507x/CMakeLists.txt"
"../src/ustimer/CMakeLists.txt"
"../src/zf_log/CMakeLists.txt"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCInformation.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCXXInformation.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCheckCompilerFlagCommonPatterns.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeCommonLanguageInclude.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeGenericSystem.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeInitializeConfigs.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeLanguageInformation.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeParseArguments.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeSystemSpecificInformation.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CMakeSystemSpecificInitialize.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CheckCXXCompilerFlag.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/CheckCXXSourceCompiles.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/CMakeCommonCompilerMacros.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU-C.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU-CXX.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Compiler/GNU.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/GNUInstallDirs.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Internal/CMakeCheckCompilerFlag.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux-GNU-C.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux-GNU-CXX.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux-GNU.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/Linux.cmake"
"/usr/local/lib/python3.7/dist-packages/cmake/data/share/cmake-3.18/Modules/Platform/UnixPaths.cmake"
"/usr/local/share/cmake/SoapySDR/SoapySDRConfig.cmake"
"/usr/local/share/cmake/SoapySDR/SoapySDRConfigVersion.cmake"
"/usr/local/share/cmake/SoapySDR/SoapySDRExport-release.cmake"
"/usr/local/share/cmake/SoapySDR/SoapySDRExport.cmake"
"/usr/local/share/cmake/SoapySDR/SoapySDRUtil.cmake"
)
# The corresponding makefile is:
set(CMAKE_MAKEFILE_OUTPUTS
"Makefile"
"CMakeFiles/cmake.check_cache"
)
# Byproducts of CMake generate step:
set(CMAKE_MAKEFILE_PRODUCTS
"CMakeFiles/CMakeDirectoryInformation.cmake"
"src/datatypes/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/ustimer/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/caribou_fpga/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/at86rf215/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/caribou_smi/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/latticeice40/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/io_utils/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/rffc507x/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/cariboulite_config/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/cariboulite_eeprom/CMakeFiles/CMakeDirectoryInformation.cmake"
"src/zf_log/CMakeFiles/CMakeDirectoryInformation.cmake"
)
# Dependency information for all targets:
set(CMAKE_DEPEND_INFO_FILES
"CMakeFiles/cariboulite.dir/DependInfo.cmake"
"CMakeFiles/cariboulite_app.dir/DependInfo.cmake"
"CMakeFiles/ice40programmer.dir/DependInfo.cmake"
"CMakeFiles/fpgacomm.dir/DependInfo.cmake"
"CMakeFiles/SoapyCariboulite.dir/DependInfo.cmake"
"src/datatypes/CMakeFiles/test_tsqueue.dir/DependInfo.cmake"
"src/datatypes/CMakeFiles/datatypes.dir/DependInfo.cmake"
"src/datatypes/CMakeFiles/test_tiny_list.dir/DependInfo.cmake"
"src/ustimer/CMakeFiles/test_ustimer.dir/DependInfo.cmake"
"src/ustimer/CMakeFiles/ustimer.dir/DependInfo.cmake"
"src/caribou_fpga/CMakeFiles/test_caribou_fpga.dir/DependInfo.cmake"
"src/caribou_fpga/CMakeFiles/caribou_fpga.dir/DependInfo.cmake"
"src/at86rf215/CMakeFiles/test_at86rf215.dir/DependInfo.cmake"
"src/at86rf215/CMakeFiles/at86rf215.dir/DependInfo.cmake"
"src/caribou_smi/CMakeFiles/test_caribou_smi.dir/DependInfo.cmake"
"src/caribou_smi/CMakeFiles/caribou_smi.dir/DependInfo.cmake"
"src/latticeice40/CMakeFiles/latticeice40.dir/DependInfo.cmake"
"src/io_utils/CMakeFiles/test_io_utils.dir/DependInfo.cmake"
"src/io_utils/CMakeFiles/io_utils.dir/DependInfo.cmake"
"src/rffc507x/CMakeFiles/test_rffc507x.dir/DependInfo.cmake"
"src/rffc507x/CMakeFiles/rffc507x.dir/DependInfo.cmake"
"src/cariboulite_config/CMakeFiles/cariboulite_config.dir/DependInfo.cmake"
"src/cariboulite_config/CMakeFiles/test_cariboulite_config.dir/DependInfo.cmake"
"src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/DependInfo.cmake"
"src/cariboulite_eeprom/CMakeFiles/test_cariboulite_eeprom.dir/DependInfo.cmake"
"src/zf_log/CMakeFiles/zf_log.dir/DependInfo.cmake"
)

Wyświetl plik

@ -1,272 +0,0 @@
#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">])
#IncludeRegexScan: ^.*$
#IncludeRegexComplain: ^$
#IncludeRegexTransform:
../src/at86rf215/at86rf215.h
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
at86rf215_radio.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_common.h
stdio.h
-
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
io_utils/io_utils.h
../src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/at86rf215/io_utils/io_utils_spi.h
at86rf215_regs.h
../src/at86rf215/at86rf215_regs.h
../src/at86rf215/at86rf215_radio.h
stdio.h
-
stdint.h
-
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
../src/caribou_fpga/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/caribou_fpga/io_utils/io_utils_spi.h
../src/caribou_smi/caribou_smi.h
pthread.h
-
stdint.h
-
../src/cariboulite_config/cariboulite_config.h
latticeice40/latticeice40.h
../src/cariboulite_config/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
../src/cariboulite_config/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
../src/cariboulite_config/at86rf215/at86rf215.h
rffc507x/rffc507x.h
../src/cariboulite_config/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
../src/cariboulite_config/caribou_smi/caribou_smi.h
io_utils/io_utils.h
../src/cariboulite_config/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/cariboulite_config/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
../src/cariboulite_config/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
../src/cariboulite_config/ustimer/ustimer.h
../src/cariboulite_config/cariboulite_config_default.h
cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_radios.h
cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
at86rf215/at86rf215.h
../src/at86rf215/at86rf215.h
../src/cariboulite_setup.h
cariboulite_radios.h
../src/cariboulite_radios.h
latticeice40/latticeice40.h
../src/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
../src/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
../src/at86rf215/at86rf215.h
rffc507x/rffc507x.h
../src/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
../src/caribou_smi/caribou_smi.h
io_utils/io_utils.h
../src/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
../src/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
../src/ustimer/ustimer.h
cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
../src/datatypes/tsqueue.h
stdlib.h
-
stdio.h
-
pthread.h
-
semaphore.h
-
stdint.h
-
../src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
../src/io_utils/pigpio/pigpio.h
../src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_sys_info.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
unistd.h
-
fcntl.h
-
signal.h
-
string.h
-
sys/ioctl.h
-
sys/mman.h
-
../src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
../src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
../src/latticeice40/latticeice40.h
stdint.h
-
linux/types.h
-
io_utils/io_utils.h
../src/latticeice40/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/latticeice40/io_utils/io_utils_spi.h
../src/rffc507x/rffc507x.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
../src/rffc507x/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/rffc507x/io_utils/io_utils_spi.h
../src/ustimer/ustimer.h
stdlib.h
-
unistd.h
-
stdio.h
-
signal.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.cpp
math.h
-
Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
SoapySDR/Device.hpp
-
SoapySDR/Logger.h
-
SoapySDR/Types.h
-
SoapySDR/Formats.hpp
-
stdexcept
-
thread
-
mutex
-
atomic
-
condition_variable
-
string
-
cstring
-
algorithm
-
atomic
-
datatypes/tsqueue.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/datatypes/tsqueue.h
cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/cariboulite_setup.h
cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/cariboulite_radios.h

Wyświetl plik

@ -1,51 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"CXX"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_CXX
"/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.cpp" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSampleQueue.cpp" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSensors.cpp" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSession.cpp" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteStream.cpp" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/SoapyCariboulite.cpp" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o"
)
set(CMAKE_CXX_COMPILER_ID "GNU")
# Preprocessor definitions for this target.
set(CMAKE_TARGET_DEFINITIONS_CXX
"SoapyCariboulite_EXPORTS"
)
# The include file search paths:
set(CMAKE_CXX_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"../"
"../src/datatypes"
"../src/ustimer"
"../src/latticeice40"
"../src/io_utils"
"../src/zf_log"
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
"/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/datatypes.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/ustimer.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/rffc507x.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/caribou_smi.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/latticeice40.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/io_utils.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/cariboulite_config.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/zf_log.dir/DependInfo.cmake"
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,205 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include CMakeFiles/SoapyCariboulite.dir/depend.make
# Include the progress variables for this target.
include CMakeFiles/SoapyCariboulite.dir/progress.make
# Include the compile flags for this target's objects.
include CMakeFiles/SoapyCariboulite.dir/flags.make
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: CMakeFiles/SoapyCariboulite.dir/flags.make
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/soapy_api/SoapyCariboulite.cpp
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building CXX object CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/SoapyCariboulite.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing CXX source to CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.i"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/SoapyCariboulite.cpp > CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.i
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling CXX source to assembly CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.s"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/SoapyCariboulite.cpp -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.s
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: CMakeFiles/SoapyCariboulite.dir/flags.make
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/soapy_api/Cariboulite.cpp
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Building CXX object CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing CXX source to CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.i"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.cpp > CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.i
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling CXX source to assembly CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.s"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.cpp -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.s
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: CMakeFiles/SoapyCariboulite.dir/flags.make
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/soapy_api/CaribouliteStream.cpp
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_3) "Building CXX object CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteStream.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing CXX source to CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.i"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteStream.cpp > CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.i
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling CXX source to assembly CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.s"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteStream.cpp -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.s
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: CMakeFiles/SoapyCariboulite.dir/flags.make
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/soapy_api/CaribouliteSampleQueue.cpp
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_4) "Building CXX object CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSampleQueue.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing CXX source to CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.i"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSampleQueue.cpp > CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.i
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling CXX source to assembly CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.s"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSampleQueue.cpp -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.s
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: CMakeFiles/SoapyCariboulite.dir/flags.make
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/soapy_api/CaribouliteSession.cpp
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_5) "Building CXX object CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSession.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing CXX source to CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.i"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSession.cpp > CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.i
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling CXX source to assembly CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.s"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSession.cpp -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.s
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: CMakeFiles/SoapyCariboulite.dir/flags.make
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/soapy_api/CaribouliteSensors.cpp
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_6) "Building CXX object CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSensors.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing CXX source to CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.i"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSensors.cpp > CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.i
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling CXX source to assembly CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.s"
/usr/bin/c++ $(CXX_DEFINES) $(CXX_INCLUDES) $(CXX_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSensors.cpp -o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.s
# Object files for target SoapyCariboulite
SoapyCariboulite_OBJECTS = \
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o" \
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o" \
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o" \
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o" \
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o" \
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o"
# External object files for target SoapyCariboulite
SoapyCariboulite_EXTERNAL_OBJECTS =
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/build.make
libSoapyCariboulite.so: libcariboulite.a
libSoapyCariboulite.so: /usr/local/lib/libSoapySDR.so.0.8.1
libSoapyCariboulite.so: src/datatypes/libdatatypes.a
libSoapyCariboulite.so: src/ustimer/libustimer.a
libSoapyCariboulite.so: src/caribou_fpga/libcaribou_fpga.a
libSoapyCariboulite.so: src/at86rf215/libat86rf215.a
libSoapyCariboulite.so: src/rffc507x/librffc507x.a
libSoapyCariboulite.so: src/caribou_smi/libcaribou_smi.a
libSoapyCariboulite.so: src/latticeice40/liblatticeice40.a
libSoapyCariboulite.so: src/io_utils/libio_utils.a
libSoapyCariboulite.so: src/cariboulite_config/libcariboulite_config.a
libSoapyCariboulite.so: src/cariboulite_eeprom/libcariboulite_eeprom.a
libSoapyCariboulite.so: src/zf_log/libzf_log.a
libSoapyCariboulite.so: CMakeFiles/SoapyCariboulite.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_7) "Linking CXX shared module libSoapyCariboulite.so"
$(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/SoapyCariboulite.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
CMakeFiles/SoapyCariboulite.dir/build: libSoapyCariboulite.so
.PHONY : CMakeFiles/SoapyCariboulite.dir/build
CMakeFiles/SoapyCariboulite.dir/clean:
$(CMAKE_COMMAND) -P CMakeFiles/SoapyCariboulite.dir/cmake_clean.cmake
.PHONY : CMakeFiles/SoapyCariboulite.dir/clean
CMakeFiles/SoapyCariboulite.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : CMakeFiles/SoapyCariboulite.dir/depend

Wyświetl plik

@ -1,15 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o"
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o"
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o"
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o"
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o"
"CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o"
"libSoapyCariboulite.pdb"
"libSoapyCariboulite.so"
)
# Per-language clean rules from dependency scanning.
foreach(lang CXX)
include(CMakeFiles/SoapyCariboulite.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,126 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config_default.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/datatypes/tsqueue.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.cpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/datatypes/tsqueue.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSampleQueue.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/datatypes/tsqueue.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSensors.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config_default.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/datatypes/tsqueue.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteSession.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config_default.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/datatypes/tsqueue.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/CaribouliteStream.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/datatypes/tsqueue.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/Cariboulite.hpp
/home/pi/projects/cariboulite/software/libcariboulite/src/soapy_api/SoapyCariboulite.cpp

Wyświetl plik

@ -1,126 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/at86rf215/at86rf215.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/cariboulite_config/cariboulite_config_default.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/cariboulite_radios.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/cariboulite_setup.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/datatypes/tsqueue.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/io_utils/io_utils.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/latticeice40/latticeice40.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/rffc507x/rffc507x.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/ustimer/ustimer.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/soapy_api/Cariboulite.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o: ../src/soapy_api/Cariboulite.hpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/at86rf215/at86rf215.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/cariboulite_radios.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/cariboulite_setup.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/datatypes/tsqueue.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/io_utils/io_utils.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/latticeice40/latticeice40.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/rffc507x/rffc507x.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/ustimer/ustimer.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/soapy_api/Cariboulite.hpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o: ../src/soapy_api/CaribouliteSampleQueue.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/at86rf215/at86rf215.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/cariboulite_radios.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/cariboulite_setup.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/datatypes/tsqueue.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/io_utils/io_utils.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/latticeice40/latticeice40.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/rffc507x/rffc507x.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/ustimer/ustimer.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/soapy_api/Cariboulite.hpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o: ../src/soapy_api/CaribouliteSensors.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/at86rf215/at86rf215.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/cariboulite_config/cariboulite_config_default.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/cariboulite_radios.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/cariboulite_setup.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/datatypes/tsqueue.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/io_utils/io_utils.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/latticeice40/latticeice40.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/rffc507x/rffc507x.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/ustimer/ustimer.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/soapy_api/Cariboulite.hpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o: ../src/soapy_api/CaribouliteSession.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/at86rf215/at86rf215.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/cariboulite_config/cariboulite_config_default.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/cariboulite_radios.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/cariboulite_setup.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/datatypes/tsqueue.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/io_utils/io_utils.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/latticeice40/latticeice40.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/rffc507x/rffc507x.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/ustimer/ustimer.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/soapy_api/Cariboulite.hpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o: ../src/soapy_api/CaribouliteStream.cpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/at86rf215/at86rf215.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/cariboulite_radios.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/cariboulite_setup.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/datatypes/tsqueue.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/io_utils/io_utils.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/latticeice40/latticeice40.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/rffc507x/rffc507x.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/ustimer/ustimer.h
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/soapy_api/Cariboulite.hpp
CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o: ../src/soapy_api/SoapyCariboulite.cpp

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# compile CXX with /usr/bin/c++
CXX_DEFINES = -DSoapyCariboulite_EXPORTS
CXX_INCLUDES = -I/home/pi/projects/cariboulite/software/libcariboulite/. -I/home/pi/projects/cariboulite/software/libcariboulite/./include -I/home/pi/projects/cariboulite/software/libcariboulite/src -I/home/pi/projects/cariboulite/software/libcariboulite -I/home/pi/projects/cariboulite/software/libcariboulite/src/datatypes -I/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer -I/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40 -I/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils -I/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log
CXX_FLAGS = -std=c++11 -O3 -O3 -DNDEBUG -fPIC -fvisibility=hidden -fvisibility-inlines-hidden -Wno-unused-parameter -Wno-missing-field-initializers -Wno-parentheses -Wno-psabi -Wall -Wextra -Wno-unused-variable -Wno-missing-braces -Wnon-virtual-dtor

Wyświetl plik

@ -1 +0,0 @@
/usr/bin/c++ -fPIC -std=c++11 -O3 -O3 -DNDEBUG -shared -o libSoapyCariboulite.so CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o -Wl,-rpath,/usr/local/lib: libcariboulite.a /usr/local/lib/libSoapySDR.so.0.8.1 -Wl,--no-undefined src/datatypes/libdatatypes.a src/ustimer/libustimer.a src/caribou_fpga/libcaribou_fpga.a src/at86rf215/libat86rf215.a src/rffc507x/librffc507x.a src/caribou_smi/libcaribou_smi.a src/latticeice40/liblatticeice40.a src/io_utils/libio_utils.a src/cariboulite_config/libcariboulite_config.a src/cariboulite_eeprom/libcariboulite_eeprom.a src/zf_log/libzf_log.a -pthread

Wyświetl plik

@ -1,8 +0,0 @@
CMAKE_PROGRESS_1 = 1
CMAKE_PROGRESS_2 = 2
CMAKE_PROGRESS_3 = 3
CMAKE_PROGRESS_4 = 4
CMAKE_PROGRESS_5 = 5
CMAKE_PROGRESS_6 = 6
CMAKE_PROGRESS_7 = 7

Wyświetl plik

@ -1,98 +0,0 @@
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite_app.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/ice40programmer.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/fpgacomm.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/SoapyCariboulite.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/test_tsqueue.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/datatypes.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/test_tiny_list.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/test_ustimer.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/ustimer.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/test_caribou_fpga.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/test_at86rf215.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/test_caribou_smi.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/caribou_smi.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/latticeice40.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/test_io_utils.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/io_utils.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/test_rffc507x.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/rffc507x.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/cariboulite_config.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/test_cariboulite_config.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/install/local.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/test_cariboulite_eeprom.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/install/strip.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/edit_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/zf_log.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/rebuild_cache.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/list_install_components.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/install.dir
/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/install/local.dir

Wyświetl plik

@ -1,240 +0,0 @@
#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">])
#IncludeRegexScan: ^.*$
#IncludeRegexComplain: ^$
#IncludeRegexTransform:
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
stdio.h
-
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
stdio.h
-
stdint.h
-
at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
pthread.h
-
stdint.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
latticeice40/latticeice40.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/at86rf215/at86rf215.h
rffc507x/rffc507x.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/caribou_smi/caribou_smi.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_fpga_firmware.h
stdio.h
-
stdint.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.c
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h
stdio.h
-
cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
cariboulite_fpga_firmware.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_fpga_firmware.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
latticeice40/latticeice40.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
rffc507x/rffc507x.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
unistd.h
-
fcntl.h
-
signal.h
-
string.h
-
sys/ioctl.h
-
sys/mman.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
stdint.h
-
linux/types.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
stdlib.h
-
unistd.h
-
stdio.h
-
signal.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h

Wyświetl plik

@ -1,42 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"C"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_C
"/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o"
)
set(CMAKE_C_COMPILER_ID "GNU")
# The include file search paths:
set(CMAKE_C_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"../"
"../src/datatypes"
"../src/ustimer"
"../src/latticeice40"
"../src/io_utils"
"../src/zf_log"
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/datatypes.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/ustimer.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/rffc507x.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/caribou_smi.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/latticeice40.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/io_utils.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/cariboulite_config.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/zf_log.dir/DependInfo.cmake"
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,148 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include CMakeFiles/cariboulite.dir/depend.make
# Include the progress variables for this target.
include CMakeFiles/cariboulite.dir/progress.make
# Include the compile flags for this target's objects.
include CMakeFiles/cariboulite.dir/flags.make
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: CMakeFiles/cariboulite.dir/flags.make
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/cariboulite_setup.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.c
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.i"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.c > CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.i
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.s"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.c -o CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.s
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: CMakeFiles/cariboulite.dir/flags.make
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/cariboulite_events.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Building C object CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.c
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/cariboulite.dir/src/cariboulite_events.c.i"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.c > CMakeFiles/cariboulite.dir/src/cariboulite_events.c.i
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/cariboulite.dir/src/cariboulite_events.c.s"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.c -o CMakeFiles/cariboulite.dir/src/cariboulite_events.c.s
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: CMakeFiles/cariboulite.dir/flags.make
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/cariboulite_radios.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_3) "Building C object CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.c
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.i"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.c > CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.i
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.s"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.c -o CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.s
# Object files for target cariboulite
cariboulite_OBJECTS = \
"CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o" \
"CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o" \
"CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o"
# External object files for target cariboulite
cariboulite_EXTERNAL_OBJECTS =
libcariboulite.a: CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o
libcariboulite.a: CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o
libcariboulite.a: CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o
libcariboulite.a: CMakeFiles/cariboulite.dir/build.make
libcariboulite.a: CMakeFiles/cariboulite.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_4) "Linking C static library libcariboulite.a"
$(CMAKE_COMMAND) -P CMakeFiles/cariboulite.dir/cmake_clean_target.cmake
$(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/cariboulite.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
CMakeFiles/cariboulite.dir/build: libcariboulite.a
.PHONY : CMakeFiles/cariboulite.dir/build
CMakeFiles/cariboulite.dir/clean:
$(CMAKE_COMMAND) -P CMakeFiles/cariboulite.dir/cmake_clean.cmake
.PHONY : CMakeFiles/cariboulite.dir/clean
CMakeFiles/cariboulite.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : CMakeFiles/cariboulite.dir/depend

Wyświetl plik

@ -1,12 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o"
"CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o"
"CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o"
"libcariboulite.a"
"libcariboulite.pdb"
)
# Per-language clean rules from dependency scanning.
foreach(lang C)
include(CMakeFiles/cariboulite.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,3 +0,0 @@
file(REMOVE_RECURSE
"libcariboulite.a"
)

Wyświetl plik

@ -1,62 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.c
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o
../src/caribou_fpga/caribou_fpga.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.c
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_fpga_firmware.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.c
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h

Wyświetl plik

@ -1,62 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/at86rf215/at86rf215.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/io_utils/io_utils.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/latticeice40/latticeice40.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/rffc507x/rffc507x.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/ustimer/ustimer.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/cariboulite_config/cariboulite_config_default.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/cariboulite_events.c
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/cariboulite_events.h
CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o: ../src/zf_log/zf_log.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/io_utils/io_utils.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/latticeice40/latticeice40.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/rffc507x/rffc507x.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/ustimer/ustimer.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/at86rf215/at86rf215.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/cariboulite_events.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/cariboulite_radios.c
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/cariboulite_radios.h
CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o: ../src/zf_log/zf_log.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/at86rf215/at86rf215.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/cariboulite_events.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/cariboulite_fpga_firmware.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/cariboulite_radios.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/cariboulite_setup.c
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/cariboulite_setup.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/io_utils/io_utils.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/latticeice40/latticeice40.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/rffc507x/rffc507x.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/ustimer/ustimer.h
CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o: ../src/zf_log/zf_log.h

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# compile C with /usr/bin/cc
C_DEFINES =
C_INCLUDES = -I/home/pi/projects/cariboulite/software/libcariboulite/. -I/home/pi/projects/cariboulite/software/libcariboulite/./include -I/home/pi/projects/cariboulite/software/libcariboulite/src -I/home/pi/projects/cariboulite/software/libcariboulite -I/home/pi/projects/cariboulite/software/libcariboulite/src/datatypes -I/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer -I/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40 -I/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils -I/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log
C_FLAGS = -O3 -DNDEBUG -Wno-unused-parameter -Wno-missing-field-initializers -Wno-parentheses -Wno-psabi

Wyświetl plik

@ -1,2 +0,0 @@
/usr/bin/ar qc libcariboulite.a CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o
/usr/bin/ranlib libcariboulite.a

Wyświetl plik

@ -1,5 +0,0 @@
CMAKE_PROGRESS_1 = 17
CMAKE_PROGRESS_2 = 18
CMAKE_PROGRESS_3 = 19
CMAKE_PROGRESS_4 = 20

Wyświetl plik

@ -1,252 +0,0 @@
#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">])
#IncludeRegexScan: ^.*$
#IncludeRegexComplain: ^$
#IncludeRegexTransform:
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
stdio.h
-
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
stdio.h
-
stdint.h
-
at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
pthread.h
-
stdint.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.c
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h
cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
cariboulite.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.h
cariboulite_eeprom/cariboulite_eeprom.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_eeprom/cariboulite_eeprom.h
stdio.h
-
signal.h
-
string.h
-
unistd.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.h
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
latticeice40/latticeice40.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/at86rf215/at86rf215.h
rffc507x/rffc507x.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/caribou_smi/caribou_smi.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config_default.h
cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_eeprom/cariboulite_eeprom.h
stdint.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
latticeice40/latticeice40.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
rffc507x/rffc507x.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
unistd.h
-
fcntl.h
-
signal.h
-
string.h
-
sys/ioctl.h
-
sys/mman.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
stdint.h
-
linux/types.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
stdlib.h
-
unistd.h
-
stdio.h
-
signal.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h

Wyświetl plik

@ -1,41 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"C"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_C
"/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o"
)
set(CMAKE_C_COMPILER_ID "GNU")
# The include file search paths:
set(CMAKE_C_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"../"
"../src/datatypes"
"../src/ustimer"
"../src/latticeice40"
"../src/io_utils"
"../src/zf_log"
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
"/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/datatypes.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/ustimer.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/rffc507x.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/caribou_smi.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/latticeice40.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/io_utils.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/cariboulite_config.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/zf_log.dir/DependInfo.cmake"
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,129 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include CMakeFiles/cariboulite_app.dir/depend.make
# Include the progress variables for this target.
include CMakeFiles/cariboulite_app.dir/progress.make
# Include the compile flags for this target's objects.
include CMakeFiles/cariboulite_app.dir/flags.make
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: CMakeFiles/cariboulite_app.dir/flags.make
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.c
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/cariboulite_app.dir/src/cariboulite.c.i"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.c > CMakeFiles/cariboulite_app.dir/src/cariboulite.c.i
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/cariboulite_app.dir/src/cariboulite.c.s"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.c -o CMakeFiles/cariboulite_app.dir/src/cariboulite.c.s
# Object files for target cariboulite_app
cariboulite_app_OBJECTS = \
"CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o"
# External object files for target cariboulite_app
cariboulite_app_EXTERNAL_OBJECTS =
cariboulite_app: CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o
cariboulite_app: CMakeFiles/cariboulite_app.dir/build.make
cariboulite_app: libcariboulite.a
cariboulite_app: src/datatypes/libdatatypes.a
cariboulite_app: src/ustimer/libustimer.a
cariboulite_app: src/caribou_fpga/libcaribou_fpga.a
cariboulite_app: src/at86rf215/libat86rf215.a
cariboulite_app: src/rffc507x/librffc507x.a
cariboulite_app: src/caribou_smi/libcaribou_smi.a
cariboulite_app: src/latticeice40/liblatticeice40.a
cariboulite_app: src/io_utils/libio_utils.a
cariboulite_app: src/cariboulite_config/libcariboulite_config.a
cariboulite_app: src/cariboulite_eeprom/libcariboulite_eeprom.a
cariboulite_app: src/zf_log/libzf_log.a
cariboulite_app: CMakeFiles/cariboulite_app.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Linking C executable cariboulite_app"
$(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/cariboulite_app.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
CMakeFiles/cariboulite_app.dir/build: cariboulite_app
.PHONY : CMakeFiles/cariboulite_app.dir/build
CMakeFiles/cariboulite_app.dir/clean:
$(CMAKE_COMMAND) -P CMakeFiles/cariboulite_app.dir/cmake_clean.cmake
.PHONY : CMakeFiles/cariboulite_app.dir/clean
CMakeFiles/cariboulite_app.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite_app.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : CMakeFiles/cariboulite_app.dir/depend

Wyświetl plik

@ -1,10 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o"
"cariboulite_app"
"cariboulite_app.pdb"
)
# Per-language clean rules from dependency scanning.
foreach(lang C)
include(CMakeFiles/cariboulite_app.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,26 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_smi/caribou_smi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.c
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_eeprom/cariboulite_eeprom.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_events.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_radios.h
/home/pi/projects/cariboulite/software/libcariboulite/src/cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/io_utils_sys_info.h
/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils/pigpio/pigpio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40/latticeice40.h
/home/pi/projects/cariboulite/software/libcariboulite/src/rffc507x/rffc507x.h
/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log/zf_log.h

Wyświetl plik

@ -1,26 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/at86rf215/at86rf215.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite.c
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite_config/cariboulite_config_default.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite_eeprom/cariboulite_eeprom.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite_events.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite_radios.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/cariboulite_setup.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/io_utils/io_utils.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/latticeice40/latticeice40.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/rffc507x/rffc507x.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/ustimer/ustimer.h
CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o: ../src/zf_log/zf_log.h

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# compile C with /usr/bin/cc
C_DEFINES =
C_INCLUDES = -I/home/pi/projects/cariboulite/software/libcariboulite/. -I/home/pi/projects/cariboulite/software/libcariboulite/./include -I/home/pi/projects/cariboulite/software/libcariboulite/src -I/home/pi/projects/cariboulite/software/libcariboulite -I/home/pi/projects/cariboulite/software/libcariboulite/src/datatypes -I/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer -I/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40 -I/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils -I/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log
C_FLAGS = -O3 -DNDEBUG -Wno-unused-parameter -Wno-missing-field-initializers -Wno-parentheses -Wno-psabi -Wall -Wextra -Wno-unused-variable -Wno-missing-braces

Wyświetl plik

@ -1 +0,0 @@
/usr/bin/cc -O3 -DNDEBUG CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o -o cariboulite_app libcariboulite.a -lpthread -lm -lrt src/datatypes/libdatatypes.a src/ustimer/libustimer.a src/caribou_fpga/libcaribou_fpga.a src/at86rf215/libat86rf215.a src/rffc507x/librffc507x.a src/caribou_smi/libcaribou_smi.a src/latticeice40/liblatticeice40.a src/io_utils/libio_utils.a src/cariboulite_config/libcariboulite_config.a src/cariboulite_eeprom/libcariboulite_eeprom.a src/zf_log/libzf_log.a

Wyświetl plik

@ -1,3 +0,0 @@
CMAKE_PROGRESS_1 = 21
CMAKE_PROGRESS_2 = 22

Wyświetl plik

@ -1 +0,0 @@
# This file is generated by cmake for dependency checking of the CMakeCache.txt file

Wyświetl plik

@ -1,230 +0,0 @@
#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">])
#IncludeRegexScan: ^.*$
#IncludeRegexComplain: ^$
#IncludeRegexTransform:
../include/cariboulite.h
../src/at86rf215/at86rf215.h
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
at86rf215_radio.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_common.h
stdio.h
-
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
io_utils/io_utils.h
../src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/at86rf215/io_utils/io_utils_spi.h
at86rf215_regs.h
../src/at86rf215/at86rf215_regs.h
../src/at86rf215/at86rf215_radio.h
stdio.h
-
stdint.h
-
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
../src/caribou_fpga/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/caribou_fpga/io_utils/io_utils_spi.h
../src/caribou_smi/caribou_smi.h
pthread.h
-
stdint.h
-
../src/cariboulite_config/cariboulite_config.h
latticeice40/latticeice40.h
../src/cariboulite_config/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
../src/cariboulite_config/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
../src/cariboulite_config/at86rf215/at86rf215.h
rffc507x/rffc507x.h
../src/cariboulite_config/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
../src/cariboulite_config/caribou_smi/caribou_smi.h
io_utils/io_utils.h
../src/cariboulite_config/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/cariboulite_config/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
../src/cariboulite_config/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
../src/cariboulite_config/ustimer/ustimer.h
../src/cariboulite_config/cariboulite_config_default.h
cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_radios.h
cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
at86rf215/at86rf215.h
../src/at86rf215/at86rf215.h
../src/cariboulite_setup.h
cariboulite_radios.h
../src/cariboulite_radios.h
latticeice40/latticeice40.h
../src/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
../src/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
../src/at86rf215/at86rf215.h
rffc507x/rffc507x.h
../src/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
../src/caribou_smi/caribou_smi.h
io_utils/io_utils.h
../src/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
../src/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
../src/ustimer/ustimer.h
cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
../src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
../src/io_utils/pigpio/pigpio.h
../src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_sys_info.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
unistd.h
-
fcntl.h
-
signal.h
-
string.h
-
sys/ioctl.h
-
sys/mman.h
-
../src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
../src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
../src/latticeice40/latticeice40.h
stdint.h
-
linux/types.h
-
io_utils/io_utils.h
../src/latticeice40/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/latticeice40/io_utils/io_utils_spi.h
../src/rffc507x/rffc507x.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
../src/rffc507x/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/rffc507x/io_utils/io_utils_spi.h
../src/ustimer/ustimer.h
stdlib.h
-
unistd.h
-
stdio.h
-
signal.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/test/fpga_comm_test.c
stdio.h
-
cariboulite.h
/home/pi/projects/cariboulite/software/libcariboulite/test/cariboulite.h
cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/test/cariboulite_setup.h
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/test/cariboulite_config/cariboulite_config_default.h

Wyświetl plik

@ -1,41 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"C"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_C
"/home/pi/projects/cariboulite/software/libcariboulite/test/fpga_comm_test.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o"
)
set(CMAKE_C_COMPILER_ID "GNU")
# The include file search paths:
set(CMAKE_C_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"../"
"../src/datatypes"
"../src/ustimer"
"../src/latticeice40"
"../src/io_utils"
"../src/zf_log"
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
"/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/datatypes.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/ustimer.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/rffc507x.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/caribou_smi.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/latticeice40.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/io_utils.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/cariboulite_config.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/zf_log.dir/DependInfo.cmake"
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,129 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include CMakeFiles/fpgacomm.dir/depend.make
# Include the progress variables for this target.
include CMakeFiles/fpgacomm.dir/progress.make
# Include the compile flags for this target's objects.
include CMakeFiles/fpgacomm.dir/flags.make
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: CMakeFiles/fpgacomm.dir/flags.make
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../test/fpga_comm_test.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/test/fpga_comm_test.c
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.i"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/test/fpga_comm_test.c > CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.i
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.s"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/test/fpga_comm_test.c -o CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.s
# Object files for target fpgacomm
fpgacomm_OBJECTS = \
"CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o"
# External object files for target fpgacomm
fpgacomm_EXTERNAL_OBJECTS =
test/fpgacomm: CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o
test/fpgacomm: CMakeFiles/fpgacomm.dir/build.make
test/fpgacomm: libcariboulite.a
test/fpgacomm: src/datatypes/libdatatypes.a
test/fpgacomm: src/ustimer/libustimer.a
test/fpgacomm: src/caribou_fpga/libcaribou_fpga.a
test/fpgacomm: src/at86rf215/libat86rf215.a
test/fpgacomm: src/rffc507x/librffc507x.a
test/fpgacomm: src/caribou_smi/libcaribou_smi.a
test/fpgacomm: src/latticeice40/liblatticeice40.a
test/fpgacomm: src/io_utils/libio_utils.a
test/fpgacomm: src/cariboulite_config/libcariboulite_config.a
test/fpgacomm: src/cariboulite_eeprom/libcariboulite_eeprom.a
test/fpgacomm: src/zf_log/libzf_log.a
test/fpgacomm: CMakeFiles/fpgacomm.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Linking C executable test/fpgacomm"
$(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/fpgacomm.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
CMakeFiles/fpgacomm.dir/build: test/fpgacomm
.PHONY : CMakeFiles/fpgacomm.dir/build
CMakeFiles/fpgacomm.dir/clean:
$(CMAKE_COMMAND) -P CMakeFiles/fpgacomm.dir/cmake_clean.cmake
.PHONY : CMakeFiles/fpgacomm.dir/clean
CMakeFiles/fpgacomm.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/fpgacomm.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : CMakeFiles/fpgacomm.dir/depend

Wyświetl plik

@ -1,10 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o"
"test/fpgacomm"
"test/fpgacomm.pdb"
)
# Per-language clean rules from dependency scanning.
foreach(lang C)
include(CMakeFiles/fpgacomm.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,23 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o
../include/cariboulite.h
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config_default.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/test/fpga_comm_test.c

Wyświetl plik

@ -1,23 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../include/cariboulite.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/at86rf215/at86rf215.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/cariboulite_config/cariboulite_config_default.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/cariboulite_radios.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/cariboulite_setup.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/io_utils/io_utils.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/latticeice40/latticeice40.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/rffc507x/rffc507x.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../src/ustimer/ustimer.h
CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o: ../test/fpga_comm_test.c

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# compile C with /usr/bin/cc
C_DEFINES =
C_INCLUDES = -I/home/pi/projects/cariboulite/software/libcariboulite/. -I/home/pi/projects/cariboulite/software/libcariboulite/./include -I/home/pi/projects/cariboulite/software/libcariboulite/src -I/home/pi/projects/cariboulite/software/libcariboulite -I/home/pi/projects/cariboulite/software/libcariboulite/src/datatypes -I/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer -I/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40 -I/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils -I/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log
C_FLAGS = -O3 -DNDEBUG -Wno-unused-parameter -Wno-missing-field-initializers -Wno-parentheses -Wno-psabi -Wall -Wextra -Wno-unused-variable -Wno-missing-braces

Wyświetl plik

@ -1 +0,0 @@
/usr/bin/cc -O3 -DNDEBUG CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o -o test/fpgacomm libcariboulite.a -lpthread -lm -lrt src/datatypes/libdatatypes.a src/ustimer/libustimer.a src/caribou_fpga/libcaribou_fpga.a src/at86rf215/libat86rf215.a src/rffc507x/librffc507x.a src/caribou_smi/libcaribou_smi.a src/latticeice40/liblatticeice40.a src/io_utils/libio_utils.a src/cariboulite_config/libcariboulite_config.a src/cariboulite_eeprom/libcariboulite_eeprom.a src/zf_log/libzf_log.a

Wyświetl plik

@ -1,3 +0,0 @@
CMAKE_PROGRESS_1 = 30
CMAKE_PROGRESS_2 = 31

Wyświetl plik

@ -1,230 +0,0 @@
#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">])
#IncludeRegexScan: ^.*$
#IncludeRegexComplain: ^$
#IncludeRegexTransform:
../include/cariboulite.h
../src/at86rf215/at86rf215.h
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
at86rf215_radio.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_common.h
stdio.h
-
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
io_utils/io_utils.h
../src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/at86rf215/io_utils/io_utils_spi.h
at86rf215_regs.h
../src/at86rf215/at86rf215_regs.h
../src/at86rf215/at86rf215_radio.h
stdio.h
-
stdint.h
-
at86rf215_common.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
../src/caribou_fpga/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/caribou_fpga/io_utils/io_utils_spi.h
../src/caribou_smi/caribou_smi.h
pthread.h
-
stdint.h
-
../src/cariboulite_config/cariboulite_config.h
latticeice40/latticeice40.h
../src/cariboulite_config/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
../src/cariboulite_config/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
../src/cariboulite_config/at86rf215/at86rf215.h
rffc507x/rffc507x.h
../src/cariboulite_config/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
../src/cariboulite_config/caribou_smi/caribou_smi.h
io_utils/io_utils.h
../src/cariboulite_config/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/cariboulite_config/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
../src/cariboulite_config/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
../src/cariboulite_config/ustimer/ustimer.h
../src/cariboulite_config/cariboulite_config_default.h
cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_radios.h
cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
at86rf215/at86rf215.h
../src/at86rf215/at86rf215.h
../src/cariboulite_setup.h
cariboulite_radios.h
../src/cariboulite_radios.h
latticeice40/latticeice40.h
../src/latticeice40/latticeice40.h
caribou_fpga/caribou_fpga.h
../src/caribou_fpga/caribou_fpga.h
at86rf215/at86rf215.h
../src/at86rf215/at86rf215.h
rffc507x/rffc507x.h
../src/rffc507x/rffc507x.h
caribou_smi/caribou_smi.h
../src/caribou_smi/caribou_smi.h
io_utils/io_utils.h
../src/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/io_utils/io_utils_spi.h
io_utils/io_utils_sys_info.h
../src/io_utils/io_utils_sys_info.h
ustimer/ustimer.h
../src/ustimer/ustimer.h
cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config.h
../src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
../src/io_utils/pigpio/pigpio.h
../src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_sys_info.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
unistd.h
-
fcntl.h
-
signal.h
-
string.h
-
sys/ioctl.h
-
sys/mman.h
-
../src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
../src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
../src/latticeice40/latticeice40.h
stdint.h
-
linux/types.h
-
io_utils/io_utils.h
../src/latticeice40/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/latticeice40/io_utils/io_utils_spi.h
../src/rffc507x/rffc507x.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
../src/rffc507x/io_utils/io_utils.h
io_utils/io_utils_spi.h
../src/rffc507x/io_utils/io_utils_spi.h
../src/ustimer/ustimer.h
stdlib.h
-
unistd.h
-
stdio.h
-
signal.h
-
time.h
-
/home/pi/projects/cariboulite/software/libcariboulite/test/ice40_programmer.c
stdio.h
-
cariboulite.h
/home/pi/projects/cariboulite/software/libcariboulite/test/cariboulite.h
cariboulite_setup.h
/home/pi/projects/cariboulite/software/libcariboulite/test/cariboulite_setup.h
cariboulite_config/cariboulite_config_default.h
/home/pi/projects/cariboulite/software/libcariboulite/test/cariboulite_config/cariboulite_config_default.h

Wyświetl plik

@ -1,41 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"C"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_C
"/home/pi/projects/cariboulite/software/libcariboulite/test/ice40_programmer.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o"
)
set(CMAKE_C_COMPILER_ID "GNU")
# The include file search paths:
set(CMAKE_C_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"../"
"../src/datatypes"
"../src/ustimer"
"../src/latticeice40"
"../src/io_utils"
"../src/zf_log"
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
"/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/cariboulite.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/datatypes/CMakeFiles/datatypes.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/ustimer/CMakeFiles/ustimer.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/rffc507x/CMakeFiles/rffc507x.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_smi/CMakeFiles/caribou_smi.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/latticeice40/CMakeFiles/latticeice40.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/io_utils/CMakeFiles/io_utils.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_config/CMakeFiles/cariboulite_config.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/DependInfo.cmake"
"/home/pi/projects/cariboulite/software/libcariboulite/build/src/zf_log/CMakeFiles/zf_log.dir/DependInfo.cmake"
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,129 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include CMakeFiles/ice40programmer.dir/depend.make
# Include the progress variables for this target.
include CMakeFiles/ice40programmer.dir/progress.make
# Include the compile flags for this target's objects.
include CMakeFiles/ice40programmer.dir/flags.make
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: CMakeFiles/ice40programmer.dir/flags.make
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../test/ice40_programmer.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/test/ice40_programmer.c
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.i"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/test/ice40_programmer.c > CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.i
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.s"
/usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/test/ice40_programmer.c -o CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.s
# Object files for target ice40programmer
ice40programmer_OBJECTS = \
"CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o"
# External object files for target ice40programmer
ice40programmer_EXTERNAL_OBJECTS =
test/ice40programmer: CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o
test/ice40programmer: CMakeFiles/ice40programmer.dir/build.make
test/ice40programmer: libcariboulite.a
test/ice40programmer: src/datatypes/libdatatypes.a
test/ice40programmer: src/ustimer/libustimer.a
test/ice40programmer: src/caribou_fpga/libcaribou_fpga.a
test/ice40programmer: src/at86rf215/libat86rf215.a
test/ice40programmer: src/rffc507x/librffc507x.a
test/ice40programmer: src/caribou_smi/libcaribou_smi.a
test/ice40programmer: src/latticeice40/liblatticeice40.a
test/ice40programmer: src/io_utils/libio_utils.a
test/ice40programmer: src/cariboulite_config/libcariboulite_config.a
test/ice40programmer: src/cariboulite_eeprom/libcariboulite_eeprom.a
test/ice40programmer: src/zf_log/libzf_log.a
test/ice40programmer: CMakeFiles/ice40programmer.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Linking C executable test/ice40programmer"
$(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/ice40programmer.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
CMakeFiles/ice40programmer.dir/build: test/ice40programmer
.PHONY : CMakeFiles/ice40programmer.dir/build
CMakeFiles/ice40programmer.dir/clean:
$(CMAKE_COMMAND) -P CMakeFiles/ice40programmer.dir/cmake_clean.cmake
.PHONY : CMakeFiles/ice40programmer.dir/clean
CMakeFiles/ice40programmer.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles/ice40programmer.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : CMakeFiles/ice40programmer.dir/depend

Wyświetl plik

@ -1,10 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o"
"test/ice40programmer"
"test/ice40programmer.pdb"
)
# Per-language clean rules from dependency scanning.
foreach(lang C)
include(CMakeFiles/ice40programmer.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,23 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o
../include/cariboulite.h
../src/at86rf215/at86rf215.h
../src/at86rf215/at86rf215_common.h
../src/at86rf215/at86rf215_radio.h
../src/at86rf215/at86rf215_regs.h
../src/caribou_fpga/caribou_fpga.h
../src/caribou_smi/caribou_smi.h
../src/cariboulite_config/cariboulite_config.h
../src/cariboulite_config/cariboulite_config_default.h
../src/cariboulite_radios.h
../src/cariboulite_setup.h
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/io_utils_sys_info.h
../src/io_utils/pigpio/pigpio.h
../src/latticeice40/latticeice40.h
../src/rffc507x/rffc507x.h
../src/ustimer/ustimer.h
/home/pi/projects/cariboulite/software/libcariboulite/test/ice40_programmer.c

Wyświetl plik

@ -1,23 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../include/cariboulite.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/at86rf215/at86rf215.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/at86rf215/at86rf215_common.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/at86rf215/at86rf215_radio.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/at86rf215/at86rf215_regs.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/caribou_fpga/caribou_fpga.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/caribou_smi/caribou_smi.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/cariboulite_config/cariboulite_config.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/cariboulite_config/cariboulite_config_default.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/cariboulite_radios.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/cariboulite_setup.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/io_utils/io_utils.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/io_utils/io_utils_spi.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/io_utils/io_utils_sys_info.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/io_utils/pigpio/pigpio.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/latticeice40/latticeice40.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/rffc507x/rffc507x.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../src/ustimer/ustimer.h
CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o: ../test/ice40_programmer.c

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# compile C with /usr/bin/cc
C_DEFINES =
C_INCLUDES = -I/home/pi/projects/cariboulite/software/libcariboulite/. -I/home/pi/projects/cariboulite/software/libcariboulite/./include -I/home/pi/projects/cariboulite/software/libcariboulite/src -I/home/pi/projects/cariboulite/software/libcariboulite -I/home/pi/projects/cariboulite/software/libcariboulite/src/datatypes -I/home/pi/projects/cariboulite/software/libcariboulite/src/ustimer -I/home/pi/projects/cariboulite/software/libcariboulite/src/latticeice40 -I/home/pi/projects/cariboulite/software/libcariboulite/src/io_utils -I/home/pi/projects/cariboulite/software/libcariboulite/src/zf_log
C_FLAGS = -O3 -DNDEBUG -Wno-unused-parameter -Wno-missing-field-initializers -Wno-parentheses -Wno-psabi -Wall -Wextra -Wno-unused-variable -Wno-missing-braces

Wyświetl plik

@ -1 +0,0 @@
/usr/bin/cc -O3 -DNDEBUG CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o -o test/ice40programmer libcariboulite.a -lpthread -lm -lrt src/datatypes/libdatatypes.a src/ustimer/libustimer.a src/caribou_fpga/libcaribou_fpga.a src/at86rf215/libat86rf215.a src/rffc507x/librffc507x.a src/caribou_smi/libcaribou_smi.a src/latticeice40/liblatticeice40.a src/io_utils/libio_utils.a src/cariboulite_config/libcariboulite_config.a src/cariboulite_eeprom/libcariboulite_eeprom.a src/zf_log/libzf_log.a

Wyświetl plik

@ -1,3 +0,0 @@
CMAKE_PROGRESS_1 = 32
CMAKE_PROGRESS_2 = 33

Wyświetl plik

@ -1,927 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Default target executed when no arguments are given to make.
default_target: all
.PHONY : default_target
# Allow only one "make -f Makefile2" at a time, but pass parallelism.
.NOTPARALLEL:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
#=============================================================================
# Targets provided globally by CMake.
# Special rule for the target install/strip
install/strip: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing the project stripped..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake
.PHONY : install/strip
# Special rule for the target install/strip
install/strip/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing the project stripped..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake
.PHONY : install/strip/fast
# Special rule for the target install/local
install/local: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing only the local directory..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake
.PHONY : install/local
# Special rule for the target install/local
install/local/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing only the local directory..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake
.PHONY : install/local/fast
# Special rule for the target install
install: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Install the project..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -P cmake_install.cmake
.PHONY : install
# Special rule for the target install
install/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Install the project..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -P cmake_install.cmake
.PHONY : install/fast
# Special rule for the target rebuild_cache
rebuild_cache:
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Running CMake to regenerate build system..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake --regenerate-during-build -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR)
.PHONY : rebuild_cache
# Special rule for the target rebuild_cache
rebuild_cache/fast: rebuild_cache
.PHONY : rebuild_cache/fast
# Special rule for the target list_install_components
list_install_components:
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Available install components are: \"Unspecified\""
.PHONY : list_install_components
# Special rule for the target list_install_components
list_install_components/fast: list_install_components
.PHONY : list_install_components/fast
# Special rule for the target edit_cache
edit_cache:
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "No interactive CMake dialog available..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E echo No\ interactive\ CMake\ dialog\ available.
.PHONY : edit_cache
# Special rule for the target edit_cache
edit_cache/fast: edit_cache
.PHONY : edit_cache/fast
# The main all target
all: cmake_check_build_system
$(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles /home/pi/projects/cariboulite/software/libcariboulite/build//CMakeFiles/progress.marks
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 all
$(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles 0
.PHONY : all
# The main clean target
clean:
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 clean
.PHONY : clean
# The main clean target
clean/fast: clean
.PHONY : clean/fast
# Prepare targets for installation.
preinstall: all
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 preinstall
.PHONY : preinstall
# Prepare targets for installation.
preinstall/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 preinstall
.PHONY : preinstall/fast
# clear depends
depend:
$(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 1
.PHONY : depend
#=============================================================================
# Target rules for targets named cariboulite
# Build rule for target.
cariboulite: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 cariboulite
.PHONY : cariboulite
# fast build rule for target.
cariboulite/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/build
.PHONY : cariboulite/fast
#=============================================================================
# Target rules for targets named cariboulite_app
# Build rule for target.
cariboulite_app: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 cariboulite_app
.PHONY : cariboulite_app
# fast build rule for target.
cariboulite_app/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite_app.dir/build.make CMakeFiles/cariboulite_app.dir/build
.PHONY : cariboulite_app/fast
#=============================================================================
# Target rules for targets named ice40programmer
# Build rule for target.
ice40programmer: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 ice40programmer
.PHONY : ice40programmer
# fast build rule for target.
ice40programmer/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/ice40programmer.dir/build.make CMakeFiles/ice40programmer.dir/build
.PHONY : ice40programmer/fast
#=============================================================================
# Target rules for targets named fpgacomm
# Build rule for target.
fpgacomm: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 fpgacomm
.PHONY : fpgacomm
# fast build rule for target.
fpgacomm/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/fpgacomm.dir/build.make CMakeFiles/fpgacomm.dir/build
.PHONY : fpgacomm/fast
#=============================================================================
# Target rules for targets named SoapyCariboulite
# Build rule for target.
SoapyCariboulite: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 SoapyCariboulite
.PHONY : SoapyCariboulite
# fast build rule for target.
SoapyCariboulite/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/build
.PHONY : SoapyCariboulite/fast
#=============================================================================
# Target rules for targets named test_tsqueue
# Build rule for target.
test_tsqueue: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_tsqueue
.PHONY : test_tsqueue
# fast build rule for target.
test_tsqueue/fast:
$(MAKE) $(MAKESILENT) -f src/datatypes/CMakeFiles/test_tsqueue.dir/build.make src/datatypes/CMakeFiles/test_tsqueue.dir/build
.PHONY : test_tsqueue/fast
#=============================================================================
# Target rules for targets named datatypes
# Build rule for target.
datatypes: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 datatypes
.PHONY : datatypes
# fast build rule for target.
datatypes/fast:
$(MAKE) $(MAKESILENT) -f src/datatypes/CMakeFiles/datatypes.dir/build.make src/datatypes/CMakeFiles/datatypes.dir/build
.PHONY : datatypes/fast
#=============================================================================
# Target rules for targets named test_tiny_list
# Build rule for target.
test_tiny_list: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_tiny_list
.PHONY : test_tiny_list
# fast build rule for target.
test_tiny_list/fast:
$(MAKE) $(MAKESILENT) -f src/datatypes/CMakeFiles/test_tiny_list.dir/build.make src/datatypes/CMakeFiles/test_tiny_list.dir/build
.PHONY : test_tiny_list/fast
#=============================================================================
# Target rules for targets named test_ustimer
# Build rule for target.
test_ustimer: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_ustimer
.PHONY : test_ustimer
# fast build rule for target.
test_ustimer/fast:
$(MAKE) $(MAKESILENT) -f src/ustimer/CMakeFiles/test_ustimer.dir/build.make src/ustimer/CMakeFiles/test_ustimer.dir/build
.PHONY : test_ustimer/fast
#=============================================================================
# Target rules for targets named ustimer
# Build rule for target.
ustimer: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 ustimer
.PHONY : ustimer
# fast build rule for target.
ustimer/fast:
$(MAKE) $(MAKESILENT) -f src/ustimer/CMakeFiles/ustimer.dir/build.make src/ustimer/CMakeFiles/ustimer.dir/build
.PHONY : ustimer/fast
#=============================================================================
# Target rules for targets named test_caribou_fpga
# Build rule for target.
test_caribou_fpga: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_caribou_fpga
.PHONY : test_caribou_fpga
# fast build rule for target.
test_caribou_fpga/fast:
$(MAKE) $(MAKESILENT) -f src/caribou_fpga/CMakeFiles/test_caribou_fpga.dir/build.make src/caribou_fpga/CMakeFiles/test_caribou_fpga.dir/build
.PHONY : test_caribou_fpga/fast
#=============================================================================
# Target rules for targets named caribou_fpga
# Build rule for target.
caribou_fpga: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 caribou_fpga
.PHONY : caribou_fpga
# fast build rule for target.
caribou_fpga/fast:
$(MAKE) $(MAKESILENT) -f src/caribou_fpga/CMakeFiles/caribou_fpga.dir/build.make src/caribou_fpga/CMakeFiles/caribou_fpga.dir/build
.PHONY : caribou_fpga/fast
#=============================================================================
# Target rules for targets named test_at86rf215
# Build rule for target.
test_at86rf215: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_at86rf215
.PHONY : test_at86rf215
# fast build rule for target.
test_at86rf215/fast:
$(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/build
.PHONY : test_at86rf215/fast
#=============================================================================
# Target rules for targets named at86rf215
# Build rule for target.
at86rf215: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 at86rf215
.PHONY : at86rf215
# fast build rule for target.
at86rf215/fast:
$(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/build
.PHONY : at86rf215/fast
#=============================================================================
# Target rules for targets named test_caribou_smi
# Build rule for target.
test_caribou_smi: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_caribou_smi
.PHONY : test_caribou_smi
# fast build rule for target.
test_caribou_smi/fast:
$(MAKE) $(MAKESILENT) -f src/caribou_smi/CMakeFiles/test_caribou_smi.dir/build.make src/caribou_smi/CMakeFiles/test_caribou_smi.dir/build
.PHONY : test_caribou_smi/fast
#=============================================================================
# Target rules for targets named caribou_smi
# Build rule for target.
caribou_smi: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 caribou_smi
.PHONY : caribou_smi
# fast build rule for target.
caribou_smi/fast:
$(MAKE) $(MAKESILENT) -f src/caribou_smi/CMakeFiles/caribou_smi.dir/build.make src/caribou_smi/CMakeFiles/caribou_smi.dir/build
.PHONY : caribou_smi/fast
#=============================================================================
# Target rules for targets named latticeice40
# Build rule for target.
latticeice40: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 latticeice40
.PHONY : latticeice40
# fast build rule for target.
latticeice40/fast:
$(MAKE) $(MAKESILENT) -f src/latticeice40/CMakeFiles/latticeice40.dir/build.make src/latticeice40/CMakeFiles/latticeice40.dir/build
.PHONY : latticeice40/fast
#=============================================================================
# Target rules for targets named test_io_utils
# Build rule for target.
test_io_utils: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_io_utils
.PHONY : test_io_utils
# fast build rule for target.
test_io_utils/fast:
$(MAKE) $(MAKESILENT) -f src/io_utils/CMakeFiles/test_io_utils.dir/build.make src/io_utils/CMakeFiles/test_io_utils.dir/build
.PHONY : test_io_utils/fast
#=============================================================================
# Target rules for targets named io_utils
# Build rule for target.
io_utils: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 io_utils
.PHONY : io_utils
# fast build rule for target.
io_utils/fast:
$(MAKE) $(MAKESILENT) -f src/io_utils/CMakeFiles/io_utils.dir/build.make src/io_utils/CMakeFiles/io_utils.dir/build
.PHONY : io_utils/fast
#=============================================================================
# Target rules for targets named test_rffc507x
# Build rule for target.
test_rffc507x: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_rffc507x
.PHONY : test_rffc507x
# fast build rule for target.
test_rffc507x/fast:
$(MAKE) $(MAKESILENT) -f src/rffc507x/CMakeFiles/test_rffc507x.dir/build.make src/rffc507x/CMakeFiles/test_rffc507x.dir/build
.PHONY : test_rffc507x/fast
#=============================================================================
# Target rules for targets named rffc507x
# Build rule for target.
rffc507x: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 rffc507x
.PHONY : rffc507x
# fast build rule for target.
rffc507x/fast:
$(MAKE) $(MAKESILENT) -f src/rffc507x/CMakeFiles/rffc507x.dir/build.make src/rffc507x/CMakeFiles/rffc507x.dir/build
.PHONY : rffc507x/fast
#=============================================================================
# Target rules for targets named cariboulite_config
# Build rule for target.
cariboulite_config: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 cariboulite_config
.PHONY : cariboulite_config
# fast build rule for target.
cariboulite_config/fast:
$(MAKE) $(MAKESILENT) -f src/cariboulite_config/CMakeFiles/cariboulite_config.dir/build.make src/cariboulite_config/CMakeFiles/cariboulite_config.dir/build
.PHONY : cariboulite_config/fast
#=============================================================================
# Target rules for targets named test_cariboulite_config
# Build rule for target.
test_cariboulite_config: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_cariboulite_config
.PHONY : test_cariboulite_config
# fast build rule for target.
test_cariboulite_config/fast:
$(MAKE) $(MAKESILENT) -f src/cariboulite_config/CMakeFiles/test_cariboulite_config.dir/build.make src/cariboulite_config/CMakeFiles/test_cariboulite_config.dir/build
.PHONY : test_cariboulite_config/fast
#=============================================================================
# Target rules for targets named cariboulite_eeprom
# Build rule for target.
cariboulite_eeprom: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 cariboulite_eeprom
.PHONY : cariboulite_eeprom
# fast build rule for target.
cariboulite_eeprom/fast:
$(MAKE) $(MAKESILENT) -f src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/build.make src/cariboulite_eeprom/CMakeFiles/cariboulite_eeprom.dir/build
.PHONY : cariboulite_eeprom/fast
#=============================================================================
# Target rules for targets named test_cariboulite_eeprom
# Build rule for target.
test_cariboulite_eeprom: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 test_cariboulite_eeprom
.PHONY : test_cariboulite_eeprom
# fast build rule for target.
test_cariboulite_eeprom/fast:
$(MAKE) $(MAKESILENT) -f src/cariboulite_eeprom/CMakeFiles/test_cariboulite_eeprom.dir/build.make src/cariboulite_eeprom/CMakeFiles/test_cariboulite_eeprom.dir/build
.PHONY : test_cariboulite_eeprom/fast
#=============================================================================
# Target rules for targets named zf_log
# Build rule for target.
zf_log: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 zf_log
.PHONY : zf_log
# fast build rule for target.
zf_log/fast:
$(MAKE) $(MAKESILENT) -f src/zf_log/CMakeFiles/zf_log.dir/build.make src/zf_log/CMakeFiles/zf_log.dir/build
.PHONY : zf_log/fast
src/cariboulite.o: src/cariboulite.c.o
.PHONY : src/cariboulite.o
# target to build an object file
src/cariboulite.c.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite_app.dir/build.make CMakeFiles/cariboulite_app.dir/src/cariboulite.c.o
.PHONY : src/cariboulite.c.o
src/cariboulite.i: src/cariboulite.c.i
.PHONY : src/cariboulite.i
# target to preprocess a source file
src/cariboulite.c.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite_app.dir/build.make CMakeFiles/cariboulite_app.dir/src/cariboulite.c.i
.PHONY : src/cariboulite.c.i
src/cariboulite.s: src/cariboulite.c.s
.PHONY : src/cariboulite.s
# target to generate assembly for a file
src/cariboulite.c.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite_app.dir/build.make CMakeFiles/cariboulite_app.dir/src/cariboulite.c.s
.PHONY : src/cariboulite.c.s
src/cariboulite_events.o: src/cariboulite_events.c.o
.PHONY : src/cariboulite_events.o
# target to build an object file
src/cariboulite_events.c.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_events.c.o
.PHONY : src/cariboulite_events.c.o
src/cariboulite_events.i: src/cariboulite_events.c.i
.PHONY : src/cariboulite_events.i
# target to preprocess a source file
src/cariboulite_events.c.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_events.c.i
.PHONY : src/cariboulite_events.c.i
src/cariboulite_events.s: src/cariboulite_events.c.s
.PHONY : src/cariboulite_events.s
# target to generate assembly for a file
src/cariboulite_events.c.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_events.c.s
.PHONY : src/cariboulite_events.c.s
src/cariboulite_radios.o: src/cariboulite_radios.c.o
.PHONY : src/cariboulite_radios.o
# target to build an object file
src/cariboulite_radios.c.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.o
.PHONY : src/cariboulite_radios.c.o
src/cariboulite_radios.i: src/cariboulite_radios.c.i
.PHONY : src/cariboulite_radios.i
# target to preprocess a source file
src/cariboulite_radios.c.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.i
.PHONY : src/cariboulite_radios.c.i
src/cariboulite_radios.s: src/cariboulite_radios.c.s
.PHONY : src/cariboulite_radios.s
# target to generate assembly for a file
src/cariboulite_radios.c.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_radios.c.s
.PHONY : src/cariboulite_radios.c.s
src/cariboulite_setup.o: src/cariboulite_setup.c.o
.PHONY : src/cariboulite_setup.o
# target to build an object file
src/cariboulite_setup.c.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.o
.PHONY : src/cariboulite_setup.c.o
src/cariboulite_setup.i: src/cariboulite_setup.c.i
.PHONY : src/cariboulite_setup.i
# target to preprocess a source file
src/cariboulite_setup.c.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.i
.PHONY : src/cariboulite_setup.c.i
src/cariboulite_setup.s: src/cariboulite_setup.c.s
.PHONY : src/cariboulite_setup.s
# target to generate assembly for a file
src/cariboulite_setup.c.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/cariboulite.dir/build.make CMakeFiles/cariboulite.dir/src/cariboulite_setup.c.s
.PHONY : src/cariboulite_setup.c.s
src/soapy_api/Cariboulite.o: src/soapy_api/Cariboulite.cpp.o
.PHONY : src/soapy_api/Cariboulite.o
# target to build an object file
src/soapy_api/Cariboulite.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.o
.PHONY : src/soapy_api/Cariboulite.cpp.o
src/soapy_api/Cariboulite.i: src/soapy_api/Cariboulite.cpp.i
.PHONY : src/soapy_api/Cariboulite.i
# target to preprocess a source file
src/soapy_api/Cariboulite.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.i
.PHONY : src/soapy_api/Cariboulite.cpp.i
src/soapy_api/Cariboulite.s: src/soapy_api/Cariboulite.cpp.s
.PHONY : src/soapy_api/Cariboulite.s
# target to generate assembly for a file
src/soapy_api/Cariboulite.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/Cariboulite.cpp.s
.PHONY : src/soapy_api/Cariboulite.cpp.s
src/soapy_api/CaribouliteSampleQueue.o: src/soapy_api/CaribouliteSampleQueue.cpp.o
.PHONY : src/soapy_api/CaribouliteSampleQueue.o
# target to build an object file
src/soapy_api/CaribouliteSampleQueue.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.o
.PHONY : src/soapy_api/CaribouliteSampleQueue.cpp.o
src/soapy_api/CaribouliteSampleQueue.i: src/soapy_api/CaribouliteSampleQueue.cpp.i
.PHONY : src/soapy_api/CaribouliteSampleQueue.i
# target to preprocess a source file
src/soapy_api/CaribouliteSampleQueue.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.i
.PHONY : src/soapy_api/CaribouliteSampleQueue.cpp.i
src/soapy_api/CaribouliteSampleQueue.s: src/soapy_api/CaribouliteSampleQueue.cpp.s
.PHONY : src/soapy_api/CaribouliteSampleQueue.s
# target to generate assembly for a file
src/soapy_api/CaribouliteSampleQueue.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSampleQueue.cpp.s
.PHONY : src/soapy_api/CaribouliteSampleQueue.cpp.s
src/soapy_api/CaribouliteSensors.o: src/soapy_api/CaribouliteSensors.cpp.o
.PHONY : src/soapy_api/CaribouliteSensors.o
# target to build an object file
src/soapy_api/CaribouliteSensors.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.o
.PHONY : src/soapy_api/CaribouliteSensors.cpp.o
src/soapy_api/CaribouliteSensors.i: src/soapy_api/CaribouliteSensors.cpp.i
.PHONY : src/soapy_api/CaribouliteSensors.i
# target to preprocess a source file
src/soapy_api/CaribouliteSensors.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.i
.PHONY : src/soapy_api/CaribouliteSensors.cpp.i
src/soapy_api/CaribouliteSensors.s: src/soapy_api/CaribouliteSensors.cpp.s
.PHONY : src/soapy_api/CaribouliteSensors.s
# target to generate assembly for a file
src/soapy_api/CaribouliteSensors.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSensors.cpp.s
.PHONY : src/soapy_api/CaribouliteSensors.cpp.s
src/soapy_api/CaribouliteSession.o: src/soapy_api/CaribouliteSession.cpp.o
.PHONY : src/soapy_api/CaribouliteSession.o
# target to build an object file
src/soapy_api/CaribouliteSession.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.o
.PHONY : src/soapy_api/CaribouliteSession.cpp.o
src/soapy_api/CaribouliteSession.i: src/soapy_api/CaribouliteSession.cpp.i
.PHONY : src/soapy_api/CaribouliteSession.i
# target to preprocess a source file
src/soapy_api/CaribouliteSession.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.i
.PHONY : src/soapy_api/CaribouliteSession.cpp.i
src/soapy_api/CaribouliteSession.s: src/soapy_api/CaribouliteSession.cpp.s
.PHONY : src/soapy_api/CaribouliteSession.s
# target to generate assembly for a file
src/soapy_api/CaribouliteSession.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteSession.cpp.s
.PHONY : src/soapy_api/CaribouliteSession.cpp.s
src/soapy_api/CaribouliteStream.o: src/soapy_api/CaribouliteStream.cpp.o
.PHONY : src/soapy_api/CaribouliteStream.o
# target to build an object file
src/soapy_api/CaribouliteStream.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.o
.PHONY : src/soapy_api/CaribouliteStream.cpp.o
src/soapy_api/CaribouliteStream.i: src/soapy_api/CaribouliteStream.cpp.i
.PHONY : src/soapy_api/CaribouliteStream.i
# target to preprocess a source file
src/soapy_api/CaribouliteStream.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.i
.PHONY : src/soapy_api/CaribouliteStream.cpp.i
src/soapy_api/CaribouliteStream.s: src/soapy_api/CaribouliteStream.cpp.s
.PHONY : src/soapy_api/CaribouliteStream.s
# target to generate assembly for a file
src/soapy_api/CaribouliteStream.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/CaribouliteStream.cpp.s
.PHONY : src/soapy_api/CaribouliteStream.cpp.s
src/soapy_api/SoapyCariboulite.o: src/soapy_api/SoapyCariboulite.cpp.o
.PHONY : src/soapy_api/SoapyCariboulite.o
# target to build an object file
src/soapy_api/SoapyCariboulite.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.o
.PHONY : src/soapy_api/SoapyCariboulite.cpp.o
src/soapy_api/SoapyCariboulite.i: src/soapy_api/SoapyCariboulite.cpp.i
.PHONY : src/soapy_api/SoapyCariboulite.i
# target to preprocess a source file
src/soapy_api/SoapyCariboulite.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.i
.PHONY : src/soapy_api/SoapyCariboulite.cpp.i
src/soapy_api/SoapyCariboulite.s: src/soapy_api/SoapyCariboulite.cpp.s
.PHONY : src/soapy_api/SoapyCariboulite.s
# target to generate assembly for a file
src/soapy_api/SoapyCariboulite.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/SoapyCariboulite.dir/build.make CMakeFiles/SoapyCariboulite.dir/src/soapy_api/SoapyCariboulite.cpp.s
.PHONY : src/soapy_api/SoapyCariboulite.cpp.s
test/fpga_comm_test.o: test/fpga_comm_test.c.o
.PHONY : test/fpga_comm_test.o
# target to build an object file
test/fpga_comm_test.c.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/fpgacomm.dir/build.make CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.o
.PHONY : test/fpga_comm_test.c.o
test/fpga_comm_test.i: test/fpga_comm_test.c.i
.PHONY : test/fpga_comm_test.i
# target to preprocess a source file
test/fpga_comm_test.c.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/fpgacomm.dir/build.make CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.i
.PHONY : test/fpga_comm_test.c.i
test/fpga_comm_test.s: test/fpga_comm_test.c.s
.PHONY : test/fpga_comm_test.s
# target to generate assembly for a file
test/fpga_comm_test.c.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/fpgacomm.dir/build.make CMakeFiles/fpgacomm.dir/test/fpga_comm_test.c.s
.PHONY : test/fpga_comm_test.c.s
test/ice40_programmer.o: test/ice40_programmer.c.o
.PHONY : test/ice40_programmer.o
# target to build an object file
test/ice40_programmer.c.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/ice40programmer.dir/build.make CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.o
.PHONY : test/ice40_programmer.c.o
test/ice40_programmer.i: test/ice40_programmer.c.i
.PHONY : test/ice40_programmer.i
# target to preprocess a source file
test/ice40_programmer.c.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/ice40programmer.dir/build.make CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.i
.PHONY : test/ice40_programmer.c.i
test/ice40_programmer.s: test/ice40_programmer.c.s
.PHONY : test/ice40_programmer.s
# target to generate assembly for a file
test/ice40_programmer.c.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/ice40programmer.dir/build.make CMakeFiles/ice40programmer.dir/test/ice40_programmer.c.s
.PHONY : test/ice40_programmer.c.s
# Help Target
help:
@echo "The following are some of the valid targets for this Makefile:"
@echo "... all (the default if no target is provided)"
@echo "... clean"
@echo "... depend"
@echo "... edit_cache"
@echo "... install"
@echo "... install/local"
@echo "... install/strip"
@echo "... list_install_components"
@echo "... rebuild_cache"
@echo "... SoapyCariboulite"
@echo "... at86rf215"
@echo "... caribou_fpga"
@echo "... caribou_smi"
@echo "... cariboulite"
@echo "... cariboulite_app"
@echo "... cariboulite_config"
@echo "... cariboulite_eeprom"
@echo "... datatypes"
@echo "... fpgacomm"
@echo "... ice40programmer"
@echo "... io_utils"
@echo "... latticeice40"
@echo "... rffc507x"
@echo "... test_at86rf215"
@echo "... test_caribou_fpga"
@echo "... test_caribou_smi"
@echo "... test_cariboulite_config"
@echo "... test_cariboulite_eeprom"
@echo "... test_io_utils"
@echo "... test_rffc507x"
@echo "... test_tiny_list"
@echo "... test_tsqueue"
@echo "... test_ustimer"
@echo "... ustimer"
@echo "... zf_log"
@echo "... src/cariboulite.o"
@echo "... src/cariboulite.i"
@echo "... src/cariboulite.s"
@echo "... src/cariboulite_events.o"
@echo "... src/cariboulite_events.i"
@echo "... src/cariboulite_events.s"
@echo "... src/cariboulite_radios.o"
@echo "... src/cariboulite_radios.i"
@echo "... src/cariboulite_radios.s"
@echo "... src/cariboulite_setup.o"
@echo "... src/cariboulite_setup.i"
@echo "... src/cariboulite_setup.s"
@echo "... src/soapy_api/Cariboulite.o"
@echo "... src/soapy_api/Cariboulite.i"
@echo "... src/soapy_api/Cariboulite.s"
@echo "... src/soapy_api/CaribouliteSampleQueue.o"
@echo "... src/soapy_api/CaribouliteSampleQueue.i"
@echo "... src/soapy_api/CaribouliteSampleQueue.s"
@echo "... src/soapy_api/CaribouliteSensors.o"
@echo "... src/soapy_api/CaribouliteSensors.i"
@echo "... src/soapy_api/CaribouliteSensors.s"
@echo "... src/soapy_api/CaribouliteSession.o"
@echo "... src/soapy_api/CaribouliteSession.i"
@echo "... src/soapy_api/CaribouliteSession.s"
@echo "... src/soapy_api/CaribouliteStream.o"
@echo "... src/soapy_api/CaribouliteStream.i"
@echo "... src/soapy_api/CaribouliteStream.s"
@echo "... src/soapy_api/SoapyCariboulite.o"
@echo "... src/soapy_api/SoapyCariboulite.i"
@echo "... src/soapy_api/SoapyCariboulite.s"
@echo "... test/fpga_comm_test.o"
@echo "... test/fpga_comm_test.i"
@echo "... test/fpga_comm_test.s"
@echo "... test/ice40_programmer.o"
@echo "... test/ice40_programmer.i"
@echo "... test/ice40_programmer.s"
.PHONY : help
#=============================================================================
# Special targets to cleanup operation of make.
# Special rule to run CMake to check the build system integrity.
# No rule that depends on this can have commands that come from listfiles
# because they might be regenerated.
cmake_check_build_system:
$(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 0
.PHONY : cmake_check_build_system

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,74 +0,0 @@
# Install script for directory: /home/pi/projects/cariboulite/software/libcariboulite
# Set the install prefix
if(NOT DEFINED CMAKE_INSTALL_PREFIX)
set(CMAKE_INSTALL_PREFIX "/usr/local")
endif()
string(REGEX REPLACE "/$" "" CMAKE_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}")
# Set the install configuration name.
if(NOT DEFINED CMAKE_INSTALL_CONFIG_NAME)
if(BUILD_TYPE)
string(REGEX REPLACE "^[^A-Za-z0-9_]+" ""
CMAKE_INSTALL_CONFIG_NAME "${BUILD_TYPE}")
else()
set(CMAKE_INSTALL_CONFIG_NAME "Release")
endif()
message(STATUS "Install configuration: \"${CMAKE_INSTALL_CONFIG_NAME}\"")
endif()
# Set the component getting installed.
if(NOT CMAKE_INSTALL_COMPONENT)
if(COMPONENT)
message(STATUS "Install component: \"${COMPONENT}\"")
set(CMAKE_INSTALL_COMPONENT "${COMPONENT}")
else()
set(CMAKE_INSTALL_COMPONENT)
endif()
endif()
# Install shared libraries without execute permission?
if(NOT DEFINED CMAKE_INSTALL_SO_NO_EXE)
set(CMAKE_INSTALL_SO_NO_EXE "1")
endif()
# Is this installation the result of a crosscompile?
if(NOT DEFINED CMAKE_CROSSCOMPILING)
set(CMAKE_CROSSCOMPILING "FALSE")
endif()
# Set default install directory permissions.
if(NOT DEFINED CMAKE_OBJDUMP)
set(CMAKE_OBJDUMP "/usr/bin/objdump")
endif()
if("x${CMAKE_INSTALL_COMPONENT}x" STREQUAL "xUnspecifiedx" OR NOT CMAKE_INSTALL_COMPONENT)
if(EXISTS "$ENV{DESTDIR}${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8/libSoapyCariboulite.so" AND
NOT IS_SYMLINK "$ENV{DESTDIR}${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8/libSoapyCariboulite.so")
file(RPATH_CHECK
FILE "$ENV{DESTDIR}${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8/libSoapyCariboulite.so"
RPATH "")
endif()
file(INSTALL DESTINATION "${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8" TYPE MODULE FILES "/home/pi/projects/cariboulite/software/libcariboulite/build/libSoapyCariboulite.so")
if(EXISTS "$ENV{DESTDIR}${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8/libSoapyCariboulite.so" AND
NOT IS_SYMLINK "$ENV{DESTDIR}${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8/libSoapyCariboulite.so")
file(RPATH_CHANGE
FILE "$ENV{DESTDIR}${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8/libSoapyCariboulite.so"
OLD_RPATH "/usr/local/lib:"
NEW_RPATH "")
if(CMAKE_INSTALL_DO_STRIP)
execute_process(COMMAND "/usr/bin/strip" "$ENV{DESTDIR}${CMAKE_INSTALL_PREFIX}/lib/SoapySDR/modules0.8/libSoapyCariboulite.so")
endif()
endif()
endif()
if(CMAKE_INSTALL_COMPONENT)
set(CMAKE_INSTALL_MANIFEST "install_manifest_${CMAKE_INSTALL_COMPONENT}.txt")
else()
set(CMAKE_INSTALL_MANIFEST "install_manifest.txt")
endif()
string(REPLACE ";" "\n" CMAKE_INSTALL_MANIFEST_CONTENT
"${CMAKE_INSTALL_MANIFEST_FILES}")
file(WRITE "/home/pi/projects/cariboulite/software/libcariboulite/build/${CMAKE_INSTALL_MANIFEST}"
"${CMAKE_INSTALL_MANIFEST_CONTENT}")

Wyświetl plik

@ -1 +0,0 @@
/usr/local/lib/SoapySDR/modules0.8/libSoapyCariboulite.so

Wyświetl plik

@ -1,16 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Relative path conversion top directories.
set(CMAKE_RELATIVE_PATH_TOP_SOURCE "/home/pi/projects/cariboulite/software/libcariboulite")
set(CMAKE_RELATIVE_PATH_TOP_BINARY "/home/pi/projects/cariboulite/software/libcariboulite/build")
# Force unix paths in dependencies.
set(CMAKE_FORCE_UNIX_PATHS 1)
# The C and CXX include file regular expressions for this directory.
set(CMAKE_C_INCLUDE_REGEX_SCAN "^.*$")
set(CMAKE_C_INCLUDE_REGEX_COMPLAIN "^$")
set(CMAKE_CXX_INCLUDE_REGEX_SCAN ${CMAKE_C_INCLUDE_REGEX_SCAN})
set(CMAKE_CXX_INCLUDE_REGEX_COMPLAIN ${CMAKE_C_INCLUDE_REGEX_COMPLAIN})

Wyświetl plik

@ -1,168 +0,0 @@
#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">])
#IncludeRegexScan: ^.*$
#IncludeRegexComplain: ^$
#IncludeRegexTransform:
../src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
../src/io_utils/pigpio/pigpio.h
../src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
../src/io_utils/io_utils.h
../src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
../src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
../src/zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h
at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
at86rf215_baseband.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
stdio.h
-
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c
stdint.h
-
math.h
-
string.h
-
stdbool.h
-
stdio.h
-
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/zf_log/zf_log.h
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/io_utils/io_utils_spi.h
at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
stdio.h
-
stdint.h
-
at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h

Wyświetl plik

@ -1,28 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"C"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_C
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o"
)
set(CMAKE_C_COMPILER_ID "GNU")
# The include file search paths:
set(CMAKE_C_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"/."
"../src/at86rf215/.."
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,163 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include src/at86rf215/CMakeFiles/at86rf215.dir/depend.make
# Include the progress variables for this target.
include src/at86rf215/CMakeFiles/at86rf215.dir/progress.make
# Include the compile flags for this target's objects.
include src/at86rf215/CMakeFiles/at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: src/at86rf215/CMakeFiles/at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/at86rf215/at86rf215.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/at86rf215.dir/at86rf215.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/at86rf215.dir/at86rf215.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c > CMakeFiles/at86rf215.dir/at86rf215.c.i
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/at86rf215.dir/at86rf215.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c -o CMakeFiles/at86rf215.dir/at86rf215.c.s
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: src/at86rf215/CMakeFiles/at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/at86rf215/at86rf215_events.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Building C object src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/at86rf215.dir/at86rf215_events.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/at86rf215.dir/at86rf215_events.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c > CMakeFiles/at86rf215.dir/at86rf215_events.c.i
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/at86rf215.dir/at86rf215_events.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c -o CMakeFiles/at86rf215.dir/at86rf215_events.c.s
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: src/at86rf215/CMakeFiles/at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/at86rf215/at86rf215_radio.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_3) "Building C object src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/at86rf215.dir/at86rf215_radio.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/at86rf215.dir/at86rf215_radio.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c > CMakeFiles/at86rf215.dir/at86rf215_radio.c.i
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/at86rf215.dir/at86rf215_radio.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c -o CMakeFiles/at86rf215.dir/at86rf215_radio.c.s
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: src/at86rf215/CMakeFiles/at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/at86rf215/at86rf215_baseband.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_4) "Building C object src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/at86rf215.dir/at86rf215_baseband.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c > CMakeFiles/at86rf215.dir/at86rf215_baseband.c.i
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/at86rf215.dir/at86rf215_baseband.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c -o CMakeFiles/at86rf215.dir/at86rf215_baseband.c.s
# Object files for target at86rf215
at86rf215_OBJECTS = \
"CMakeFiles/at86rf215.dir/at86rf215.c.o" \
"CMakeFiles/at86rf215.dir/at86rf215_events.c.o" \
"CMakeFiles/at86rf215.dir/at86rf215_radio.c.o" \
"CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o"
# External object files for target at86rf215
at86rf215_EXTERNAL_OBJECTS =
src/at86rf215/libat86rf215.a: src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o
src/at86rf215/libat86rf215.a: src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o
src/at86rf215/libat86rf215.a: src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o
src/at86rf215/libat86rf215.a: src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o
src/at86rf215/libat86rf215.a: src/at86rf215/CMakeFiles/at86rf215.dir/build.make
src/at86rf215/libat86rf215.a: src/at86rf215/CMakeFiles/at86rf215.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_5) "Linking C static library libat86rf215.a"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && $(CMAKE_COMMAND) -P CMakeFiles/at86rf215.dir/cmake_clean_target.cmake
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && $(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/at86rf215.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
src/at86rf215/CMakeFiles/at86rf215.dir/build: src/at86rf215/libat86rf215.a
.PHONY : src/at86rf215/CMakeFiles/at86rf215.dir/build
src/at86rf215/CMakeFiles/at86rf215.dir/clean:
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && $(CMAKE_COMMAND) -P CMakeFiles/at86rf215.dir/cmake_clean.cmake
.PHONY : src/at86rf215/CMakeFiles/at86rf215.dir/clean
src/at86rf215/CMakeFiles/at86rf215.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215 /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/at86rf215.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : src/at86rf215/CMakeFiles/at86rf215.dir/depend

Wyświetl plik

@ -1,13 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/at86rf215.dir/at86rf215.c.o"
"CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o"
"CMakeFiles/at86rf215.dir/at86rf215_events.c.o"
"CMakeFiles/at86rf215.dir/at86rf215_radio.c.o"
"libat86rf215.a"
"libat86rf215.pdb"
)
# Per-language clean rules from dependency scanning.
foreach(lang C)
include(CMakeFiles/at86rf215.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,3 +0,0 @@
file(REMOVE_RECURSE
"libat86rf215.a"
)

Wyświetl plik

@ -1,40 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/pigpio/pigpio.h
../src/zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/pigpio/pigpio.h
../src/zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/pigpio/pigpio.h
../src/zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/pigpio/pigpio.h
../src/zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_common.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.h
/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_regs.h

Wyświetl plik

@ -1,40 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/io_utils/io_utils.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/io_utils/io_utils_spi.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/io_utils/pigpio/pigpio.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/zf_log/zf_log.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/at86rf215/at86rf215.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/at86rf215/at86rf215.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/at86rf215/at86rf215_common.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/at86rf215/at86rf215_radio.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o: ../src/at86rf215/at86rf215_regs.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/io_utils/io_utils.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/io_utils/io_utils_spi.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/io_utils/pigpio/pigpio.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/zf_log/zf_log.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/at86rf215/at86rf215_baseband.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/at86rf215/at86rf215_baseband.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/at86rf215/at86rf215_common.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/at86rf215/at86rf215_radio.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o: ../src/at86rf215/at86rf215_regs.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/io_utils/io_utils.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/io_utils/io_utils_spi.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/io_utils/pigpio/pigpio.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/zf_log/zf_log.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/at86rf215/at86rf215_common.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/at86rf215/at86rf215_events.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o: ../src/at86rf215/at86rf215_regs.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/io_utils/io_utils.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/io_utils/io_utils_spi.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/io_utils/pigpio/pigpio.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/zf_log/zf_log.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/at86rf215/at86rf215_common.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/at86rf215/at86rf215_radio.c
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/at86rf215/at86rf215_radio.h
src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o: ../src/at86rf215/at86rf215_regs.h

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# compile C with /usr/bin/cc
C_DEFINES =
C_INCLUDES = -I/home/pi/projects/cariboulite/software/libcariboulite/. -I/home/pi/projects/cariboulite/software/libcariboulite/./include -I/home/pi/projects/cariboulite/software/libcariboulite/src -I/. -I/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/..
C_FLAGS = -O3 -DNDEBUG -Wall -Wextra -Wno-unused-variable -Wno-unused-parameter -Wno-missing-braces

Wyświetl plik

@ -1,2 +0,0 @@
/usr/bin/ar qc libat86rf215.a CMakeFiles/at86rf215.dir/at86rf215.c.o CMakeFiles/at86rf215.dir/at86rf215_events.c.o CMakeFiles/at86rf215.dir/at86rf215_radio.c.o CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o
/usr/bin/ranlib libat86rf215.a

Wyświetl plik

@ -1,6 +0,0 @@
CMAKE_PROGRESS_1 = 8
CMAKE_PROGRESS_2 = 9
CMAKE_PROGRESS_3 = 10
CMAKE_PROGRESS_4 = 11
CMAKE_PROGRESS_5 = 12

Wyświetl plik

@ -1,29 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"C"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_C
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o"
"/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/test_at86rf215.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o"
)
set(CMAKE_C_COMPILER_ID "GNU")
# The include file search paths:
set(CMAKE_C_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"/."
"../src/at86rf215/.."
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,179 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include src/at86rf215/CMakeFiles/test_at86rf215.dir/depend.make
# Include the progress variables for this target.
include src/at86rf215/CMakeFiles/test_at86rf215.dir/progress.make
# Include the compile flags for this target's objects.
include src/at86rf215/CMakeFiles/test_at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.o: src/at86rf215/CMakeFiles/test_at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.o: ../src/at86rf215/at86rf215.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/test_at86rf215.dir/at86rf215.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/test_at86rf215.dir/at86rf215.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c > CMakeFiles/test_at86rf215.dir/at86rf215.c.i
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/test_at86rf215.dir/at86rf215.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215.c -o CMakeFiles/test_at86rf215.dir/at86rf215.c.s
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o: src/at86rf215/CMakeFiles/test_at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o: ../src/at86rf215/at86rf215_events.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Building C object src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/test_at86rf215.dir/at86rf215_events.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c > CMakeFiles/test_at86rf215.dir/at86rf215_events.c.i
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/test_at86rf215.dir/at86rf215_events.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_events.c -o CMakeFiles/test_at86rf215.dir/at86rf215_events.c.s
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o: src/at86rf215/CMakeFiles/test_at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o: ../src/at86rf215/at86rf215_radio.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_3) "Building C object src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c > CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.i
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_radio.c -o CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.s
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o: src/at86rf215/CMakeFiles/test_at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o: ../src/at86rf215/at86rf215_baseband.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_4) "Building C object src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c > CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.i
src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/at86rf215_baseband.c -o CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.s
src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o: src/at86rf215/CMakeFiles/test_at86rf215.dir/flags.make
src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o: ../src/at86rf215/test_at86rf215.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_5) "Building C object src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/test_at86rf215.c
src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/test_at86rf215.dir/test_at86rf215.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/test_at86rf215.c > CMakeFiles/test_at86rf215.dir/test_at86rf215.c.i
src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/test_at86rf215.dir/test_at86rf215.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/test_at86rf215.c -o CMakeFiles/test_at86rf215.dir/test_at86rf215.c.s
# Object files for target test_at86rf215
test_at86rf215_OBJECTS = \
"CMakeFiles/test_at86rf215.dir/at86rf215.c.o" \
"CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o" \
"CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o" \
"CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o" \
"CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o"
# External object files for target test_at86rf215
test_at86rf215_EXTERNAL_OBJECTS =
src/at86rf215/test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.o
src/at86rf215/test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o
src/at86rf215/test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o
src/at86rf215/test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o
src/at86rf215/test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o
src/at86rf215/test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make
src/at86rf215/test_at86rf215: ../src/at86rf215/../io_utils/build/libio_utils.a
src/at86rf215/test_at86rf215: ../src/at86rf215/../zf_log/build/libzf_log.a
src/at86rf215/test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_6) "Linking C executable test_at86rf215"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && $(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/test_at86rf215.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
src/at86rf215/CMakeFiles/test_at86rf215.dir/build: src/at86rf215/test_at86rf215
.PHONY : src/at86rf215/CMakeFiles/test_at86rf215.dir/build
src/at86rf215/CMakeFiles/test_at86rf215.dir/clean:
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 && $(CMAKE_COMMAND) -P CMakeFiles/test_at86rf215.dir/cmake_clean.cmake
.PHONY : src/at86rf215/CMakeFiles/test_at86rf215.dir/clean
src/at86rf215/CMakeFiles/test_at86rf215.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215 /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215 /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/CMakeFiles/test_at86rf215.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : src/at86rf215/CMakeFiles/test_at86rf215.dir/depend

Wyświetl plik

@ -1,14 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/test_at86rf215.dir/at86rf215.c.o"
"CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o"
"CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o"
"CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o"
"CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o"
"test_at86rf215"
"test_at86rf215.pdb"
)
# Per-language clean rules from dependency scanning.
foreach(lang C)
include(CMakeFiles/test_at86rf215.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,2 +0,0 @@
# Empty dependencies file for test_at86rf215.
# This may be replaced when dependencies are built.

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# compile C with /usr/bin/cc
C_DEFINES =
C_INCLUDES = -I/home/pi/projects/cariboulite/software/libcariboulite/. -I/home/pi/projects/cariboulite/software/libcariboulite/./include -I/home/pi/projects/cariboulite/software/libcariboulite/src -I/. -I/home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215/..
C_FLAGS = -O3 -DNDEBUG -Wall -Wextra -Wno-unused-variable -Wno-unused-parameter -Wno-missing-braces

Wyświetl plik

@ -1 +0,0 @@
/usr/bin/cc -O3 -DNDEBUG CMakeFiles/test_at86rf215.dir/at86rf215.c.o CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o -o test_at86rf215 -lrt -lpthread ../../../src/at86rf215/../io_utils/build/libio_utils.a ../../../src/at86rf215/../zf_log/build/libzf_log.a

Wyświetl plik

@ -1,7 +0,0 @@
CMAKE_PROGRESS_1 = 44
CMAKE_PROGRESS_2 = 45
CMAKE_PROGRESS_3 = 46
CMAKE_PROGRESS_4 = 47
CMAKE_PROGRESS_5 = 48
CMAKE_PROGRESS_6 = 49

Wyświetl plik

@ -1,397 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Default target executed when no arguments are given to make.
default_target: all
.PHONY : default_target
# Allow only one "make -f Makefile2" at a time, but pass parallelism.
.NOTPARALLEL:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
#=============================================================================
# Targets provided globally by CMake.
# Special rule for the target install/strip
install/strip: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing the project stripped..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake
.PHONY : install/strip
# Special rule for the target install/strip
install/strip/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing the project stripped..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake
.PHONY : install/strip/fast
# Special rule for the target install/local
install/local: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing only the local directory..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake
.PHONY : install/local
# Special rule for the target install/local
install/local/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Installing only the local directory..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake
.PHONY : install/local/fast
# Special rule for the target rebuild_cache
rebuild_cache:
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Running CMake to regenerate build system..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake --regenerate-during-build -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR)
.PHONY : rebuild_cache
# Special rule for the target rebuild_cache
rebuild_cache/fast: rebuild_cache
.PHONY : rebuild_cache/fast
# Special rule for the target list_install_components
list_install_components:
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Available install components are: \"Unspecified\""
.PHONY : list_install_components
# Special rule for the target list_install_components
list_install_components/fast: list_install_components
.PHONY : list_install_components/fast
# Special rule for the target edit_cache
edit_cache:
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "No interactive CMake dialog available..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E echo No\ interactive\ CMake\ dialog\ available.
.PHONY : edit_cache
# Special rule for the target edit_cache
edit_cache/fast: edit_cache
.PHONY : edit_cache/fast
# Special rule for the target install
install: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Install the project..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -P cmake_install.cmake
.PHONY : install
# Special rule for the target install
install/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --cyan "Install the project..."
/usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -P cmake_install.cmake
.PHONY : install/fast
# The main all target
all: cmake_check_build_system
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles /home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215//CMakeFiles/progress.marks
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 src/at86rf215/all
$(CMAKE_COMMAND) -E cmake_progress_start /home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles 0
.PHONY : all
# The main clean target
clean:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 src/at86rf215/clean
.PHONY : clean
# The main clean target
clean/fast: clean
.PHONY : clean/fast
# Prepare targets for installation.
preinstall: all
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 src/at86rf215/preinstall
.PHONY : preinstall
# Prepare targets for installation.
preinstall/fast:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 src/at86rf215/preinstall
.PHONY : preinstall/fast
# clear depends
depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 1
.PHONY : depend
# Convenience name for target.
src/at86rf215/CMakeFiles/test_at86rf215.dir/rule:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 src/at86rf215/CMakeFiles/test_at86rf215.dir/rule
.PHONY : src/at86rf215/CMakeFiles/test_at86rf215.dir/rule
# Convenience name for target.
test_at86rf215: src/at86rf215/CMakeFiles/test_at86rf215.dir/rule
.PHONY : test_at86rf215
# fast build rule for target.
test_at86rf215/fast:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/build
.PHONY : test_at86rf215/fast
# Convenience name for target.
src/at86rf215/CMakeFiles/at86rf215.dir/rule:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 src/at86rf215/CMakeFiles/at86rf215.dir/rule
.PHONY : src/at86rf215/CMakeFiles/at86rf215.dir/rule
# Convenience name for target.
at86rf215: src/at86rf215/CMakeFiles/at86rf215.dir/rule
.PHONY : at86rf215
# fast build rule for target.
at86rf215/fast:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/build
.PHONY : at86rf215/fast
at86rf215.o: at86rf215.c.o
.PHONY : at86rf215.o
# target to build an object file
at86rf215.c.o:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.o
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.o
.PHONY : at86rf215.c.o
at86rf215.i: at86rf215.c.i
.PHONY : at86rf215.i
# target to preprocess a source file
at86rf215.c.i:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.i
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.i
.PHONY : at86rf215.c.i
at86rf215.s: at86rf215.c.s
.PHONY : at86rf215.s
# target to generate assembly for a file
at86rf215.c.s:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215.c.s
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215.c.s
.PHONY : at86rf215.c.s
at86rf215_baseband.o: at86rf215_baseband.c.o
.PHONY : at86rf215_baseband.o
# target to build an object file
at86rf215_baseband.c.o:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.o
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.o
.PHONY : at86rf215_baseband.c.o
at86rf215_baseband.i: at86rf215_baseband.c.i
.PHONY : at86rf215_baseband.i
# target to preprocess a source file
at86rf215_baseband.c.i:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.i
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.i
.PHONY : at86rf215_baseband.c.i
at86rf215_baseband.s: at86rf215_baseband.c.s
.PHONY : at86rf215_baseband.s
# target to generate assembly for a file
at86rf215_baseband.c.s:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_baseband.c.s
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_baseband.c.s
.PHONY : at86rf215_baseband.c.s
at86rf215_events.o: at86rf215_events.c.o
.PHONY : at86rf215_events.o
# target to build an object file
at86rf215_events.c.o:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.o
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.o
.PHONY : at86rf215_events.c.o
at86rf215_events.i: at86rf215_events.c.i
.PHONY : at86rf215_events.i
# target to preprocess a source file
at86rf215_events.c.i:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.i
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.i
.PHONY : at86rf215_events.c.i
at86rf215_events.s: at86rf215_events.c.s
.PHONY : at86rf215_events.s
# target to generate assembly for a file
at86rf215_events.c.s:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_events.c.s
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_events.c.s
.PHONY : at86rf215_events.c.s
at86rf215_radio.o: at86rf215_radio.c.o
.PHONY : at86rf215_radio.o
# target to build an object file
at86rf215_radio.c.o:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.o
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.o
.PHONY : at86rf215_radio.c.o
at86rf215_radio.i: at86rf215_radio.c.i
.PHONY : at86rf215_radio.i
# target to preprocess a source file
at86rf215_radio.c.i:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.i
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.i
.PHONY : at86rf215_radio.c.i
at86rf215_radio.s: at86rf215_radio.c.s
.PHONY : at86rf215_radio.s
# target to generate assembly for a file
at86rf215_radio.c.s:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/at86rf215_radio.c.s
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/at86rf215.dir/build.make src/at86rf215/CMakeFiles/at86rf215.dir/at86rf215_radio.c.s
.PHONY : at86rf215_radio.c.s
test_at86rf215.o: test_at86rf215.c.o
.PHONY : test_at86rf215.o
# target to build an object file
test_at86rf215.c.o:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.o
.PHONY : test_at86rf215.c.o
test_at86rf215.i: test_at86rf215.c.i
.PHONY : test_at86rf215.i
# target to preprocess a source file
test_at86rf215.c.i:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.i
.PHONY : test_at86rf215.c.i
test_at86rf215.s: test_at86rf215.c.s
.PHONY : test_at86rf215.s
# target to generate assembly for a file
test_at86rf215.c.s:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(MAKE) $(MAKESILENT) -f src/at86rf215/CMakeFiles/test_at86rf215.dir/build.make src/at86rf215/CMakeFiles/test_at86rf215.dir/test_at86rf215.c.s
.PHONY : test_at86rf215.c.s
# Help Target
help:
@echo "The following are some of the valid targets for this Makefile:"
@echo "... all (the default if no target is provided)"
@echo "... clean"
@echo "... depend"
@echo "... edit_cache"
@echo "... install"
@echo "... install/local"
@echo "... install/strip"
@echo "... list_install_components"
@echo "... rebuild_cache"
@echo "... at86rf215"
@echo "... test_at86rf215"
@echo "... at86rf215.o"
@echo "... at86rf215.i"
@echo "... at86rf215.s"
@echo "... at86rf215_baseband.o"
@echo "... at86rf215_baseband.i"
@echo "... at86rf215_baseband.s"
@echo "... at86rf215_events.o"
@echo "... at86rf215_events.i"
@echo "... at86rf215_events.s"
@echo "... at86rf215_radio.o"
@echo "... at86rf215_radio.i"
@echo "... at86rf215_radio.s"
@echo "... test_at86rf215.o"
@echo "... test_at86rf215.i"
@echo "... test_at86rf215.s"
.PHONY : help
#=============================================================================
# Special targets to cleanup operation of make.
# Special rule to run CMake to check the build system integrity.
# No rule that depends on this can have commands that come from listfiles
# because they might be regenerated.
cmake_check_build_system:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 0
.PHONY : cmake_check_build_system

Wyświetl plik

@ -1,56 +0,0 @@
# Install script for directory: /home/pi/projects/cariboulite/software/libcariboulite/src/at86rf215
# Set the install prefix
if(NOT DEFINED CMAKE_INSTALL_PREFIX)
set(CMAKE_INSTALL_PREFIX "/usr/local")
endif()
string(REGEX REPLACE "/$" "" CMAKE_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}")
# Set the install configuration name.
if(NOT DEFINED CMAKE_INSTALL_CONFIG_NAME)
if(BUILD_TYPE)
string(REGEX REPLACE "^[^A-Za-z0-9_]+" ""
CMAKE_INSTALL_CONFIG_NAME "${BUILD_TYPE}")
else()
set(CMAKE_INSTALL_CONFIG_NAME "Release")
endif()
message(STATUS "Install configuration: \"${CMAKE_INSTALL_CONFIG_NAME}\"")
endif()
# Set the component getting installed.
if(NOT CMAKE_INSTALL_COMPONENT)
if(COMPONENT)
message(STATUS "Install component: \"${COMPONENT}\"")
set(CMAKE_INSTALL_COMPONENT "${COMPONENT}")
else()
set(CMAKE_INSTALL_COMPONENT)
endif()
endif()
# Install shared libraries without execute permission?
if(NOT DEFINED CMAKE_INSTALL_SO_NO_EXE)
set(CMAKE_INSTALL_SO_NO_EXE "1")
endif()
# Is this installation the result of a crosscompile?
if(NOT DEFINED CMAKE_CROSSCOMPILING)
set(CMAKE_CROSSCOMPILING "FALSE")
endif()
# Set default install directory permissions.
if(NOT DEFINED CMAKE_OBJDUMP)
set(CMAKE_OBJDUMP "/usr/bin/objdump")
endif()
if("x${CMAKE_INSTALL_COMPONENT}x" STREQUAL "xUnspecifiedx" OR NOT CMAKE_INSTALL_COMPONENT)
list(APPEND CMAKE_ABSOLUTE_DESTINATION_FILES
"/usr/lib/libat86rf215.a")
if(CMAKE_WARN_ON_ABSOLUTE_INSTALL_DESTINATION)
message(WARNING "ABSOLUTE path INSTALL DESTINATION : ${CMAKE_ABSOLUTE_DESTINATION_FILES}")
endif()
if(CMAKE_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION)
message(FATAL_ERROR "ABSOLUTE path INSTALL DESTINATION forbidden (by caller): ${CMAKE_ABSOLUTE_DESTINATION_FILES}")
endif()
file(INSTALL DESTINATION "/usr/lib" TYPE STATIC_LIBRARY FILES "/home/pi/projects/cariboulite/software/libcariboulite/build/src/at86rf215/libat86rf215.a")
endif()

Wyświetl plik

@ -1,16 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Relative path conversion top directories.
set(CMAKE_RELATIVE_PATH_TOP_SOURCE "/home/pi/projects/cariboulite/software/libcariboulite")
set(CMAKE_RELATIVE_PATH_TOP_BINARY "/home/pi/projects/cariboulite/software/libcariboulite/build")
# Force unix paths in dependencies.
set(CMAKE_FORCE_UNIX_PATHS 1)
# The C and CXX include file regular expressions for this directory.
set(CMAKE_C_INCLUDE_REGEX_SCAN "^.*$")
set(CMAKE_C_INCLUDE_REGEX_COMPLAIN "^$")
set(CMAKE_CXX_INCLUDE_REGEX_SCAN ${CMAKE_C_INCLUDE_REGEX_SCAN})
set(CMAKE_CXX_INCLUDE_REGEX_COMPLAIN ${CMAKE_C_INCLUDE_REGEX_COMPLAIN})

Wyświetl plik

@ -1,80 +0,0 @@
#IncludeRegexLine: ^[ ]*[#%][ ]*(include|import)[ ]*[<"]([^">]+)([">])
#IncludeRegexScan: ^.*$
#IncludeRegexComplain: ^$
#IncludeRegexTransform:
../src/io_utils/io_utils.h
stdio.h
-
string.h
-
stdint.h
-
stdlib.h
-
pigpio/pigpio.h
../src/io_utils/pigpio/pigpio.h
../src/io_utils/io_utils_spi.h
stdio.h
-
stdint.h
-
pthread.h
-
io_utils.h
../src/io_utils/io_utils.h
../src/io_utils/pigpio/pigpio.h
stddef.h
-
stdint.h
-
pthread.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
../src/io_utils/pigpio/pigpio.h
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
stdio.h
-
pigpio.h
-
../src/zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c
zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/zf_log/zf_log.h
stdio.h
-
string.h
-
caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h
stdio.h
-
stdint.h
-
io_utils/io_utils.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils.h
io_utils/io_utils_spi.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/io_utils/io_utils_spi.h

Wyświetl plik

@ -1,25 +0,0 @@
# The set of languages for which implicit dependencies are needed:
set(CMAKE_DEPENDS_LANGUAGES
"C"
)
# The set of files for implicit dependencies of each language:
set(CMAKE_DEPENDS_CHECK_C
"/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c" "/home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o"
)
set(CMAKE_C_COMPILER_ID "GNU")
# The include file search paths:
set(CMAKE_C_TARGET_INCLUDE_PATH
"../."
".././include"
"../src"
"/."
"../src/caribou_fpga/.."
)
# Targets to which this target links.
set(CMAKE_TARGET_LINKED_INFO_FILES
)
# Fortran module output directory.
set(CMAKE_Fortran_TARGET_MODULE_DIR "")

Wyświetl plik

@ -1,118 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
# Delete rule output on recipe failure.
.DELETE_ON_ERROR:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake
# The command to remove a file.
RM = /usr/local/lib/python3.7/dist-packages/cmake/data/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/pi/projects/cariboulite/software/libcariboulite
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/pi/projects/cariboulite/software/libcariboulite/build
# Include any dependencies generated for this target.
include src/caribou_fpga/CMakeFiles/caribou_fpga.dir/depend.make
# Include the progress variables for this target.
include src/caribou_fpga/CMakeFiles/caribou_fpga.dir/progress.make
# Include the compile flags for this target's objects.
include src/caribou_fpga/CMakeFiles/caribou_fpga.dir/flags.make
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: src/caribou_fpga/CMakeFiles/caribou_fpga.dir/flags.make
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../src/caribou_fpga/caribou_fpga.c
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_1) "Building C object src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -o CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o -c /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.i: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Preprocessing C source to CMakeFiles/caribou_fpga.dir/caribou_fpga.c.i"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -E /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c > CMakeFiles/caribou_fpga.dir/caribou_fpga.c.i
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.s: cmake_force
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green "Compiling C source to assembly CMakeFiles/caribou_fpga.dir/caribou_fpga.c.s"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga && /usr/bin/cc $(C_DEFINES) $(C_INCLUDES) $(C_FLAGS) -S /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c -o CMakeFiles/caribou_fpga.dir/caribou_fpga.c.s
# Object files for target caribou_fpga
caribou_fpga_OBJECTS = \
"CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o"
# External object files for target caribou_fpga
caribou_fpga_EXTERNAL_OBJECTS =
src/caribou_fpga/libcaribou_fpga.a: src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o
src/caribou_fpga/libcaribou_fpga.a: src/caribou_fpga/CMakeFiles/caribou_fpga.dir/build.make
src/caribou_fpga/libcaribou_fpga.a: src/caribou_fpga/CMakeFiles/caribou_fpga.dir/link.txt
@$(CMAKE_COMMAND) -E cmake_echo_color --switch=$(COLOR) --green --bold --progress-dir=/home/pi/projects/cariboulite/software/libcariboulite/build/CMakeFiles --progress-num=$(CMAKE_PROGRESS_2) "Linking C static library libcaribou_fpga.a"
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga && $(CMAKE_COMMAND) -P CMakeFiles/caribou_fpga.dir/cmake_clean_target.cmake
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga && $(CMAKE_COMMAND) -E cmake_link_script CMakeFiles/caribou_fpga.dir/link.txt --verbose=$(VERBOSE)
# Rule to build all files generated by this target.
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/build: src/caribou_fpga/libcaribou_fpga.a
.PHONY : src/caribou_fpga/CMakeFiles/caribou_fpga.dir/build
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/clean:
cd /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga && $(CMAKE_COMMAND) -P CMakeFiles/caribou_fpga.dir/cmake_clean.cmake
.PHONY : src/caribou_fpga/CMakeFiles/caribou_fpga.dir/clean
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/depend:
cd /home/pi/projects/cariboulite/software/libcariboulite/build && $(CMAKE_COMMAND) -E cmake_depends "Unix Makefiles" /home/pi/projects/cariboulite/software/libcariboulite /home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga /home/pi/projects/cariboulite/software/libcariboulite/build /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga /home/pi/projects/cariboulite/software/libcariboulite/build/src/caribou_fpga/CMakeFiles/caribou_fpga.dir/DependInfo.cmake --color=$(COLOR)
.PHONY : src/caribou_fpga/CMakeFiles/caribou_fpga.dir/depend

Wyświetl plik

@ -1,10 +0,0 @@
file(REMOVE_RECURSE
"CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o"
"libcaribou_fpga.a"
"libcaribou_fpga.pdb"
)
# Per-language clean rules from dependency scanning.
foreach(lang C)
include(CMakeFiles/caribou_fpga.dir/cmake_clean_${lang}.cmake OPTIONAL)
endforeach()

Wyświetl plik

@ -1,3 +0,0 @@
file(REMOVE_RECURSE
"libcaribou_fpga.a"
)

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o
../src/io_utils/io_utils.h
../src/io_utils/io_utils_spi.h
../src/io_utils/pigpio/pigpio.h
../src/zf_log/zf_log.h
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.c
/home/pi/projects/cariboulite/software/libcariboulite/src/caribou_fpga/caribou_fpga.h

Wyświetl plik

@ -1,10 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.18
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../src/io_utils/io_utils.h
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../src/io_utils/io_utils_spi.h
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../src/io_utils/pigpio/pigpio.h
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../src/zf_log/zf_log.h
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../src/caribou_fpga/caribou_fpga.c
src/caribou_fpga/CMakeFiles/caribou_fpga.dir/caribou_fpga.c.o: ../src/caribou_fpga/caribou_fpga.h

Some files were not shown because too many files have changed in this diff Show More