cariboulabs-cariboulite/firmware/lvds_rx.v

87 wiersze
2.3 KiB
Coq
Czysty Zwykły widok Historia

2023-05-30 11:33:08 +00:00
module lvds_rx (
input i_rst_b,
input i_ddr_clk,
input [1:0] i_ddr_data,
2021-07-07 12:29:32 +00:00
2023-05-30 11:33:08 +00:00
input i_fifo_full,
output o_fifo_write_clk,
2023-07-03 11:40:33 +00:00
output o_fifo_push,
2023-05-30 11:33:08 +00:00
output reg [31:0] o_fifo_data,
input i_sync_input,
output [ 1:0] o_debug_state
);
2021-07-07 12:29:32 +00:00
2023-05-30 11:33:08 +00:00
// Internal FSM States
localparam state_idle = 2'b00, state_i_phase = 2'b01, state_q_phase = 2'b11;
2021-09-01 21:50:13 +00:00
2023-05-30 11:33:08 +00:00
// Modem sync symbols
localparam modem_i_sync = 2'b10, modem_q_sync = 2'b01;
2021-07-07 12:29:32 +00:00
2023-05-30 11:33:08 +00:00
// Internal Registers
reg [1:0] r_state_if;
reg [2:0] r_phase_count;
reg r_sync_input;
2021-07-07 12:29:32 +00:00
2023-05-30 11:33:08 +00:00
// Initial conditions
initial begin
r_state_if = state_idle;
r_phase_count = 3'b111;
end
// Global Assignments
assign o_fifo_write_clk = i_ddr_clk;
assign o_debug_state = r_state_if;
2021-07-07 12:29:32 +00:00
2023-05-30 11:33:08 +00:00
// Main Process
always @(posedge i_ddr_clk or negedge i_rst_b) begin
if (i_rst_b == 1'b0) begin
r_state_if <= state_idle;
o_fifo_push <= 1'b0;
r_phase_count <= 3'b111;
r_sync_input <= 1'b0;
end else begin
case (r_state_if)
state_idle: begin
if (i_ddr_data == modem_i_sync) begin
r_state_if <= state_i_phase;
2023-07-03 11:40:33 +00:00
o_fifo_data <= {30'b000000000000000000000000000000, i_ddr_data};
2023-05-30 11:33:08 +00:00
r_sync_input <= i_sync_input; // mark the sync input for this sample
end
2023-07-03 11:40:33 +00:00
r_phase_count <= 3'b111;
2023-05-30 11:33:08 +00:00
o_fifo_push <= 1'b0;
end
2021-07-07 12:29:32 +00:00
2023-05-30 11:33:08 +00:00
state_i_phase: begin
2023-07-03 11:40:33 +00:00
if (r_phase_count == 3'b000) begin
2023-05-30 11:33:08 +00:00
if (i_ddr_data == modem_q_sync) begin
2023-07-03 11:40:33 +00:00
r_phase_count <= 3'b110;
2023-05-30 11:33:08 +00:00
r_state_if <= state_q_phase;
end else begin
r_state_if <= state_idle;
end
2023-07-03 11:40:33 +00:00
end else begin
r_phase_count <= r_phase_count - 1;
2023-07-03 11:40:33 +00:00
end
2023-05-30 11:33:08 +00:00
o_fifo_push <= 1'b0;
o_fifo_data <= {o_fifo_data[29:0], i_ddr_data};
end
2021-09-03 20:17:44 +00:00
2023-05-30 11:33:08 +00:00
state_q_phase: begin
if (r_phase_count == 3'b000) begin
o_fifo_push <= ~i_fifo_full;
r_state_if <= state_idle;
2023-07-03 11:40:33 +00:00
o_fifo_data <= {o_fifo_data[29:0], i_ddr_data[1], r_sync_input};
2023-05-30 11:33:08 +00:00
end else begin
o_fifo_push <= 1'b0;
2023-07-03 11:40:33 +00:00
r_phase_count <= r_phase_count - 1;
o_fifo_data <= {o_fifo_data[29:0], i_ddr_data};
2023-05-30 11:33:08 +00:00
end
2021-07-07 12:29:32 +00:00
2021-07-07 13:04:37 +00:00
end
2023-05-30 11:33:08 +00:00
endcase
2023-02-14 15:39:24 +00:00
end
2023-05-30 11:33:08 +00:00
end
2023-02-14 15:39:24 +00:00
endmodule