cariboulabs-cariboulite/firmware/Makefile

27 wiersze
967 B
Makefile
Czysty Zwykły widok Historia

PROG = ../software/libcariboulite/build/test/ice40programmer
2021-06-13 11:45:08 +00:00
filename = top
pcf_file = ./io.pcf
top.bin:
2023-02-14 15:39:24 +00:00
yosys -p 'synth_ice40 -top top -json $(filename).json -blif $(filename).blif' -p 'ice40_opt' -p 'fsm_opt' $(filename).v
#nextpnr-ice40 --lp1k --package qn84 --json $(filename).json --pcf $(pcf_file) --asc $(filename).asc
nextpnr-ice40 --lp1k --package qn84 --json $(filename).json --pcf $(pcf_file) --asc $(filename).asc --parallel-refine --opt-timing --seed 16 --timing-allow-fail
2021-06-13 11:45:08 +00:00
icepack $(filename).asc $(filename).bin
build: top.bin
echo "Generating code blob"
../software/utils/generate_bin_blob ./top.bin cariboulite_firmware ./h-files/cariboulite_fpga_firmware.h
2021-12-27 22:58:59 +00:00
echo "Copying firmware blob to the software lib"
cp ./h-files/cariboulite_fpga_firmware.h ../software/libcariboulite/src/
2021-06-13 11:45:08 +00:00
prog: build
$(PROG) $(filename).bin
2021-08-15 20:39:34 +00:00
prog_only:
$(PROG) $(filename).bin
2021-06-13 11:45:08 +00:00
clean:
rm -rf $(filename).json $(filename).blif $(filename).asc $(filename).bin