Wolf-LITE/FPGA_61.440/WOLF-LITE.bdf

4364 wiersze
106 KiB
Plaintext

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 2018 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
(input)
(rect 2840 232 3016 248)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "ADC_OTR" (rect 9 0 60 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "GND" (rect 136 7 157 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 2840 216 3016 232)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "STM32_SYNC" (rect 9 0 79 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 2840 168 3016 184)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "STM32_CLK" (rect 9 0 69 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 3744 1000 3920 1016)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "FLASH_MISO" (rect 9 0 77 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect -56 -56 120 -40)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "ADC_INPUT[11..0]" (rect 9 0 103 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "GND" (rect 136 7 157 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 2216 688 2392 704)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "clk_sys" (rect 9 0 47 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "GND" (rect 136 7 157 17)(font "Arial" (font_size 6)))
)
(pin
(output)
(rect 3552 224 3728 240)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "PREAMP" (rect 90 0 134 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3408 1000 3584 1016)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "AUDIO_I2S_CLOCK" (rect 90 0 191 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3408 1016 3584 1032)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "AUDIO_48K_CLOCK" (rect 90 0 193 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 6288 208 6464 224)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "DAC_OUTPUT[13..0]" (rect 90 0 195 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 4176 968 4352 984)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "FLASH_C" (rect 90 0 139 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 4176 984 4352 1000)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "FLASH_S" (rect 90 0 138 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 4176 952 4352 968)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "FLASH_MOSI" (rect 90 0 158 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 6288 272 6464 288)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "DAC_PD" (rect 90 0 134 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 6288 80 6464 96)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "DAC_CLK" (rect 90 0 140 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 456 3632 472)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "ATT_05" (rect 90 0 126 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 472 3632 488)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "ATT_1" (rect 90 0 120 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 488 3632 504)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "ATT_2" (rect 90 0 120 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 504 3632 520)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "ATT_4" (rect 90 0 120 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 520 3632 536)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "ATT_8" (rect 90 0 120 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 536 3632 552)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "ATT_16" (rect 90 0 126 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 552 3632 568)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "BPF_A" (rect 90 0 125 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 568 3632 584)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "BPF_B" (rect 90 0 124 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 584 3632 600)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "BPF_OE1" (rect 90 0 138 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 600 3632 616)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "BPF_OE2" (rect 90 0 138 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 616 3632 632)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "LPF_1" (rect 90 0 121 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 632 3632 648)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "LPF_2" (rect 90 0 121 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3456 648 3632 664)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "LPF_3" (rect 90 0 121 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3512 32 3688 48)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "TXRX_OUT" (rect 90 0 144 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 3416 1168 3592 1184)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "VCXO_PWM" (rect 90 0 151 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(bidir)
(rect 3456 168 3632 184)
(text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
(text "STM32_DATA_BUS[7..0]" (rect 90 0 211 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 56 4)(pt 78 4))
(line (pt 0 8)(pt 52 8))
(line (pt 56 12)(pt 78 12))
(line (pt 78 4)(pt 82 8))
(line (pt 78 12)(pt 82 8))
(line (pt 56 4)(pt 52 8))
(line (pt 52 8)(pt 56 12))
)
(text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6)))
)
(symbol
(rect 3512 88 3560 120)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "TX_NOT" (rect 3 21 43 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 3480 216 3528 248)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "PREAMP_NOT" (rect 3 21 76 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 5544 168 5704 280)
(text "tx_summator" (rect 43 0 132 16)(font "Arial" (font_size 10)))
(text "TX_SUMMATOR" (rect 8 96 87 108)(font "Arial" ))
(port
(pt 0 40)
(input)
(text "dataa[31..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "dataa[31..0]" (rect 4 26 71 40)(font "Arial" (font_size 8)))
(line (pt 0 40)(pt 64 40)(line_width 3))
)
(port
(pt 0 72)
(input)
(text "datab[31..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "datab[31..0]" (rect 4 58 71 72)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 64 72)(line_width 3))
)
(port
(pt 0 96)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 82 33 96)(font "Arial" (font_size 8)))
(line (pt 0 96)(pt 74 96))
)
(port
(pt 0 56)
(input)
(text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clock" (rect 4 42 33 56)(font "Arial" (font_size 8)))
(line (pt 0 56)(pt 64 56))
)
(port
(pt 160 56)
(output)
(text "result[31..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "result[31..0]" (rect 100 42 167 56)(font "Arial" (font_size 8)))
(line (pt 160 56)(pt 96 56)(line_width 3))
)
(port
(pt 160 96)
(output)
(text "overflow" (rect 0 0 51 14)(font "Arial" (font_size 8)))
(text "overflow" (rect 112 82 163 96)(font "Arial" (font_size 8)))
)
(drawing
(text "A+B" (rect 75 51 99 65)(font "Arial" (font_size 8)))
(text "A" (rect 64 35 73 49)(font "Arial" (font_size 8)))
(text "B" (rect 65 67 73 81)(font "Arial" (font_size 8)))
(line (pt 64 32)(pt 64 80))
(line (pt 64 32)(pt 96 40))
(line (pt 64 80)(pt 96 72))
(line (pt 96 40)(pt 96 72))
(line (pt 74 80)(pt 74 96))
(line (pt 85 75)(pt 85 96))
(line (pt 0 0)(pt 162 0))
(line (pt 162 0)(pt 162 114))
(line (pt 0 114)(pt 162 114))
(line (pt 0 0)(pt 0 114))
(line (pt 159 96)(pt 85 96))
(line (pt 64 50)(pt 70 56))
(line (pt 70 56)(pt 64 62))
)
)
(symbol
(rect 6040 168 6184 248)
(text "mux14" (rect 54 0 100 16)(font "Arial" (font_size 10)))
(text "DAC_MUX" (rect 8 64 59 76)(font "Arial" ))
(port
(pt 0 40)
(input)
(text "data1x[13..0]" (rect 0 0 74 14)(font "Arial" (font_size 8)))
(text "data1x[13..0]" (rect 4 26 78 40)(font "Arial" (font_size 8)))
(line (pt 0 40)(pt 64 40)(line_width 3))
)
(port
(pt 0 56)
(input)
(text "data0x[13..0]" (rect 0 0 74 14)(font "Arial" (font_size 8)))
(text "data0x[13..0]" (rect 4 42 78 56)(font "Arial" (font_size 8)))
(line (pt 0 56)(pt 64 56)(line_width 3))
)
(port
(pt 72 80)
(input)
(text "sel" (rect 0 0 14 16)(font "Arial" (font_size 8))(vertical))
(text "sel" (rect 65 51 79 67)(font "Arial" (font_size 8))(vertical))
(line (pt 72 80)(pt 72 68))
)
(port
(pt 144 48)
(output)
(text "result[13..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "result[13..0]" (rect 84 34 151 48)(font "Arial" (font_size 8)))
(line (pt 144 48)(pt 80 48)(line_width 3))
)
(drawing
(line (pt 64 24)(pt 64 72))
(line (pt 64 24)(pt 80 32))
(line (pt 64 72)(pt 80 64))
(line (pt 80 32)(pt 80 64))
(line (pt 0 0)(pt 146 0))
(line (pt 146 0)(pt 146 82))
(line (pt 0 82)(pt 146 82))
(line (pt 0 0)(pt 0 82))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
)
)
(symbol
(rect 5896 296 6008 344)
(text "dac_null" (rect 33 0 89 16)(font "Arial" (font_size 10)))
(text "DAC_IDLE" (rect 8 32 62 44)(font "Arial" ))
(port
(pt 112 24)
(output)
(text "result[13..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "8192" (rect 69 17 97 31)(font "Arial" (font_size 8)))
(line (pt 112 24)(pt 96 24)(line_width 3))
)
(drawing
(text "14" (rect 94 26 105 38)(font "Arial" ))
(line (pt 106 20)(pt 98 28))
(line (pt 16 16)(pt 16 32))
(line (pt 16 16)(pt 96 16))
(line (pt 16 32)(pt 96 32))
(line (pt 96 16)(pt 96 32))
(line (pt 0 0)(pt 114 0))
(line (pt 114 0)(pt 114 50))
(line (pt 0 50)(pt 114 50))
(line (pt 0 0)(pt 0 50))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
)
)
(symbol
(rect 5760 176 6008 288)
(text "DAC_corrector" (rect 5 0 78 12)(font "Arial" ))
(text "DAC_CORRECTOR" (rect 8 96 108 108)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clk_in" (rect 0 0 28 12)(font "Arial" ))
(text "clk_in" (rect 21 27 49 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "DATA_IN[31..0]" (rect 0 0 77 12)(font "Arial" ))
(text "DATA_IN[31..0]" (rect 21 43 98 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48)(line_width 3))
)
(port
(pt 0 64)
(input)
(text "distance[7..0]" (rect 0 0 67 12)(font "Arial" ))
(text "distance[7..0]" (rect 21 59 88 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64)(line_width 3))
)
(port
(pt 248 32)
(output)
(text "DATA_OUT[13..0]" (rect 0 0 88 12)(font "Arial" ))
(text "DATA_OUT[13..0]" (rect 153 27 227 39)(font "Arial" ))
(line (pt 248 32)(pt 232 32)(line_width 3))
)
(parameter
"in_width"
"32"
""
(type "PARAMETER_SIGNED_DEC") )
(parameter
"out_width"
"14"
""
(type "PARAMETER_SIGNED_DEC") )
(drawing
(rectangle (rect 16 16 232 96))
)
(annotation_block (parameter)(rect 5792 112 5968 176))
)
(symbol
(rect 1168 96 1184 128)
(text "VCC" (rect 6 7 16 27)(font "Arial" (font_size 6))(vertical))
(text "inst3" (rect -1 3 11 26)(font "Arial" )(vertical)(invisible))
(port
(pt 0 16)
(output)
(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
(text "1" (rect -3 19 9 24)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 0 16)(pt 8 16))
)
(drawing
(line (pt 8 8)(pt 8 24))
)
(rotate270)
)
(symbol
(rect 1168 376 1184 408)
(text "VCC" (rect 6 7 16 27)(font "Arial" (font_size 6))(vertical))
(text "inst6" (rect -1 3 11 26)(font "Arial" )(vertical)(invisible))
(port
(pt 0 16)
(output)
(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
(text "1" (rect -3 19 9 24)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 0 16)(pt 8 16))
)
(drawing
(line (pt 8 8)(pt 8 24))
)
(rotate270)
)
(symbol
(rect 4904 432 4920 464)
(text "VCC" (rect 0 5 10 25)(font "Arial" (font_size 6))(vertical))
(text "inst12" (rect 5 0 17 29)(font "Arial" )(vertical)(invisible))
(port
(pt 16 16)
(output)
(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
(text "1" (rect 7 8 19 13)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 16 16)(pt 8 16))
)
(drawing
(line (pt 8 24)(pt 8 8))
)
(rotate90)
)
(symbol
(rect 4904 144 4920 176)
(text "VCC" (rect 0 5 10 25)(font "Arial" (font_size 6))(vertical))
(text "inst" (rect 5 12 17 29)(font "Arial" )(vertical)(invisible))
(port
(pt 16 16)
(output)
(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
(text "1" (rect 7 8 19 13)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 16 16)(pt 8 16))
)
(drawing
(line (pt 8 24)(pt 8 8))
)
(rotate90)
)
(symbol
(rect 3936 912 4152 1056)
(text "spi_interface" (rect 5 0 68 12)(font "Arial" ))
(text "FLASH" (rect 8 128 43 140)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clk_in" (rect 0 0 28 12)(font "Arial" ))
(text "clk_in" (rect 21 27 49 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "enabled" (rect 0 0 37 12)(font "Arial" ))
(text "enabled" (rect 21 43 58 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "data_in[7..0]" (rect 0 0 61 12)(font "Arial" ))
(text "data_in[7..0]" (rect 21 59 82 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64)(line_width 3))
)
(port
(pt 0 80)
(input)
(text "continue_read" (rect 0 0 68 12)(font "Arial" ))
(text "continue_read" (rect 21 75 89 87)(font "Arial" ))
(line (pt 0 80)(pt 16 80))
)
(port
(pt 0 96)
(input)
(text "MISO_DQ1" (rect 0 0 55 12)(font "Arial" ))
(text "MISO_DQ1" (rect 21 91 76 103)(font "Arial" ))
(line (pt 0 96)(pt 16 96))
)
(port
(pt 216 32)
(output)
(text "data_out[7..0]" (rect 0 0 68 12)(font "Arial" ))
(text "data_out[7..0]" (rect 138 27 195 39)(font "Arial" ))
(line (pt 216 32)(pt 200 32)(line_width 3))
)
(port
(pt 216 48)
(output)
(text "MOSI_DQ0" (rect 0 0 55 12)(font "Arial" ))
(text "MOSI_DQ0" (rect 149 43 195 55)(font "Arial" ))
(line (pt 216 48)(pt 200 48))
)
(port
(pt 216 64)
(output)
(text "SCK_C" (rect 0 0 36 12)(font "Arial" ))
(text "SCK_C" (rect 165 59 195 71)(font "Arial" ))
(line (pt 216 64)(pt 200 64))
)
(port
(pt 216 80)
(output)
(text "CS_S" (rect 0 0 28 12)(font "Arial" ))
(text "CS_S" (rect 172 75 195 87)(font "Arial" ))
(line (pt 216 80)(pt 200 80))
)
(port
(pt 216 96)
(output)
(text "busy" (rect 0 0 24 12)(font "Arial" ))
(text "busy" (rect 175 91 195 103)(font "Arial" ))
(line (pt 216 96)(pt 200 96))
)
(port
(pt 216 112)
(output)
(text "spi_stage[7..0]" (rect 0 0 73 12)(font "Arial" ))
(text "spi_stage[7..0]" (rect 134 107 195 119)(font "Arial" ))
(line (pt 216 112)(pt 200 112)(line_width 3))
)
(drawing
(rectangle (rect 16 16 200 128))
)
)
(symbol
(rect 3768 784 3784 816)
(text "VCC" (rect 0 5 10 25)(font "Arial" (font_size 6))(vertical))
(text "inst5" (rect 5 6 17 29)(font "Arial" )(vertical)(invisible))
(port
(pt 16 16)
(output)
(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
(text "1" (rect 7 8 19 13)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 16 16)(pt 8 16))
)
(drawing
(line (pt 8 24)(pt 8 8))
)
(rotate90)
)
(symbol
(rect 4928 248 5184 480)
(text "tx_cic" (rect 111 -1 152 15)(font "Arial" (font_size 10)))
(text "TX_CIC_Q" (rect 8 216 59 228)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "in_error[1..0]" (rect 0 0 73 14)(font "Arial" (font_size 8)))
(text "in_error[1..0]" (rect 4 61 77 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 80 72)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "in_valid" (rect 0 0 42 14)(font "Arial" (font_size 8)))
(text "in_valid" (rect 4 77 46 91)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 80 88))
)
(port
(pt 0 120)
(input)
(text "in_data[15..0]" (rect 0 0 76 14)(font "Arial" (font_size 8)))
(text "in_data[15..0]" (rect 4 109 80 123)(font "Arial" (font_size 8)))
(line (pt 0 120)(pt 80 120)(line_width 3))
)
(port
(pt 256 120)
(input)
(text "out_ready" (rect 0 0 57 14)(font "Arial" (font_size 8)))
(text "out_ready" (rect 204 109 261 123)(font "Arial" (font_size 8)))
(line (pt 256 120)(pt 176 120))
)
(port
(pt 0 160)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 149 19 163)(font "Arial" (font_size 8)))
(line (pt 0 160)(pt 80 160))
)
(port
(pt 0 200)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 189 47 203)(font "Arial" (font_size 8)))
(line (pt 0 200)(pt 80 200))
)
(port
(pt 0 104)
(output)
(text "in_ready" (rect 0 0 49 14)(font "Arial" (font_size 8)))
(text "in_ready" (rect 4 93 53 107)(font "Arial" (font_size 8)))
(line (pt 0 104)(pt 80 104))
)
(port
(pt 256 72)
(output)
(text "out_data[15..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "out_data[15..0]" (rect 183 61 254 75)(font "Arial" (font_size 8)))
(line (pt 256 72)(pt 176 72)(line_width 3))
)
(port
(pt 256 88)
(output)
(text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8)))
(text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8)))
(line (pt 256 88)(pt 176 88)(line_width 3))
)
(port
(pt 256 104)
(output)
(text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8)))
(text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8)))
(line (pt 256 104)(pt 176 104))
)
(drawing
(text "av_st_in" (rect 31 43 85 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "error" (rect 85 67 107 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 85 83 108 95)(font "Arial" (color 0 0 0)))
(text "ready" (rect 85 99 113 111)(font "Arial" (color 0 0 0)))
(text "in_data" (rect 85 115 120 127)(font "Arial" (color 0 0 0)))
(text "av_st_out" (rect 177 43 239 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "out_data" (rect 136 67 178 79)(font "Arial" (color 0 0 0)))
(text "error" (rect 151 83 173 95)(font "Arial" (color 0 0 0)))
(text "valid" (rect 153 99 176 111)(font "Arial" (color 0 0 0)))
(text "ready" (rect 148 115 176 127)(font "Arial" (color 0 0 0)))
(text "clock" (rect 52 131 85 146)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 85 155 99 167)(font "Arial" (color 0 0 0)))
(text "reset" (rect 51 171 84 186)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 85 195 121 207)(font "Arial" (color 0 0 0)))
(text " tx_cic " (rect 227 216 263 228)(font "Arial" ))
(line (pt 80 32)(pt 176 32))
(line (pt 176 32)(pt 176 216))
(line (pt 80 216)(pt 176 216))
(line (pt 80 32)(pt 80 216))
(line (pt 81 52)(pt 81 124))
(line (pt 82 52)(pt 82 124))
(line (pt 175 52)(pt 175 124))
(line (pt 174 52)(pt 174 124))
(line (pt 81 140)(pt 81 164))
(line (pt 82 140)(pt 82 164))
(line (pt 81 180)(pt 81 204))
(line (pt 82 180)(pt 82 204))
(line (pt 0 0)(pt 256 0))
(line (pt 256 0)(pt 256 232))
(line (pt 0 232)(pt 256 232))
(line (pt 0 0)(pt 0 232))
)
)
(symbol
(rect 4928 -40 5184 192)
(text "tx_cic" (rect 111 -1 152 15)(font "Arial" (font_size 10)))
(text "TX_CIC_I" (rect 8 216 55 228)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "in_error[1..0]" (rect 0 0 73 14)(font "Arial" (font_size 8)))
(text "in_error[1..0]" (rect 4 61 77 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 80 72)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "in_valid" (rect 0 0 42 14)(font "Arial" (font_size 8)))
(text "in_valid" (rect 4 77 46 91)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 80 88))
)
(port
(pt 0 120)
(input)
(text "in_data[15..0]" (rect 0 0 76 14)(font "Arial" (font_size 8)))
(text "in_data[15..0]" (rect 4 109 80 123)(font "Arial" (font_size 8)))
(line (pt 0 120)(pt 80 120)(line_width 3))
)
(port
(pt 256 120)
(input)
(text "out_ready" (rect 0 0 57 14)(font "Arial" (font_size 8)))
(text "out_ready" (rect 204 109 261 123)(font "Arial" (font_size 8)))
(line (pt 256 120)(pt 176 120))
)
(port
(pt 0 160)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 149 19 163)(font "Arial" (font_size 8)))
(line (pt 0 160)(pt 80 160))
)
(port
(pt 0 200)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 189 47 203)(font "Arial" (font_size 8)))
(line (pt 0 200)(pt 80 200))
)
(port
(pt 0 104)
(output)
(text "in_ready" (rect 0 0 49 14)(font "Arial" (font_size 8)))
(text "in_ready" (rect 4 93 53 107)(font "Arial" (font_size 8)))
(line (pt 0 104)(pt 80 104))
)
(port
(pt 256 72)
(output)
(text "out_data[15..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "out_data[15..0]" (rect 183 61 254 75)(font "Arial" (font_size 8)))
(line (pt 256 72)(pt 176 72)(line_width 3))
)
(port
(pt 256 88)
(output)
(text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8)))
(text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8)))
(line (pt 256 88)(pt 176 88)(line_width 3))
)
(port
(pt 256 104)
(output)
(text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8)))
(text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8)))
(line (pt 256 104)(pt 176 104))
)
(drawing
(text "av_st_in" (rect 31 43 85 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "error" (rect 85 67 107 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 85 83 108 95)(font "Arial" (color 0 0 0)))
(text "ready" (rect 85 99 113 111)(font "Arial" (color 0 0 0)))
(text "in_data" (rect 85 115 120 127)(font "Arial" (color 0 0 0)))
(text "av_st_out" (rect 177 43 239 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "out_data" (rect 136 67 178 79)(font "Arial" (color 0 0 0)))
(text "error" (rect 151 83 173 95)(font "Arial" (color 0 0 0)))
(text "valid" (rect 153 99 176 111)(font "Arial" (color 0 0 0)))
(text "ready" (rect 148 115 176 127)(font "Arial" (color 0 0 0)))
(text "clock" (rect 52 131 85 146)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 85 155 99 167)(font "Arial" (color 0 0 0)))
(text "reset" (rect 51 171 84 186)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 85 195 121 207)(font "Arial" (color 0 0 0)))
(text " tx_cic " (rect 227 216 263 228)(font "Arial" ))
(line (pt 80 32)(pt 176 32))
(line (pt 176 32)(pt 176 216))
(line (pt 80 216)(pt 176 216))
(line (pt 80 32)(pt 80 216))
(line (pt 81 52)(pt 81 124))
(line (pt 82 52)(pt 82 124))
(line (pt 175 52)(pt 175 124))
(line (pt 174 52)(pt 174 124))
(line (pt 81 140)(pt 81 164))
(line (pt 82 140)(pt 82 164))
(line (pt 81 180)(pt 81 204))
(line (pt 82 180)(pt 82 204))
(line (pt 0 0)(pt 256 0))
(line (pt 256 0)(pt 256 232))
(line (pt 0 232)(pt 256 232))
(line (pt 0 0)(pt 0 232))
)
)
(symbol
(rect 3792 632 4048 832)
(text "tx_nco" (rect 109 -1 155 15)(font "Arial" (font_size 10)))
(text "TX_NCO" (rect 8 184 50 196)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 80 72))
)
(port
(pt 0 112)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 101 33 115)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 80 112))
)
(port
(pt 0 128)
(input)
(text "phi_inc_i[21..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "phi_inc_i[21..0]" (rect 4 117 88 131)(font "Arial" (font_size 8)))
(line (pt 0 128)(pt 80 128)(line_width 3))
)
(port
(pt 0 168)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 157 47 171)(font "Arial" (font_size 8)))
(line (pt 0 168)(pt 80 168))
)
(port
(pt 256 72)
(output)
(text "fsin_o[15..0]" (rect 0 0 70 14)(font "Arial" (font_size 8)))
(text "fsin_o[15..0]" (rect 194 61 253 75)(font "Arial" (font_size 8)))
(line (pt 256 72)(pt 176 72)(line_width 3))
)
(port
(pt 256 88)
(output)
(text "fcos_o[15..0]" (rect 0 0 75 14)(font "Arial" (font_size 8)))
(text "fcos_o[15..0]" (rect 190 77 253 91)(font "Arial" (font_size 8)))
(line (pt 256 88)(pt 176 88)(line_width 3))
)
(port
(pt 256 104)
(output)
(text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8)))
(text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8)))
(line (pt 256 104)(pt 176 104))
)
(drawing
(text "clk" (rect 65 43 82 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 85 67 99 79)(font "Arial" (color 0 0 0)))
(text "in" (rect 71 83 82 98)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clken" (rect 85 107 110 119)(font "Arial" (color 0 0 0)))
(text "phi_inc_i" (rect 85 123 127 135)(font "Arial" (color 0 0 0)))
(text "out" (rect 177 43 197 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "fsin_o" (rect 146 67 176 79)(font "Arial" (color 0 0 0)))
(text "fcos_o" (rect 143 83 177 95)(font "Arial" (color 0 0 0)))
(text "out_valid" (rect 134 99 178 111)(font "Arial" (color 0 0 0)))
(text "rst" (rect 65 139 81 154)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 85 163 121 175)(font "Arial" (color 0 0 0)))
(text " tx_nco " (rect 224 184 264 196)(font "Arial" ))
(line (pt 80 32)(pt 176 32))
(line (pt 176 32)(pt 176 184))
(line (pt 80 184)(pt 176 184))
(line (pt 80 32)(pt 80 184))
(line (pt 81 52)(pt 81 76))
(line (pt 82 52)(pt 82 76))
(line (pt 81 92)(pt 81 132))
(line (pt 82 92)(pt 82 132))
(line (pt 175 52)(pt 175 108))
(line (pt 174 52)(pt 174 108))
(line (pt 81 148)(pt 81 172))
(line (pt 82 148)(pt 82 172))
(line (pt 0 0)(pt 256 0))
(line (pt 256 0)(pt 256 200))
(line (pt 0 200)(pt 256 200))
(line (pt 0 0)(pt 0 200))
)
)
(symbol
(rect 5272 56 5440 184)
(text "tx_mixer" (rect 59 0 118 16)(font "Arial" (font_size 10)))
(text "TX_MIXER_I" (rect 8 112 68 124)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clock" (rect 4 18 33 32)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 88 32))
)
(port
(pt 0 56)
(input)
(text "dataa[15..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "dataa[15..0]" (rect 4 42 71 56)(font "Arial" (font_size 8)))
(line (pt 0 56)(pt 72 56)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "datab[15..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "datab[15..0]" (rect 4 74 71 88)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 72 88)(line_width 3))
)
(port
(pt 0 112)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 98 33 112)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 100 112))
)
(port
(pt 168 72)
(output)
(text "result[31..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "result[31..0]" (rect 108 58 175 72)(font "Arial" (font_size 8)))
(line (pt 168 72)(pt 104 72)(line_width 3))
)
(drawing
(text "Signed" (rect 104 72 137 84)(font "Arial" ))
(text "multiplication" (rect 104 82 167 94)(font "Arial" ))
(line (pt 88 32)(pt 88 56))
(line (pt 82 66)(pt 94 78)(line_width 3))
(line (pt 82 78)(pt 94 66)(line_width 3))
(line (pt 72 56)(pt 77 61)(line_width 3))
(line (pt 72 88)(pt 77 83)(line_width 3))
(line (pt 100 88)(pt 100 111))
(line (pt 100 87)(pt 96 83))
(line (pt 0 0)(pt 169 0))
(line (pt 169 0)(pt 169 130))
(line (pt 0 130)(pt 169 130))
(line (pt 0 0)(pt 0 130))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(circle (rect 72 56 104 88))
)
)
(symbol
(rect 5272 264 5440 392)
(text "tx_mixer" (rect 59 0 118 16)(font "Arial" (font_size 10)))
(text "TX_MIXER_Q" (rect 8 112 72 124)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clock" (rect 4 18 33 32)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 88 32))
)
(port
(pt 0 56)
(input)
(text "dataa[15..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "dataa[15..0]" (rect 4 42 71 56)(font "Arial" (font_size 8)))
(line (pt 0 56)(pt 72 56)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "datab[15..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "datab[15..0]" (rect 4 74 71 88)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 72 88)(line_width 3))
)
(port
(pt 0 112)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 98 33 112)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 100 112))
)
(port
(pt 168 72)
(output)
(text "result[31..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "result[31..0]" (rect 108 58 175 72)(font "Arial" (font_size 8)))
(line (pt 168 72)(pt 104 72)(line_width 3))
)
(drawing
(text "Signed" (rect 104 72 137 84)(font "Arial" ))
(text "multiplication" (rect 104 82 167 94)(font "Arial" ))
(line (pt 88 32)(pt 88 56))
(line (pt 82 66)(pt 94 78)(line_width 3))
(line (pt 82 78)(pt 94 66)(line_width 3))
(line (pt 72 56)(pt 77 61)(line_width 3))
(line (pt 72 88)(pt 77 83)(line_width 3))
(line (pt 100 88)(pt 100 111))
(line (pt 100 87)(pt 96 83))
(line (pt 0 0)(pt 169 0))
(line (pt 169 0)(pt 169 130))
(line (pt 0 130)(pt 169 130))
(line (pt 0 0)(pt 0 130))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(circle (rect 72 56 104 88))
)
)
(symbol
(rect 520 -176 696 -72)
(text "DEBUG" (rect 66 -1 120 15)(font "Arial" (font_size 10)))
(text "DBG_ADC" (rect 8 88 61 100)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "probe[11..0]" (rect 0 0 68 14)(font "Arial" (font_size 8)))
(text "probe[11..0]" (rect 4 61 72 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 64 72)(line_width 3))
)
(drawing
(text "probes" (rect 25 43 71 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "probe" (rect 69 67 96 79)(font "Arial" (color 0 0 0)))
(text " DEBUG " (rect 139 88 185 100)(font "Arial" ))
(line (pt 64 32)(pt 112 32))
(line (pt 112 32)(pt 112 88))
(line (pt 64 88)(pt 112 88))
(line (pt 64 32)(pt 64 88))
(line (pt 65 52)(pt 65 76))
(line (pt 66 52)(pt 66 76))
(line (pt 0 0)(pt 176 0))
(line (pt 176 0)(pt 176 104))
(line (pt 0 104)(pt 176 104))
(line (pt 0 0)(pt 0 104))
)
)
(symbol
(rect 2416 624 2688 728)
(text "clock_buffer" (rect 99 -1 180 15)(font "Arial" (font_size 10)))
(text "SYSCLK_BUFFER" (rect 8 88 102 100)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "inclk" (rect 0 0 24 14)(font "Arial" (font_size 8)))
(text "inclk" (rect 4 61 28 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 96 72))
)
(port
(pt 272 72)
(output)
(text "outclk" (rect 0 0 33 14)(font "Arial" (font_size 8)))
(text "outclk" (rect 242 61 269 75)(font "Arial" (font_size 8)))
(line (pt 272 72)(pt 160 72))
)
(drawing
(text "altclkctrl_input" (rect 13 43 105 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "inclk" (rect 101 67 123 79)(font "Arial" (color 0 0 0)))
(text "altclkctrl_output" (rect 161 43 261 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "outclk" (rect 132 67 161 79)(font "Arial" (color 0 0 0)))
(text " clock_buffer " (rect 214 88 283 100)(font "Arial" ))
(line (pt 96 32)(pt 160 32))
(line (pt 160 32)(pt 160 88))
(line (pt 96 88)(pt 160 88))
(line (pt 96 32)(pt 96 88))
(line (pt 97 52)(pt 97 76))
(line (pt 98 52)(pt 98 76))
(line (pt 159 52)(pt 159 76))
(line (pt 158 52)(pt 158 76))
(line (pt 0 0)(pt 272 0))
(line (pt 272 0)(pt 272 104))
(line (pt 0 104)(pt 272 104))
(line (pt 0 0)(pt 0 104))
)
)
(symbol
(rect 528 -24 696 104)
(text "mixer" (rect 68 0 105 16)(font "Arial" (font_size 10)))
(text "RX_MIXER_I" (rect 8 112 70 124)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clock" (rect 4 18 33 32)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 88 32))
)
(port
(pt 0 56)
(input)
(text "dataa[11..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "dataa[11..0]" (rect 4 42 71 56)(font "Arial" (font_size 8)))
(line (pt 0 56)(pt 72 56)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "datab[11..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "datab[11..0]" (rect 4 74 71 88)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 72 88)(line_width 3))
)
(port
(pt 0 112)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 98 33 112)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 100 112))
)
(port
(pt 168 72)
(output)
(text "result[23..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "result[23..0]" (rect 108 58 175 72)(font "Arial" (font_size 8)))
(line (pt 168 72)(pt 104 72)(line_width 3))
)
(drawing
(text "Signed" (rect 104 72 137 84)(font "Arial" ))
(text "multiplication" (rect 104 82 167 94)(font "Arial" ))
(line (pt 88 32)(pt 88 56))
(line (pt 82 66)(pt 94 78)(line_width 3))
(line (pt 82 78)(pt 94 66)(line_width 3))
(line (pt 72 56)(pt 77 61)(line_width 3))
(line (pt 72 88)(pt 77 83)(line_width 3))
(line (pt 100 88)(pt 100 111))
(line (pt 100 87)(pt 96 83))
(line (pt 0 0)(pt 169 0))
(line (pt 169 0)(pt 169 130))
(line (pt 0 130)(pt 169 130))
(line (pt 0 0)(pt 0 130))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(circle (rect 72 56 104 88))
)
)
(symbol
(rect 480 152 736 352)
(text "nco" (rect 118 -1 142 15)(font "Arial" (font_size 10)))
(text "RX_NCO" (rect 8 184 52 196)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 80 72))
)
(port
(pt 0 112)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 101 33 115)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 80 112))
)
(port
(pt 0 128)
(input)
(text "phi_inc_i[21..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "phi_inc_i[21..0]" (rect 4 117 88 131)(font "Arial" (font_size 8)))
(line (pt 0 128)(pt 80 128)(line_width 3))
)
(port
(pt 0 168)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 157 47 171)(font "Arial" (font_size 8)))
(line (pt 0 168)(pt 80 168))
)
(port
(pt 256 72)
(output)
(text "fsin_o[11..0]" (rect 0 0 70 14)(font "Arial" (font_size 8)))
(text "fsin_o[11..0]" (rect 196 61 255 75)(font "Arial" (font_size 8)))
(line (pt 256 72)(pt 176 72)(line_width 3))
)
(port
(pt 256 88)
(output)
(text "fcos_o[11..0]" (rect 0 0 75 14)(font "Arial" (font_size 8)))
(text "fcos_o[11..0]" (rect 192 77 255 91)(font "Arial" (font_size 8)))
(line (pt 256 88)(pt 176 88)(line_width 3))
)
(port
(pt 256 104)
(output)
(text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8)))
(text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8)))
(line (pt 256 104)(pt 176 104))
)
(drawing
(text "clk" (rect 65 43 82 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 85 67 99 79)(font "Arial" (color 0 0 0)))
(text "in" (rect 71 83 82 98)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clken" (rect 85 107 110 119)(font "Arial" (color 0 0 0)))
(text "phi_inc_i" (rect 85 123 127 135)(font "Arial" (color 0 0 0)))
(text "out" (rect 177 43 197 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "fsin_o" (rect 146 67 176 79)(font "Arial" (color 0 0 0)))
(text "fcos_o" (rect 143 83 177 95)(font "Arial" (color 0 0 0)))
(text "out_valid" (rect 134 99 178 111)(font "Arial" (color 0 0 0)))
(text "rst" (rect 65 139 81 154)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 85 163 121 175)(font "Arial" (color 0 0 0)))
(text " nco " (rect 238 184 262 196)(font "Arial" ))
(line (pt 80 32)(pt 176 32))
(line (pt 176 32)(pt 176 184))
(line (pt 80 184)(pt 176 184))
(line (pt 80 32)(pt 80 184))
(line (pt 81 52)(pt 81 76))
(line (pt 82 52)(pt 82 76))
(line (pt 81 92)(pt 81 132))
(line (pt 82 92)(pt 82 132))
(line (pt 175 52)(pt 175 108))
(line (pt 174 52)(pt 174 108))
(line (pt 81 148)(pt 81 172))
(line (pt 82 148)(pt 82 172))
(line (pt 0 0)(pt 256 0))
(line (pt 256 0)(pt 256 200))
(line (pt 0 200)(pt 256 200))
(line (pt 0 0)(pt 0 200))
)
)
(symbol
(rect 520 392 688 520)
(text "mixer" (rect 68 0 105 16)(font "Arial" (font_size 10)))
(text "RX_MIXER_Q" (rect 8 112 75 124)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clock" (rect 4 18 33 32)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 88 32))
)
(port
(pt 0 56)
(input)
(text "dataa[11..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "dataa[11..0]" (rect 4 42 71 56)(font "Arial" (font_size 8)))
(line (pt 0 56)(pt 72 56)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "datab[11..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "datab[11..0]" (rect 4 74 71 88)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 72 88)(line_width 3))
)
(port
(pt 0 112)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 98 33 112)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 100 112))
)
(port
(pt 168 72)
(output)
(text "result[23..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "result[23..0]" (rect 108 58 175 72)(font "Arial" (font_size 8)))
(line (pt 168 72)(pt 104 72)(line_width 3))
)
(drawing
(text "Signed" (rect 104 72 137 84)(font "Arial" ))
(text "multiplication" (rect 104 82 167 94)(font "Arial" ))
(line (pt 88 32)(pt 88 56))
(line (pt 82 66)(pt 94 78)(line_width 3))
(line (pt 82 78)(pt 94 66)(line_width 3))
(line (pt 72 56)(pt 77 61)(line_width 3))
(line (pt 72 88)(pt 77 83)(line_width 3))
(line (pt 100 88)(pt 100 111))
(line (pt 100 87)(pt 96 83))
(line (pt 0 0)(pt 169 0))
(line (pt 169 0)(pt 169 130))
(line (pt 0 130)(pt 169 130))
(line (pt 0 0)(pt 0 130))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(line (pt 0 0)(pt 0 0))
(circle (rect 72 56 104 88))
)
)
(symbol
(rect 4464 224 4768 368)
(text "data_shifter" (rect 5 0 64 12)(font "Arial" ))
(text "TX_CICCOMP_GAINER" (rect 8 128 126 140)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "data_in_I[in_width-1..0]" (rect 0 0 113 12)(font "Arial" ))
(text "data_in_I[in_width-1..0]" (rect 21 27 134 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32)(line_width 3))
)
(port
(pt 0 48)
(input)
(text "data_valid_I" (rect 0 0 60 12)(font "Arial" ))
(text "data_valid_I" (rect 21 43 81 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "data_in_Q[in_width-1..0]" (rect 0 0 118 12)(font "Arial" ))
(text "data_in_Q[in_width-1..0]" (rect 21 59 139 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64)(line_width 3))
)
(port
(pt 0 80)
(input)
(text "data_valid_Q" (rect 0 0 64 12)(font "Arial" ))
(text "data_valid_Q" (rect 21 75 85 87)(font "Arial" ))
(line (pt 0 80)(pt 16 80))
)
(port
(pt 0 96)
(input)
(text "distance[7..0]" (rect 0 0 67 12)(font "Arial" ))
(text "distance[7..0]" (rect 21 91 88 103)(font "Arial" ))
(line (pt 0 96)(pt 16 96)(line_width 3))
)
(port
(pt 0 112)
(input)
(text "enabled" (rect 0 0 37 12)(font "Arial" ))
(text "enabled" (rect 21 107 58 119)(font "Arial" ))
(line (pt 0 112)(pt 16 112))
)
(port
(pt 304 32)
(output)
(text "data_out_I[out_width-1..0]" (rect 0 0 127 12)(font "Arial" ))
(text "data_out_I[out_width-1..0]" (rect 176 27 283 39)(font "Arial" ))
(line (pt 304 32)(pt 288 32)(line_width 3))
)
(port
(pt 304 48)
(output)
(text "data_valid_out_I" (rect 0 0 81 12)(font "Arial" ))
(text "data_valid_out_I" (rect 215 43 283 55)(font "Arial" ))
(line (pt 304 48)(pt 288 48))
)
(port
(pt 304 64)
(output)
(text "data_out_Q[out_width-1..0]" (rect 0 0 132 12)(font "Arial" ))
(text "data_out_Q[out_width-1..0]" (rect 172 59 283 71)(font "Arial" ))
(line (pt 304 64)(pt 288 64)(line_width 3))
)
(port
(pt 304 80)
(output)
(text "data_valid_out_Q" (rect 0 0 86 12)(font "Arial" ))
(text "data_valid_out_Q" (rect 211 75 283 87)(font "Arial" ))
(line (pt 304 80)(pt 288 80))
)
(parameter
"in_width"
"30"
""
(type "PARAMETER_SIGNED_DEC") )
(parameter
"out_width"
"16"
""
(type "PARAMETER_SIGNED_DEC") )
(drawing
(rectangle (rect 16 16 288 128))
)
(annotation_block (parameter)(rect 4520 160 4690 217))
)
(symbol
(rect 160 -88 320 24)
(text "ADC_Latch" (rect 48 0 125 16)(font "Arial" (font_size 10)))
(text "ADC_Latch" (rect 8 96 64 108)(font "Arial" ))
(port
(pt 0 40)
(input)
(text "dataa[11..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "dataa[11..0]" (rect 4 26 71 40)(font "Arial" (font_size 8)))
(line (pt 0 40)(pt 64 40)(line_width 3))
)
(port
(pt 0 72)
(input)
(text "datab[11..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "datab[11..0]" (rect 4 58 71 72)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 64 72)(line_width 3))
)
(port
(pt 0 96)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 82 33 96)(font "Arial" (font_size 8)))
(line (pt 0 96)(pt 80 96))
)
(port
(pt 0 56)
(input)
(text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clock" (rect 4 42 33 56)(font "Arial" (font_size 8)))
(line (pt 0 56)(pt 64 56))
)
(port
(pt 160 56)
(output)
(text "result[11..0]" (rect 0 0 67 14)(font "Arial" (font_size 8)))
(text "result[11..0]" (rect 101 42 168 56)(font "Arial" (font_size 8)))
(line (pt 160 56)(pt 96 56)(line_width 3))
)
(drawing
(text "A+B" (rect 75 51 99 65)(font "Arial" (font_size 8)))
(text "A" (rect 64 35 73 49)(font "Arial" (font_size 8)))
(text "B" (rect 65 67 73 81)(font "Arial" (font_size 8)))
(line (pt 64 32)(pt 64 80))
(line (pt 64 32)(pt 96 40))
(line (pt 64 80)(pt 96 72))
(line (pt 96 40)(pt 96 72))
(line (pt 80 76)(pt 80 96))
(line (pt 0 0)(pt 162 0))
(line (pt 162 0)(pt 162 114))
(line (pt 0 114)(pt 162 114))
(line (pt 0 0)(pt 0 114))
(line (pt 64 50)(pt 70 56))
(line (pt 70 56)(pt 64 62))
)
)
(symbol
(rect 896 -8 1152 264)
(text "rx_cic" (rect 110 -1 151 15)(font "Arial" (font_size 10)))
(text "RX_CIC_I" (rect 8 256 57 268)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "in_error[1..0]" (rect 0 0 73 14)(font "Arial" (font_size 8)))
(text "in_error[1..0]" (rect 4 61 77 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 80 72)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "in_valid" (rect 0 0 42 14)(font "Arial" (font_size 8)))
(text "in_valid" (rect 4 77 46 91)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 80 88))
)
(port
(pt 0 120)
(input)
(text "in_data[22..0]" (rect 0 0 76 14)(font "Arial" (font_size 8)))
(text "in_data[22..0]" (rect 4 109 80 123)(font "Arial" (font_size 8)))
(line (pt 0 120)(pt 80 120)(line_width 3))
)
(port
(pt 256 120)
(input)
(text "out_ready" (rect 0 0 57 14)(font "Arial" (font_size 8)))
(text "out_ready" (rect 204 109 261 123)(font "Arial" (font_size 8)))
(line (pt 256 120)(pt 176 120))
)
(port
(pt 0 160)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 149 33 163)(font "Arial" (font_size 8)))
(line (pt 0 160)(pt 80 160))
)
(port
(pt 0 200)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 189 19 203)(font "Arial" (font_size 8)))
(line (pt 0 200)(pt 80 200))
)
(port
(pt 0 240)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 229 47 243)(font "Arial" (font_size 8)))
(line (pt 0 240)(pt 80 240))
)
(port
(pt 0 104)
(output)
(text "in_ready" (rect 0 0 49 14)(font "Arial" (font_size 8)))
(text "in_ready" (rect 4 93 53 107)(font "Arial" (font_size 8)))
(line (pt 0 104)(pt 80 104))
)
(port
(pt 256 72)
(output)
(text "out_data[31..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "out_data[31..0]" (rect 183 61 254 75)(font "Arial" (font_size 8)))
(line (pt 256 72)(pt 176 72)(line_width 3))
)
(port
(pt 256 88)
(output)
(text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8)))
(text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8)))
(line (pt 256 88)(pt 176 88)(line_width 3))
)
(port
(pt 256 104)
(output)
(text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8)))
(text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8)))
(line (pt 256 104)(pt 176 104))
)
(drawing
(text "av_st_in" (rect 31 43 85 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "error" (rect 85 67 107 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 85 83 108 95)(font "Arial" (color 0 0 0)))
(text "ready" (rect 85 99 113 111)(font "Arial" (color 0 0 0)))
(text "in_data" (rect 85 115 120 127)(font "Arial" (color 0 0 0)))
(text "av_st_out" (rect 177 43 239 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "out_data" (rect 136 67 178 79)(font "Arial" (color 0 0 0)))
(text "error" (rect 151 83 173 95)(font "Arial" (color 0 0 0)))
(text "valid" (rect 153 99 176 111)(font "Arial" (color 0 0 0)))
(text "ready" (rect 148 115 176 127)(font "Arial" (color 0 0 0)))
(text "clken" (rect 51 131 85 146)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clken" (rect 85 155 110 167)(font "Arial" (color 0 0 0)))
(text "clock" (rect 52 171 85 186)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 85 195 99 207)(font "Arial" (color 0 0 0)))
(text "reset" (rect 51 211 84 226)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 85 235 121 247)(font "Arial" (color 0 0 0)))
(text " rx_cic " (rect 226 256 262 268)(font "Arial" ))
(line (pt 80 32)(pt 176 32))
(line (pt 176 32)(pt 176 256))
(line (pt 80 256)(pt 176 256))
(line (pt 80 32)(pt 80 256))
(line (pt 81 52)(pt 81 124))
(line (pt 82 52)(pt 82 124))
(line (pt 175 52)(pt 175 124))
(line (pt 174 52)(pt 174 124))
(line (pt 81 140)(pt 81 164))
(line (pt 82 140)(pt 82 164))
(line (pt 81 180)(pt 81 204))
(line (pt 82 180)(pt 82 204))
(line (pt 81 220)(pt 81 244))
(line (pt 82 220)(pt 82 244))
(line (pt 0 0)(pt 256 0))
(line (pt 256 0)(pt 256 272))
(line (pt 0 272)(pt 256 272))
(line (pt 0 0)(pt 0 272))
)
)
(symbol
(rect 896 272 1152 544)
(text "rx_cic" (rect 110 -1 151 15)(font "Arial" (font_size 10)))
(text "RX_CIC_Q" (rect 8 256 62 268)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "in_error[1..0]" (rect 0 0 73 14)(font "Arial" (font_size 8)))
(text "in_error[1..0]" (rect 4 61 77 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 80 72)(line_width 3))
)
(port
(pt 0 88)
(input)
(text "in_valid" (rect 0 0 42 14)(font "Arial" (font_size 8)))
(text "in_valid" (rect 4 77 46 91)(font "Arial" (font_size 8)))
(line (pt 0 88)(pt 80 88))
)
(port
(pt 0 120)
(input)
(text "in_data[22..0]" (rect 0 0 76 14)(font "Arial" (font_size 8)))
(text "in_data[22..0]" (rect 4 109 80 123)(font "Arial" (font_size 8)))
(line (pt 0 120)(pt 80 120)(line_width 3))
)
(port
(pt 256 120)
(input)
(text "out_ready" (rect 0 0 57 14)(font "Arial" (font_size 8)))
(text "out_ready" (rect 204 109 261 123)(font "Arial" (font_size 8)))
(line (pt 256 120)(pt 176 120))
)
(port
(pt 0 160)
(input)
(text "clken" (rect 0 0 29 14)(font "Arial" (font_size 8)))
(text "clken" (rect 4 149 33 163)(font "Arial" (font_size 8)))
(line (pt 0 160)(pt 80 160))
)
(port
(pt 0 200)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 189 19 203)(font "Arial" (font_size 8)))
(line (pt 0 200)(pt 80 200))
)
(port
(pt 0 240)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 229 47 243)(font "Arial" (font_size 8)))
(line (pt 0 240)(pt 80 240))
)
(port
(pt 0 104)
(output)
(text "in_ready" (rect 0 0 49 14)(font "Arial" (font_size 8)))
(text "in_ready" (rect 4 93 53 107)(font "Arial" (font_size 8)))
(line (pt 0 104)(pt 80 104))
)
(port
(pt 256 72)
(output)
(text "out_data[31..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "out_data[31..0]" (rect 183 61 254 75)(font "Arial" (font_size 8)))
(line (pt 256 72)(pt 176 72)(line_width 3))
)
(port
(pt 256 88)
(output)
(text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8)))
(text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8)))
(line (pt 256 88)(pt 176 88)(line_width 3))
)
(port
(pt 256 104)
(output)
(text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8)))
(text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8)))
(line (pt 256 104)(pt 176 104))
)
(drawing
(text "av_st_in" (rect 31 43 85 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "error" (rect 85 67 107 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 85 83 108 95)(font "Arial" (color 0 0 0)))
(text "ready" (rect 85 99 113 111)(font "Arial" (color 0 0 0)))
(text "in_data" (rect 85 115 120 127)(font "Arial" (color 0 0 0)))
(text "av_st_out" (rect 177 43 239 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "out_data" (rect 136 67 178 79)(font "Arial" (color 0 0 0)))
(text "error" (rect 151 83 173 95)(font "Arial" (color 0 0 0)))
(text "valid" (rect 153 99 176 111)(font "Arial" (color 0 0 0)))
(text "ready" (rect 148 115 176 127)(font "Arial" (color 0 0 0)))
(text "clken" (rect 51 131 85 146)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clken" (rect 85 155 110 167)(font "Arial" (color 0 0 0)))
(text "clock" (rect 52 171 85 186)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 85 195 99 207)(font "Arial" (color 0 0 0)))
(text "reset" (rect 51 211 84 226)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 85 235 121 247)(font "Arial" (color 0 0 0)))
(text " rx_cic " (rect 226 256 262 268)(font "Arial" ))
(line (pt 80 32)(pt 176 32))
(line (pt 176 32)(pt 176 256))
(line (pt 80 256)(pt 176 256))
(line (pt 80 32)(pt 80 256))
(line (pt 81 52)(pt 81 124))
(line (pt 82 52)(pt 82 124))
(line (pt 175 52)(pt 175 124))
(line (pt 174 52)(pt 174 124))
(line (pt 81 140)(pt 81 164))
(line (pt 82 140)(pt 82 164))
(line (pt 81 180)(pt 81 204))
(line (pt 82 180)(pt 82 204))
(line (pt 81 220)(pt 81 244))
(line (pt 82 220)(pt 82 244))
(line (pt 0 0)(pt 256 0))
(line (pt 256 0)(pt 256 272))
(line (pt 0 272)(pt 256 272))
(line (pt 0 0)(pt 0 272))
)
)
(symbol
(rect 2248 160 2552 304)
(text "data_shifter" (rect 5 0 64 12)(font "Arial" ))
(text "RX_CICFIR_GAINER" (rect 8 128 115 140)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "data_in_I[in_width-1..0]" (rect 0 0 113 12)(font "Arial" ))
(text "data_in_I[in_width-1..0]" (rect 21 27 134 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32)(line_width 3))
)
(port
(pt 0 48)
(input)
(text "data_valid_I" (rect 0 0 60 12)(font "Arial" ))
(text "data_valid_I" (rect 21 43 81 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "data_in_Q[in_width-1..0]" (rect 0 0 118 12)(font "Arial" ))
(text "data_in_Q[in_width-1..0]" (rect 21 59 139 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64)(line_width 3))
)
(port
(pt 0 80)
(input)
(text "data_valid_Q" (rect 0 0 64 12)(font "Arial" ))
(text "data_valid_Q" (rect 21 75 85 87)(font "Arial" ))
(line (pt 0 80)(pt 16 80))
)
(port
(pt 0 96)
(input)
(text "distance[7..0]" (rect 0 0 67 12)(font "Arial" ))
(text "distance[7..0]" (rect 21 91 88 103)(font "Arial" ))
(line (pt 0 96)(pt 16 96)(line_width 3))
)
(port
(pt 0 112)
(input)
(text "enabled" (rect 0 0 37 12)(font "Arial" ))
(text "enabled" (rect 21 107 58 119)(font "Arial" ))
(line (pt 0 112)(pt 16 112))
)
(port
(pt 304 32)
(output)
(text "data_out_I[out_width-1..0]" (rect 0 0 127 12)(font "Arial" ))
(text "data_out_I[out_width-1..0]" (rect 176 27 283 39)(font "Arial" ))
(line (pt 304 32)(pt 288 32)(line_width 3))
)
(port
(pt 304 48)
(output)
(text "data_valid_out_I" (rect 0 0 81 12)(font "Arial" ))
(text "data_valid_out_I" (rect 215 43 283 55)(font "Arial" ))
(line (pt 304 48)(pt 288 48))
)
(port
(pt 304 64)
(output)
(text "data_out_Q[out_width-1..0]" (rect 0 0 132 12)(font "Arial" ))
(text "data_out_Q[out_width-1..0]" (rect 172 59 283 71)(font "Arial" ))
(line (pt 304 64)(pt 288 64)(line_width 3))
)
(port
(pt 304 80)
(output)
(text "data_valid_out_Q" (rect 0 0 86 12)(font "Arial" ))
(text "data_valid_out_Q" (rect 211 75 283 87)(font "Arial" ))
(line (pt 304 80)(pt 288 80))
)
(parameter
"in_width"
"46"
""
(type "PARAMETER_SIGNED_DEC") )
(parameter
"out_width"
"16"
""
(type "PARAMETER_SIGNED_DEC") )
(drawing
(rectangle (rect 16 16 288 128))
)
(annotation_block (parameter)(rect 2288 96 2458 153))
)
(symbol
(rect 1768 8 2152 224)
(text "rx_ciccomp" (rect 158 -1 237 15)(font "Arial" (font_size 10)))
(text "RX_CICCOMP_I" (rect 8 200 89 212)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 144 72))
)
(port
(pt 0 112)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 101 47 115)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 144 112))
)
(port
(pt 0 152)
(input)
(text "ast_sink_data[31..0]" (rect 0 0 114 14)(font "Arial" (font_size 8)))
(text "ast_sink_data[31..0]" (rect 4 141 118 155)(font "Arial" (font_size 8)))
(line (pt 0 152)(pt 144 152)(line_width 3))
)
(port
(pt 0 168)
(input)
(text "ast_sink_valid" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ast_sink_valid" (rect 4 157 84 171)(font "Arial" (font_size 8)))
(line (pt 0 168)(pt 144 168))
)
(port
(pt 0 184)
(input)
(text "ast_sink_error[1..0]" (rect 0 0 110 14)(font "Arial" (font_size 8)))
(text "ast_sink_error[1..0]" (rect 4 173 114 187)(font "Arial" (font_size 8)))
(line (pt 0 184)(pt 144 184)(line_width 3))
)
(port
(pt 384 72)
(output)
(text "ast_source_data[45..0]" (rect 0 0 132 14)(font "Arial" (font_size 8)))
(text "ast_source_data[45..0]" (rect 268 61 379 75)(font "Arial" (font_size 8)))
(line (pt 384 72)(pt 224 72)(line_width 3))
)
(port
(pt 384 88)
(output)
(text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8)))
(text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8)))
(line (pt 384 88)(pt 224 88))
)
(port
(pt 384 104)
(output)
(text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8)))
(text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8)))
(line (pt 384 104)(pt 224 104)(line_width 3))
)
(drawing
(text "clk" (rect 129 43 146 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 149 67 163 79)(font "Arial" (color 0 0 0)))
(text "rst" (rect 129 83 145 98)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 149 107 185 119)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_sink" (rect 10 123 162 138)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 149 147 170 159)(font "Arial" (color 0 0 0)))
(text "valid" (rect 149 163 172 175)(font "Arial" (color 0 0 0)))
(text "error" (rect 149 179 171 191)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_source" (rect 225 43 394 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 203 67 224 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 201 83 224 95)(font "Arial" (color 0 0 0)))
(text "error" (rect 199 99 221 111)(font "Arial" (color 0 0 0)))
(text " altera_fir_compiler_ii " (rect 289 200 397 212)(font "Arial" ))
(line (pt 144 32)(pt 224 32))
(line (pt 224 32)(pt 224 200))
(line (pt 144 200)(pt 224 200))
(line (pt 144 32)(pt 144 200))
(line (pt 145 52)(pt 145 76))
(line (pt 146 52)(pt 146 76))
(line (pt 145 92)(pt 145 116))
(line (pt 146 92)(pt 146 116))
(line (pt 145 132)(pt 145 188))
(line (pt 146 132)(pt 146 188))
(line (pt 223 52)(pt 223 108))
(line (pt 222 52)(pt 222 108))
(line (pt 0 0)(pt 384 0))
(line (pt 384 0)(pt 384 216))
(line (pt 0 216)(pt 384 216))
(line (pt 0 0)(pt 0 216))
)
)
(symbol
(rect 1768 240 2152 456)
(text "rx_ciccomp" (rect 158 -1 237 15)(font "Arial" (font_size 10)))
(text "RX_CICOMP_Q" (rect 8 200 85 212)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 144 72))
)
(port
(pt 0 112)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 101 47 115)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 144 112))
)
(port
(pt 0 152)
(input)
(text "ast_sink_data[31..0]" (rect 0 0 114 14)(font "Arial" (font_size 8)))
(text "ast_sink_data[31..0]" (rect 4 141 118 155)(font "Arial" (font_size 8)))
(line (pt 0 152)(pt 144 152)(line_width 3))
)
(port
(pt 0 168)
(input)
(text "ast_sink_valid" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ast_sink_valid" (rect 4 157 84 171)(font "Arial" (font_size 8)))
(line (pt 0 168)(pt 144 168))
)
(port
(pt 0 184)
(input)
(text "ast_sink_error[1..0]" (rect 0 0 110 14)(font "Arial" (font_size 8)))
(text "ast_sink_error[1..0]" (rect 4 173 114 187)(font "Arial" (font_size 8)))
(line (pt 0 184)(pt 144 184)(line_width 3))
)
(port
(pt 384 72)
(output)
(text "ast_source_data[45..0]" (rect 0 0 132 14)(font "Arial" (font_size 8)))
(text "ast_source_data[45..0]" (rect 268 61 379 75)(font "Arial" (font_size 8)))
(line (pt 384 72)(pt 224 72)(line_width 3))
)
(port
(pt 384 88)
(output)
(text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8)))
(text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8)))
(line (pt 384 88)(pt 224 88))
)
(port
(pt 384 104)
(output)
(text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8)))
(text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8)))
(line (pt 384 104)(pt 224 104)(line_width 3))
)
(drawing
(text "clk" (rect 129 43 146 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 149 67 163 79)(font "Arial" (color 0 0 0)))
(text "rst" (rect 129 83 145 98)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 149 107 185 119)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_sink" (rect 10 123 162 138)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 149 147 170 159)(font "Arial" (color 0 0 0)))
(text "valid" (rect 149 163 172 175)(font "Arial" (color 0 0 0)))
(text "error" (rect 149 179 171 191)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_source" (rect 225 43 394 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 203 67 224 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 201 83 224 95)(font "Arial" (color 0 0 0)))
(text "error" (rect 199 99 221 111)(font "Arial" (color 0 0 0)))
(text " altera_fir_compiler_ii " (rect 289 200 397 212)(font "Arial" ))
(line (pt 144 32)(pt 224 32))
(line (pt 224 32)(pt 224 200))
(line (pt 144 200)(pt 224 200))
(line (pt 144 32)(pt 144 200))
(line (pt 145 52)(pt 145 76))
(line (pt 146 52)(pt 146 76))
(line (pt 145 92)(pt 145 116))
(line (pt 146 92)(pt 146 116))
(line (pt 145 132)(pt 145 188))
(line (pt 146 132)(pt 146 188))
(line (pt 223 52)(pt 223 108))
(line (pt 222 52)(pt 222 108))
(line (pt 0 0)(pt 384 0))
(line (pt 384 0)(pt 384 216))
(line (pt 0 216)(pt 384 216))
(line (pt 0 0)(pt 0 216))
)
)
(symbol
(rect 3080 720 3320 888)
(text "tx_pll" (rect 105 0 141 16)(font "Arial" (font_size 10)))
(text "TX_PLL" (rect 8 152 44 164)(font "Arial" ))
(port
(pt 0 64)
(input)
(text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8)))
(text "inclk0" (rect 4 50 35 64)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 40 64))
)
(port
(pt 240 64)
(output)
(text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c0" (rect 224 50 238 64)(font "Arial" (font_size 8)))
)
(port
(pt 240 80)
(output)
(text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c1" (rect 224 66 238 80)(font "Arial" (font_size 8)))
)
(drawing
(text "Cyclone IV E" (rect 164 152 230 164)(font "Arial" ))
(text "inclk0 frequency: 61.440 MHz" (rect 50 59 197 71)(font "Arial" ))
(text "Operation Mode: Normal" (rect 50 72 169 84)(font "Arial" ))
(text "Clk " (rect 51 93 71 105)(font "Arial" ))
(text "Ratio" (rect 72 93 97 105)(font "Arial" ))
(text "Ph (dg)" (rect 98 93 133 105)(font "Arial" ))
(text "DC (%)" (rect 132 93 168 105)(font "Arial" ))
(text "c0" (rect 54 107 65 119)(font "Arial" ))
(text "5/2" (rect 77 107 92 119)(font "Arial" ))
(text "0.00" (rect 104 107 125 119)(font "Arial" ))
(text "50.00" (rect 136 107 163 119)(font "Arial" ))
(text "c1" (rect 54 121 65 133)(font "Arial" ))
(text "3/1" (rect 77 121 92 133)(font "Arial" ))
(text "0.00" (rect 104 121 125 133)(font "Arial" ))
(text "50.00" (rect 136 121 163 133)(font "Arial" ))
(line (pt 0 0)(pt 241 0))
(line (pt 241 0)(pt 241 169))
(line (pt 0 169)(pt 241 169))
(line (pt 0 0)(pt 0 169))
(line (pt 48 91)(pt 164 91))
(line (pt 48 104)(pt 164 104))
(line (pt 48 118)(pt 164 118))
(line (pt 48 132)(pt 164 132))
(line (pt 48 91)(pt 48 132))
(line (pt 69 91)(pt 69 132)(line_width 3))
(line (pt 95 91)(pt 95 132)(line_width 3))
(line (pt 129 91)(pt 129 132)(line_width 3))
(line (pt 163 91)(pt 163 132))
(line (pt 40 48)(pt 207 48))
(line (pt 207 48)(pt 207 151))
(line (pt 40 151)(pt 207 151))
(line (pt 40 48)(pt 40 151))
(line (pt 239 64)(pt 207 64))
(line (pt 239 80)(pt 207 80))
)
)
(symbol
(rect 3080 944 3320 1112)
(text "MAIN_PLL" (rect 89 0 162 16)(font "Arial" (font_size 10)))
(text "MAIN_PLL" (rect 8 152 59 164)(font "Arial" ))
(port
(pt 0 64)
(input)
(text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8)))
(text "inclk0" (rect 4 50 35 64)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 40 64))
)
(port
(pt 240 64)
(output)
(text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c0" (rect 224 50 238 64)(font "Arial" (font_size 8)))
)
(port
(pt 240 80)
(output)
(text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c1" (rect 224 66 238 80)(font "Arial" (font_size 8)))
)
(drawing
(text "Cyclone IV E" (rect 164 152 230 164)(font "Arial" ))
(text "inclk0 frequency: 61.440 MHz" (rect 50 59 197 71)(font "Arial" ))
(text "Operation Mode: Normal" (rect 50 72 169 84)(font "Arial" ))
(text "Clk " (rect 51 93 71 105)(font "Arial" ))
(text "Ratio" (rect 75 93 100 105)(font "Arial" ))
(text "Ph (dg)" (rect 104 93 139 105)(font "Arial" ))
(text "DC (%)" (rect 138 93 174 105)(font "Arial" ))
(text "c0" (rect 54 107 65 119)(font "Arial" ))
(text "1/5" (rect 80 107 95 119)(font "Arial" ))
(text "0.00" (rect 110 107 131 119)(font "Arial" ))
(text "50.00" (rect 142 107 169 119)(font "Arial" ))
(text "c1" (rect 54 121 65 133)(font "Arial" ))
(text "1/1280" (rect 72 121 105 133)(font "Arial" ))
(text "0.00" (rect 110 121 131 133)(font "Arial" ))
(text "50.00" (rect 142 121 169 133)(font "Arial" ))
(line (pt 0 0)(pt 241 0))
(line (pt 241 0)(pt 241 169))
(line (pt 0 169)(pt 241 169))
(line (pt 0 0)(pt 0 169))
(line (pt 48 91)(pt 170 91))
(line (pt 48 104)(pt 170 104))
(line (pt 48 118)(pt 170 118))
(line (pt 48 132)(pt 170 132))
(line (pt 48 91)(pt 48 132))
(line (pt 69 91)(pt 69 132)(line_width 3))
(line (pt 101 91)(pt 101 132)(line_width 3))
(line (pt 135 91)(pt 135 132)(line_width 3))
(line (pt 169 91)(pt 169 132))
(line (pt 40 48)(pt 207 48))
(line (pt 207 48)(pt 207 151))
(line (pt 40 151)(pt 207 151))
(line (pt 40 48)(pt 40 151))
(line (pt 239 64)(pt 207 64))
(line (pt 239 80)(pt 207 80))
)
(annotation_block (location)(rect 3328 1112 3376 1136))
)
(symbol
(rect 3968 288 4352 504)
(text "tx_ciccomp" (rect 159 -1 238 15)(font "Arial" (font_size 10)))
(text "TX_CICCOMP_Q" (rect 8 200 91 212)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 144 72))
)
(port
(pt 0 112)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 101 47 115)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 144 112))
)
(port
(pt 0 152)
(input)
(text "ast_sink_data[15..0]" (rect 0 0 114 14)(font "Arial" (font_size 8)))
(text "ast_sink_data[15..0]" (rect 4 141 118 155)(font "Arial" (font_size 8)))
(line (pt 0 152)(pt 144 152)(line_width 3))
)
(port
(pt 0 168)
(input)
(text "ast_sink_valid" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ast_sink_valid" (rect 4 157 84 171)(font "Arial" (font_size 8)))
(line (pt 0 168)(pt 144 168))
)
(port
(pt 0 184)
(input)
(text "ast_sink_error[1..0]" (rect 0 0 110 14)(font "Arial" (font_size 8)))
(text "ast_sink_error[1..0]" (rect 4 173 114 187)(font "Arial" (font_size 8)))
(line (pt 0 184)(pt 144 184)(line_width 3))
)
(port
(pt 384 72)
(output)
(text "ast_source_data[29..0]" (rect 0 0 132 14)(font "Arial" (font_size 8)))
(text "ast_source_data[29..0]" (rect 268 61 379 75)(font "Arial" (font_size 8)))
(line (pt 384 72)(pt 224 72)(line_width 3))
)
(port
(pt 384 88)
(output)
(text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8)))
(text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8)))
(line (pt 384 88)(pt 224 88))
)
(port
(pt 384 104)
(output)
(text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8)))
(text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8)))
(line (pt 384 104)(pt 224 104)(line_width 3))
)
(drawing
(text "clk" (rect 129 43 146 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 149 67 163 79)(font "Arial" (color 0 0 0)))
(text "rst" (rect 129 83 145 98)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 149 107 185 119)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_sink" (rect 10 123 162 138)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 149 147 170 159)(font "Arial" (color 0 0 0)))
(text "valid" (rect 149 163 172 175)(font "Arial" (color 0 0 0)))
(text "error" (rect 149 179 171 191)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_source" (rect 225 43 394 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 203 67 224 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 201 83 224 95)(font "Arial" (color 0 0 0)))
(text "error" (rect 199 99 221 111)(font "Arial" (color 0 0 0)))
(text " altera_fir_compiler_ii " (rect 289 200 397 212)(font "Arial" ))
(line (pt 144 32)(pt 224 32))
(line (pt 224 32)(pt 224 200))
(line (pt 144 200)(pt 224 200))
(line (pt 144 32)(pt 144 200))
(line (pt 145 52)(pt 145 76))
(line (pt 146 52)(pt 146 76))
(line (pt 145 92)(pt 145 116))
(line (pt 146 92)(pt 146 116))
(line (pt 145 132)(pt 145 188))
(line (pt 146 132)(pt 146 188))
(line (pt 223 52)(pt 223 108))
(line (pt 222 52)(pt 222 108))
(line (pt 0 0)(pt 384 0))
(line (pt 384 0)(pt 384 216))
(line (pt 0 216)(pt 384 216))
(line (pt 0 0)(pt 0 216))
)
)
(symbol
(rect 3968 24 4352 240)
(text "tx_ciccomp" (rect 159 -1 238 15)(font "Arial" (font_size 10)))
(text "TX_CICCOMP_I" (rect 8 200 87 212)(font "Arial" ))
(port
(pt 0 72)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8)))
(line (pt 0 72)(pt 144 72))
)
(port
(pt 0 112)
(input)
(text "reset_n" (rect 0 0 43 14)(font "Arial" (font_size 8)))
(text "reset_n" (rect 4 101 47 115)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 144 112))
)
(port
(pt 0 152)
(input)
(text "ast_sink_data[15..0]" (rect 0 0 114 14)(font "Arial" (font_size 8)))
(text "ast_sink_data[15..0]" (rect 4 141 118 155)(font "Arial" (font_size 8)))
(line (pt 0 152)(pt 144 152)(line_width 3))
)
(port
(pt 0 168)
(input)
(text "ast_sink_valid" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ast_sink_valid" (rect 4 157 84 171)(font "Arial" (font_size 8)))
(line (pt 0 168)(pt 144 168))
)
(port
(pt 0 184)
(input)
(text "ast_sink_error[1..0]" (rect 0 0 110 14)(font "Arial" (font_size 8)))
(text "ast_sink_error[1..0]" (rect 4 173 114 187)(font "Arial" (font_size 8)))
(line (pt 0 184)(pt 144 184)(line_width 3))
)
(port
(pt 384 72)
(output)
(text "ast_source_data[29..0]" (rect 0 0 132 14)(font "Arial" (font_size 8)))
(text "ast_source_data[29..0]" (rect 268 61 379 75)(font "Arial" (font_size 8)))
(line (pt 384 72)(pt 224 72)(line_width 3))
)
(port
(pt 384 88)
(output)
(text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8)))
(text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8)))
(line (pt 384 88)(pt 224 88))
)
(port
(pt 384 104)
(output)
(text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8)))
(text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8)))
(line (pt 384 104)(pt 224 104)(line_width 3))
)
(drawing
(text "clk" (rect 129 43 146 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "clk" (rect 149 67 163 79)(font "Arial" (color 0 0 0)))
(text "rst" (rect 129 83 145 98)(font "Arial" (color 128 0 0)(font_size 9)))
(text "reset_n" (rect 149 107 185 119)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_sink" (rect 10 123 162 138)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 149 147 170 159)(font "Arial" (color 0 0 0)))
(text "valid" (rect 149 163 172 175)(font "Arial" (color 0 0 0)))
(text "error" (rect 149 179 171 191)(font "Arial" (color 0 0 0)))
(text "avalon_streaming_source" (rect 225 43 394 58)(font "Arial" (color 128 0 0)(font_size 9)))
(text "data" (rect 203 67 224 79)(font "Arial" (color 0 0 0)))
(text "valid" (rect 201 83 224 95)(font "Arial" (color 0 0 0)))
(text "error" (rect 199 99 221 111)(font "Arial" (color 0 0 0)))
(text " altera_fir_compiler_ii " (rect 289 200 397 212)(font "Arial" ))
(line (pt 144 32)(pt 224 32))
(line (pt 224 32)(pt 224 200))
(line (pt 144 200)(pt 224 200))
(line (pt 144 32)(pt 144 200))
(line (pt 145 52)(pt 145 76))
(line (pt 146 52)(pt 146 76))
(line (pt 145 92)(pt 145 116))
(line (pt 146 92)(pt 146 116))
(line (pt 145 132)(pt 145 188))
(line (pt 146 132)(pt 146 188))
(line (pt 223 52)(pt 223 108))
(line (pt 222 52)(pt 222 108))
(line (pt 0 0)(pt 384 0))
(line (pt 384 0)(pt 384 216))
(line (pt 0 216)(pt 384 216))
(line (pt 0 0)(pt 0 216))
)
)
(symbol
(rect 3088 144 3376 704)
(text "stm32_interface" (rect 5 0 85 12)(font "Arial" ))
(text "STM32_INTERFACE" (rect 8 544 109 556)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clk_in" (rect 0 0 28 12)(font "Arial" ))
(text "clk_in" (rect 21 27 49 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "RX_I[15..0]" (rect 0 0 55 12)(font "Arial" ))
(text "RX_I[15..0]" (rect 21 43 76 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48)(line_width 3))
)
(port
(pt 0 64)
(input)
(text "RX_Q[15..0]" (rect 0 0 60 12)(font "Arial" ))
(text "RX_Q[15..0]" (rect 21 59 81 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64)(line_width 3))
)
(port
(pt 0 80)
(input)
(text "DATA_SYNC" (rect 0 0 66 12)(font "Arial" ))
(text "DATA_SYNC" (rect 21 75 87 87)(font "Arial" ))
(line (pt 0 80)(pt 16 80))
)
(port
(pt 0 96)
(input)
(text "ADC_OTR" (rect 0 0 51 12)(font "Arial" ))
(text "ADC_OTR" (rect 21 91 72 103)(font "Arial" ))
(line (pt 0 96)(pt 16 96))
)
(port
(pt 0 112)
(input)
(text "DAC_OTR" (rect 0 0 51 12)(font "Arial" ))
(text "DAC_OTR" (rect 21 107 72 119)(font "Arial" ))
(line (pt 0 112)(pt 16 112))
)
(port
(pt 0 128)
(input)
(text "ADC_IN[11..0]" (rect 0 0 73 12)(font "Arial" ))
(text "ADC_IN[11..0]" (rect 21 123 94 135)(font "Arial" ))
(line (pt 0 128)(pt 16 128)(line_width 3))
)
(port
(pt 0 144)
(input)
(text "adcclk_in" (rect 0 0 46 12)(font "Arial" ))
(text "adcclk_in" (rect 21 139 67 151)(font "Arial" ))
(line (pt 0 144)(pt 16 144))
)
(port
(pt 0 160)
(input)
(text "FLASH_data_in[7..0]" (rect 0 0 102 12)(font "Arial" ))
(text "FLASH_data_in[7..0]" (rect 21 155 123 167)(font "Arial" ))
(line (pt 0 160)(pt 16 160)(line_width 3))
)
(port
(pt 0 176)
(input)
(text "FLASH_busy" (rect 0 0 66 12)(font "Arial" ))
(text "FLASH_busy" (rect 21 171 87 183)(font "Arial" ))
(line (pt 0 176)(pt 16 176))
)
(port
(pt 0 192)
(input)
(text "IQ_valid" (rect 0 0 41 12)(font "Arial" ))
(text "IQ_valid" (rect 21 187 62 199)(font "Arial" ))
(line (pt 0 192)(pt 16 192))
)
(port
(pt 288 48)
(output)
(text "NCO_freq[21..0]" (rect 0 0 82 12)(font "Arial" ))
(text "NCO_freq[21..0]" (rect 198 43 267 55)(font "Arial" ))
(line (pt 288 48)(pt 272 48)(line_width 3))
)
(port
(pt 288 64)
(output)
(text "preamp_enable" (rect 0 0 74 12)(font "Arial" ))
(text "preamp_enable" (rect 205 59 267 71)(font "Arial" ))
(line (pt 288 64)(pt 272 64))
)
(port
(pt 288 80)
(output)
(text "rx" (rect 0 0 9 12)(font "Arial" ))
(text "rx" (rect 260 75 267 87)(font "Arial" ))
(line (pt 288 80)(pt 272 80))
)
(port
(pt 288 96)
(output)
(text "tx" (rect 0 0 9 12)(font "Arial" ))
(text "tx" (rect 260 91 267 103)(font "Arial" ))
(line (pt 288 96)(pt 272 96))
)
(port
(pt 288 112)
(output)
(text "TX_I[15..0]" (rect 0 0 53 12)(font "Arial" ))
(text "TX_I[15..0]" (rect 223 107 267 119)(font "Arial" ))
(line (pt 288 112)(pt 272 112)(line_width 3))
)
(port
(pt 288 128)
(output)
(text "TX_Q[15..0]" (rect 0 0 57 12)(font "Arial" ))
(text "TX_Q[15..0]" (rect 219 123 267 135)(font "Arial" ))
(line (pt 288 128)(pt 272 128)(line_width 3))
)
(port
(pt 288 144)
(output)
(text "reset_n" (rect 0 0 36 12)(font "Arial" ))
(text "reset_n" (rect 237 139 267 151)(font "Arial" ))
(line (pt 288 144)(pt 272 144))
)
(port
(pt 288 160)
(output)
(text "stage_debug[15..0]" (rect 0 0 94 12)(font "Arial" ))
(text "stage_debug[15..0]" (rect 188 155 267 167)(font "Arial" ))
(line (pt 288 160)(pt 272 160)(line_width 3))
)
(port
(pt 288 176)
(output)
(text "FLASH_data_out[7..0]" (rect 0 0 109 12)(font "Arial" ))
(text "FLASH_data_out[7..0]" (rect 175 171 267 183)(font "Arial" ))
(line (pt 288 176)(pt 272 176)(line_width 3))
)
(port
(pt 288 192)
(output)
(text "FLASH_enable" (rect 0 0 73 12)(font "Arial" ))
(text "FLASH_enable" (rect 206 187 267 199)(font "Arial" ))
(line (pt 288 192)(pt 272 192))
)
(port
(pt 288 208)
(output)
(text "FLASH_continue_read" (rect 0 0 109 12)(font "Arial" ))
(text "FLASH_continue_read" (rect 175 203 267 215)(font "Arial" ))
(line (pt 288 208)(pt 272 208))
)
(port
(pt 288 224)
(output)
(text "CIC_GAIN[7..0]" (rect 0 0 79 12)(font "Arial" ))
(text "CIC_GAIN[7..0]" (rect 201 219 267 231)(font "Arial" ))
(line (pt 288 224)(pt 272 224)(line_width 3))
)
(port
(pt 288 240)
(output)
(text "CICFIR_GAIN[7..0]" (rect 0 0 97 12)(font "Arial" ))
(text "CICFIR_GAIN[7..0]" (rect 185 235 267 247)(font "Arial" ))
(line (pt 288 240)(pt 272 240)(line_width 3))
)
(port
(pt 288 256)
(output)
(text "TX_CICFIR_GAIN[7..0]" (rect 0 0 115 12)(font "Arial" ))
(text "TX_CICFIR_GAIN[7..0]" (rect 170 251 267 263)(font "Arial" ))
(line (pt 288 256)(pt 272 256)(line_width 3))
)
(port
(pt 288 272)
(output)
(text "DAC_GAIN[7..0]" (rect 0 0 82 12)(font "Arial" ))
(text "DAC_GAIN[7..0]" (rect 198 267 267 279)(font "Arial" ))
(line (pt 288 272)(pt 272 272)(line_width 3))
)
(port
(pt 288 288)
(output)
(text "tx_iq_valid" (rect 0 0 53 12)(font "Arial" ))
(text "tx_iq_valid" (rect 223 283 267 295)(font "Arial" ))
(line (pt 288 288)(pt 272 288))
)
(port
(pt 288 304)
(output)
(text "TX_NCO_freq[21..0]" (rect 0 0 100 12)(font "Arial" ))
(text "TX_NCO_freq[21..0]" (rect 183 299 267 311)(font "Arial" ))
(line (pt 288 304)(pt 272 304)(line_width 3))
)
(port
(pt 288 320)
(output)
(text "ATT_05" (rect 0 0 36 12)(font "Arial" ))
(text "ATT_05" (rect 237 315 267 327)(font "Arial" ))
(line (pt 288 320)(pt 272 320))
)
(port
(pt 288 336)
(output)
(text "ATT_1" (rect 0 0 30 12)(font "Arial" ))
(text "ATT_1" (rect 242 331 267 343)(font "Arial" ))
(line (pt 288 336)(pt 272 336))
)
(port
(pt 288 352)
(output)
(text "ATT_2" (rect 0 0 30 12)(font "Arial" ))
(text "ATT_2" (rect 242 347 267 359)(font "Arial" ))
(line (pt 288 352)(pt 272 352))
)
(port
(pt 288 368)
(output)
(text "ATT_4" (rect 0 0 30 12)(font "Arial" ))
(text "ATT_4" (rect 242 363 267 375)(font "Arial" ))
(line (pt 288 368)(pt 272 368))
)
(port
(pt 288 384)
(output)
(text "ATT_8" (rect 0 0 30 12)(font "Arial" ))
(text "ATT_8" (rect 242 379 267 391)(font "Arial" ))
(line (pt 288 384)(pt 272 384))
)
(port
(pt 288 400)
(output)
(text "ATT_16" (rect 0 0 36 12)(font "Arial" ))
(text "ATT_16" (rect 237 395 267 407)(font "Arial" ))
(line (pt 288 400)(pt 272 400))
)
(port
(pt 288 416)
(output)
(text "BPF_A" (rect 0 0 35 12)(font "Arial" ))
(text "BPF_A" (rect 238 411 267 423)(font "Arial" ))
(line (pt 288 416)(pt 272 416))
)
(port
(pt 288 432)
(output)
(text "BPF_B" (rect 0 0 34 12)(font "Arial" ))
(text "BPF_B" (rect 239 427 267 439)(font "Arial" ))
(line (pt 288 432)(pt 272 432))
)
(port
(pt 288 448)
(output)
(text "BPF_OE1" (rect 0 0 48 12)(font "Arial" ))
(text "BPF_OE1" (rect 227 443 267 455)(font "Arial" ))
(line (pt 288 448)(pt 272 448))
)
(port
(pt 288 464)
(output)
(text "BPF_OE2" (rect 0 0 48 12)(font "Arial" ))
(text "BPF_OE2" (rect 227 459 267 471)(font "Arial" ))
(line (pt 288 464)(pt 272 464))
)
(port
(pt 288 480)
(output)
(text "LPF_1" (rect 0 0 31 12)(font "Arial" ))
(text "LPF_1" (rect 241 475 267 487)(font "Arial" ))
(line (pt 288 480)(pt 272 480))
)
(port
(pt 288 496)
(output)
(text "LPF_2" (rect 0 0 31 12)(font "Arial" ))
(text "LPF_2" (rect 241 491 267 503)(font "Arial" ))
(line (pt 288 496)(pt 272 496))
)
(port
(pt 288 512)
(output)
(text "LPF_3" (rect 0 0 31 12)(font "Arial" ))
(text "LPF_3" (rect 241 507 267 519)(font "Arial" ))
(line (pt 288 512)(pt 272 512))
)
(port
(pt 288 528)
(output)
(text "VCXO_correction[15..0]" (rect 0 0 115 12)(font "Arial" ))
(text "VCXO_correction[15..0]" (rect 170 523 267 535)(font "Arial" ))
(line (pt 288 528)(pt 272 528)(line_width 3))
)
(port
(pt 288 32)
(bidir)
(text "DATA_BUS[7..0]" (rect 0 0 82 12)(font "Arial" ))
(text "DATA_BUS[7..0]" (rect 193 27 275 39)(font "Arial" ))
(line (pt 288 32)(pt 272 32)(line_width 3))
)
(parameter
"rx_buffer_length"
"7"
""
(type "PARAMETER_SIGNED_DEC") )
(drawing
(rectangle (rect 16 16 272 544))
)
(annotation_block (parameter)(rect 3088 96 3296 136))
)
(symbol
(rect 3080 1144 3304 1224)
(text "vcxo_controller" (rect 5 0 80 12)(font "Arial" ))
(text "VCXO_PWM_CONTROLLER" (rect 8 64 149 76)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "pwm_clk_in" (rect 0 0 56 12)(font "Arial" ))
(text "pwm_clk_in" (rect 21 27 77 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "VCXO_correction[15..0]" (rect 0 0 115 12)(font "Arial" ))
(text "VCXO_correction[15..0]" (rect 21 43 136 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48)(line_width 3))
)
(port
(pt 224 32)
(output)
(text "pump" (rect 0 0 27 12)(font "Arial" ))
(text "pump" (rect 181 27 203 39)(font "Arial" ))
(line (pt 224 32)(pt 208 32))
)
(drawing
(rectangle (rect 16 16 208 64))
)
)
(connector
(pt 528 32)
(pt 440 32)
(bus)
)
(connector
(pt 440 448)
(pt 520 448)
(bus)
)
(connector
(pt 736 224)
(pt 760 224)
(bus)
)
(connector
(pt 760 240)
(pt 736 240)
(bus)
)
(connector
(pt 376 8)
(pt 528 8)
)
(connector
(pt 520 424)
(pt 376 424)
)
(connector
(pt 792 112)
(pt 792 72)
(bus)
)
(connector
(pt 792 112)
(pt 896 112)
(bus)
)
(connector
(pt 824 80)
(pt 896 80)
)
(connector
(text "NCO_VALID" (rect 778 240 835 257)(font "Intel Clear" ))
(pt 736 256)
(pt 824 256)
)
(connector
(pt 1152 96)
(pt 1200 96)
)
(connector
(pt 1208 424)
(pt 1768 424)
(bus)
)
(connector
(pt 1728 80)
(pt 1768 80)
)
(connector
(pt 1728 312)
(pt 1768 312)
)
(connector
(pt 2152 80)
(pt 2184 80)
(bus)
)
(connector
(pt 2152 96)
(pt 2176 96)
)
(connector
(pt 2152 312)
(pt 2184 312)
(bus)
)
(connector
(pt 3088 176)
(pt 3016 176)
)
(connector
(text "TX" (rect 3424 67 3441 79)(font "Intel Clear" )(vertical))
(pt 3424 72)
(pt 3424 104)
)
(connector
(pt 3424 104)
(pt 3424 240)
)
(connector
(pt 2184 192)
(pt 2248 192)
(bus)
)
(connector
(pt 2184 80)
(pt 2184 192)
(bus)
)
(connector
(pt 2176 96)
(pt 2176 208)
)
(connector
(pt 2176 208)
(pt 2248 208)
)
(connector
(pt 2184 312)
(pt 2184 224)
(bus)
)
(connector
(pt 2184 224)
(pt 2248 224)
(bus)
)
(connector
(pt 2192 240)
(pt 2248 240)
)
(connector
(pt 2192 328)
(pt 2192 240)
)
(connector
(pt 2152 328)
(pt 2192 328)
)
(connector
(pt 1152 64)
(pt 1240 64)
(bus)
)
(connector
(pt 5272 88)
(pt 5264 88)
)
(connector
(text "DAC_OTR" (rect 5696 277 5713 325)(font "Intel Clear" )(vertical))
(pt 5720 264)
(pt 5720 320)
)
(connector
(pt 5704 264)
(pt 5720 264)
)
(connector
(pt 5760 208)
(pt 5720 208)
)
(connector
(text "TX" (rect 6088 267 6105 279)(font "Intel Clear" )(vertical))
(pt 6112 280)
(pt 6112 248)
)
(connector
(pt 5464 208)
(pt 5544 208)
(bus)
)
(connector
(pt 6024 224)
(pt 6040 224)
(bus)
)
(connector
(pt 4912 368)
(pt 4928 368)
(bus)
)
(connector
(pt 5272 112)
(pt 5248 112)
(bus)
)
(connector
(pt 5264 88)
(pt 5264 224)
)
(connector
(pt 3936 272)
(pt 3936 440)
(bus)
)
(connector
(pt 3952 360)
(pt 3968 360)
)
(connector
(pt 3936 440)
(pt 3968 440)
(bus)
)
(connector
(pt 3936 256)
(pt 3936 176)
(bus)
)
(connector
(pt 3952 96)
(pt 3952 360)
)
(connector
(pt 3952 96)
(pt 3968 96)
)
(connector
(pt 3936 176)
(pt 3968 176)
(bus)
)
(connector
(pt 3424 240)
(pt 3376 240)
)
(connector
(pt 3376 176)
(pt 3456 176)
(bus)
)
(connector
(text "FLASH_DATA_MOSI[7..0]" (rect 3578 312 3698 329)(font "Intel Clear" ))
(pt 3376 320)
(pt 3568 320)
(bus)
)
(connector
(pt 3376 224)
(pt 3392 224)
)
(connector
(text "FLASH_CONTINUE" (rect 3578 344 3666 361)(font "Intel Clear" ))
(pt 3376 352)
(pt 3568 352)
)
(connector
(pt 3952 536)
(pt 4848 536)
)
(connector
(pt 6024 320)
(pt 6008 320)
(bus)
)
(connector
(pt 6024 224)
(pt 6024 320)
(bus)
)
(connector
(pt 5760 240)
(pt 5752 240)
(bus)
)
(connector
(text "DAC_GAIN[7..0]" (rect 5728 263 5745 337)(font "Intel Clear" )(vertical))
(pt 5752 240)
(pt 5752 336)
(bus)
)
(connector
(pt 376 8)
(pt 376 120)
)
(connector
(pt 3528 232)
(pt 3552 232)
)
(connector
(pt 760 240)
(pt 760 376)
(bus)
)
(connector
(pt 496 376)
(pt 496 480)
(bus)
)
(connector
(pt 496 480)
(pt 520 480)
(bus)
)
(connector
(pt 760 224)
(pt 760 136)
(bus)
)
(connector
(pt 504 136)
(pt 504 64)
(bus)
)
(connector
(pt 504 64)
(pt 528 64)
(bus)
)
(connector
(text "TX_N" (rect 3642 96 3667 113)(font "Intel Clear" ))
(pt 3624 104)
(pt 3560 104)
)
(connector
(pt 792 440)
(pt 792 392)
(bus)
)
(connector
(pt 792 392)
(pt 896 392)
(bus)
)
(connector
(pt 824 360)
(pt 896 360)
)
(connector
(pt 1208 360)
(pt 1152 360)
(bus)
)
(connector
(pt 1208 424)
(pt 1208 360)
(bus)
)
(connector
(pt 1168 392)
(pt 1152 392)
)
(connector
(pt 824 80)
(pt 824 256)
)
(connector
(pt 824 256)
(pt 824 360)
)
(connector
(pt 440 32)
(pt 440 448)
(bus)
)
(connector
(pt 440 448)
(pt 440 576)
(bus)
)
(connector
(pt 1728 312)
(pt 1728 560)
)
(connector
(pt 1152 112)
(pt 1168 112)
)
(connector
(pt 4912 368)
(pt 4912 288)
(bus)
)
(connector
(pt 4464 320)
(pt 4432 320)
(bus)
)
(connector
(text "TX_CICFIR_GAIN[7..0]" (rect 4408 326 4425 429)(font "Intel Clear" )(vertical))
(pt 4432 320)
(pt 4432 424)
(bus)
)
(connector
(pt 4464 336)
(pt 4448 336)
)
(connector
(text "TX" (rect 4432 387 4449 399)(font "Intel Clear" )(vertical))
(pt 4448 336)
(pt 4448 376)
)
(connector
(pt 2248 256)
(pt 2216 256)
(bus)
)
(connector
(text "CICFIR_GAIN[7..0]" (rect 2190 238 2207 322)(font "Intel Clear" )(vertical))
(pt 2216 256)
(pt 2216 312)
(bus)
)
(connector
(pt 2248 272)
(pt 2240 272)
)
(connector
(pt 4368 288)
(pt 4464 288)
(bus)
)
(connector
(pt 3952 360)
(pt 3952 536)
)
(connector
(pt 3952 536)
(pt 3952 608)
)
(connector
(pt 3376 272)
(pt 3936 272)
(bus)
)
(connector
(pt 4352 96)
(pt 4368 96)
(bus)
)
(connector
(pt 4368 256)
(pt 4464 256)
(bus)
)
(connector
(pt 4352 360)
(pt 4368 360)
(bus)
)
(connector
(pt 4368 288)
(pt 4368 360)
(bus)
)
(connector
(pt 4768 288)
(pt 4912 288)
(bus)
)
(connector
(pt 4352 128)
(pt 4752 128)
(bus)
)
(connector
(pt 4752 128)
(pt 4752 32)
(bus)
)
(connector
(pt 4752 32)
(pt 4928 32)
(bus)
)
(connector
(pt 4352 392)
(pt 4368 392)
(bus)
)
(connector
(pt 4368 392)
(pt 4368 456)
(bus)
)
(connector
(pt 4816 456)
(pt 4368 456)
(bus)
)
(connector
(pt 4816 456)
(pt 4816 320)
(bus)
)
(connector
(pt 4816 320)
(pt 4928 320)
(bus)
)
(connector
(pt 5248 32)
(pt 5184 32)
(bus)
)
(connector
(pt 5440 128)
(pt 5464 128)
(bus)
)
(connector
(pt 3464 208)
(pt 3464 232)
)
(connector
(pt 3376 208)
(pt 3464 208)
)
(connector
(pt 3480 232)
(pt 3464 232)
)
(connector
(text "TX_N" (rect 6242 264 6267 281)(font "Intel Clear" ))
(pt 6288 280)
(pt 6232 280)
)
(connector
(pt 5464 240)
(pt 5544 240)
(bus)
)
(connector
(pt 5704 224)
(pt 5760 224)
(bus)
)
(connector
(pt 4928 80)
(pt 4896 80)
(bus)
)
(connector
(pt 504 136)
(pt 760 136)
(bus)
)
(connector
(pt 496 376)
(pt 760 376)
(bus)
)
(connector
(text "TX_NCO_COS[15..0]" (rect 4938 536 5035 553)(font "Intel Clear" ))
(pt 4936 552)
(pt 5240 552)
(bus)
)
(connector
(text "RESET_N" (rect 3578 280 3621 297)(font "Intel Clear" ))
(pt 3376 288)
(pt 3568 288)
)
(connector
(text "RESET_N" (rect 392 312 435 329)(font "Intel Clear" ))
(pt 480 320)
(pt 448 320)
)
(connector
(text "RESET_N" (rect 1728 104 1771 121)(font "Intel Clear" ))
(pt 1768 120)
(pt 1752 120)
)
(connector
(text "RESET_N" (rect 1728 336 1771 353)(font "Intel Clear" ))
(pt 1768 352)
(pt 1752 352)
)
(connector
(pt 4368 96)
(pt 4368 256)
(bus)
)
(connector
(pt 4928 336)
(pt 4800 336)
)
(connector
(pt 4800 336)
(pt 4800 304)
)
(connector
(pt 4800 304)
(pt 4768 304)
)
(connector
(text "RESET_N" (rect 458 88 501 105)(font "Intel Clear" ))
(pt 528 88)
(pt 488 88)
)
(connector
(text "RESET_N" (rect 464 488 507 505)(font "Intel Clear" ))
(pt 520 504)
(pt 504 504)
)
(connector
(text "TX" (rect 5226 152 5238 169)(font "Intel Clear" ))
(pt 5272 168)
(pt 5248 168)
)
(connector
(text "TX" (rect 5192 64 5204 81)(font "Intel Clear" ))
(pt 5184 80)
(pt 5192 80)
)
(connector
(text "TX" (rect 5192 352 5204 369)(font "Intel Clear" ))
(pt 5192 368)
(pt 5184 368)
)
(connector
(text "TX" (rect 5514 248 5526 265)(font "Intel Clear" ))
(pt 5544 264)
(pt 5528 264)
)
(connector
(pt 3376 256)
(pt 3936 256)
(bus)
)
(connector
(pt 5464 128)
(pt 5464 208)
(bus)
)
(connector
(pt 5272 144)
(pt 5232 144)
(bus)
)
(connector
(text "TX_NCO_SIN[15..0]" (rect 4944 -72 5036 -55)(font "Intel Clear" ))
(pt 4936 -56)
(pt 5232 -56)
(bus)
)
(connector
(pt 5232 -56)
(pt 5232 144)
(bus)
)
(connector
(pt 5264 296)
(pt 5272 296)
)
(connector
(pt 5464 240)
(pt 5464 336)
(bus)
)
(connector
(pt 5464 336)
(pt 5440 336)
(bus)
)
(connector
(pt 5240 352)
(pt 5272 352)
(bus)
)
(connector
(pt 5240 552)
(pt 5240 352)
(bus)
)
(connector
(text "TX" (rect 5248 360 5260 377)(font "Intel Clear" ))
(pt 5272 376)
(pt 5264 376)
)
(connector
(pt 5184 320)
(pt 5272 320)
(bus)
)
(connector
(pt 3736 608)
(pt 3952 608)
)
(connector
(pt 480 224)
(pt 376 224)
)
(connector
(pt 376 120)
(pt 376 224)
)
(connector
(pt 376 224)
(pt 376 424)
)
(connector
(text "TX_NCO_SIN[15..0]" (rect 4112 696 4204 713)(font "Intel Clear" ))
(pt 4048 704)
(pt 4104 704)
(bus)
)
(connector
(text "TX_NCO_COS[15..0]" (rect 4112 712 4209 729)(font "Intel Clear" ))
(pt 4048 720)
(pt 4104 720)
(bus)
)
(connector
(text "TX_NCO_VALID" (rect 4112 728 4188 745)(font "Intel Clear" ))
(pt 4048 736)
(pt 4104 736)
)
(connector
(pt 4848 240)
(pt 5264 240)
)
(connector
(pt 5264 224)
(pt 5264 240)
)
(connector
(pt 5264 240)
(pt 5264 296)
)
(connector
(text "RESET_N" (rect 842 496 885 513)(font "Intel Clear" ))
(pt 896 512)
(pt 856 512)
)
(connector
(pt 896 472)
(pt 776 472)
)
(connector
(pt 776 472)
(pt 776 560)
)
(connector
(pt 896 192)
(pt 776 192)
)
(connector
(pt 776 192)
(pt 776 472)
)
(connector
(text "RESET_N" (rect 850 216 893 233)(font "Intel Clear" ))
(pt 896 232)
(pt 848 232)
)
(connector
(text "FLASH_ENABLE" (rect 3578 328 3654 345)(font "Intel Clear" ))
(pt 3376 336)
(pt 3568 336)
)
(connector
(pt 3792 800)
(pt 3784 800)
)
(connector
(pt 4928 120)
(pt 4848 120)
)
(connector
(pt 4848 120)
(pt 4848 240)
)
(connector
(pt 4928 160)
(pt 4920 160)
)
(connector
(pt 4928 408)
(pt 4848 408)
)
(connector
(pt 4848 240)
(pt 4848 408)
)
(connector
(pt 4848 408)
(pt 4848 536)
)
(connector
(pt 4928 448)
(pt 4920 448)
)
(connector
(pt 4768 256)
(pt 4896 256)
(bus)
)
(connector
(pt 6184 216)
(pt 6288 216)
(bus)
)
(connector
(pt 6008 208)
(pt 6040 208)
(bus)
)
(connector
(pt 4896 80)
(pt 4896 256)
(bus)
)
(connector
(pt 5248 32)
(pt 5248 112)
(bus)
)
(connector
(pt 4768 272)
(pt 4792 272)
)
(connector
(pt 4928 48)
(pt 4792 48)
)
(connector
(pt 4792 48)
(pt 4792 272)
)
(connector
(pt 3888 192)
(pt 3968 192)
)
(connector
(pt 4392 272)
(pt 4464 272)
)
(connector
(pt 4392 272)
(pt 4392 112)
)
(connector
(pt 4352 112)
(pt 4392 112)
)
(connector
(pt 4352 376)
(pt 4392 376)
)
(connector
(pt 4392 376)
(pt 4392 304)
)
(connector
(pt 4392 304)
(pt 4464 304)
)
(connector
(text "TX" (rect 3914 400 3926 417)(font "Intel Clear" ))
(pt 3968 400)
(pt 3920 400)
)
(connector
(text "TX" (rect 3930 120 3942 137)(font "Intel Clear" ))
(pt 3968 136)
(pt 3920 136)
)
(connector
(pt 5512 224)
(pt 5512 152)
)
(connector
(pt 5512 152)
(pt 5720 152)
)
(connector
(pt 5264 224)
(pt 5512 224)
)
(connector
(pt 5512 224)
(pt 5544 224)
)
(connector
(pt 440 576)
(pt 2856 576)
(bus)
)
(connector
(pt 776 560)
(pt 1728 560)
)
(connector
(text "FLASH_DATA_MOSI[7..0]" (rect 3826 960 3946 977)(font "Intel Clear" ))
(pt 3816 976)
(pt 3936 976)
(bus)
)
(connector
(text "FLASH_CONTINUE" (rect 3826 976 3914 993)(font "Intel Clear" ))
(pt 3816 992)
(pt 3936 992)
)
(connector
(text "SPI_CLK" (rect 3826 928 3867 945)(font "Intel Clear" ))
(pt 3936 944)
(pt 3816 944)
)
(connector
(pt 3920 1008)
(pt 3936 1008)
)
(connector
(text "FLASH_DATA_MISO[7..0]" (rect 4170 928 4290 945)(font "Intel Clear" ))
(pt 4152 944)
(pt 4264 944)
(bus)
)
(connector
(text "FLASH_BUSY" (rect 4210 1008 4274 1025)(font "Intel Clear" ))
(pt 4152 1008)
(pt 4264 1008)
)
(connector
(pt 4152 976)
(pt 4176 976)
)
(connector
(pt 4152 992)
(pt 4176 992)
)
(connector
(pt 4152 960)
(pt 4176 960)
)
(connector
(text "FLASH_ENABLE" (rect 3826 944 3902 961)(font "Intel Clear" ))
(pt 3816 960)
(pt 3936 960)
)
(connector
(pt 3704 760)
(pt 3792 760)
(bus)
)
(connector
(pt 1728 560)
(pt 2744 560)
)
(connector
(pt 2744 560)
(pt 2840 560)
)
(connector
(pt 2744 560)
(pt 2744 696)
)
(connector
(pt 2744 696)
(pt 2744 784)
)
(connector
(pt 776 120)
(pt 776 192)
)
(connector
(pt 1728 80)
(pt 1728 312)
)
(connector
(pt 2688 696)
(pt 2744 696)
)
(connector
(text "RX" (rect 450 248 464 265)(font "Intel Clear" ))
(pt 456 264)
(pt 480 264)
)
(connector
(text "RX" (rect 866 136 880 153)(font "Intel Clear" ))
(pt 896 152)
(pt 856 152)
)
(connector
(text "RX" (rect 866 416 880 433)(font "Intel Clear" ))
(pt 856 432)
(pt 896 432)
)
(connector
(text "RX" (rect 2214 283 2231 297)(font "Intel Clear" )(vertical))
(pt 2240 272)
(pt 2240 312)
)
(connector
(text "RX" (rect 3364 -50 3381 -36)(font "Intel Clear" )(vertical))
(pt 3392 -40)
(pt 3392 224)
)
(connector
(text "NCO_FREQ[21..0]" (rect 3466 184 3550 201)(font "Intel Clear" ))
(pt 3456 192)
(pt 3376 192)
(bus)
)
(connector
(text "NCO_FREQ[21..0]" (rect 258 264 342 281)(font "Intel Clear" ))
(pt 248 280)
(pt 480 280)
(bus)
)
(connector
(pt 3016 224)
(pt 3088 224)
)
(connector
(pt 3016 240)
(pt 3088 240)
)
(connector
(text "DAC_OTR" (rect 2962 248 3010 265)(font "Intel Clear" ))
(pt 3088 256)
(pt 3008 256)
)
(connector
(pt 3088 272)
(pt 2856 272)
(bus)
)
(connector
(pt 2856 272)
(pt 2856 576)
(bus)
)
(connector
(pt 3088 288)
(pt 2840 288)
)
(connector
(pt 2840 288)
(pt 2840 560)
)
(connector
(text "FLASH_DATA_MISO[7..0]" (rect 2946 288 3066 305)(font "Intel Clear" ))
(pt 3088 304)
(pt 2936 304)
(bus)
)
(connector
(text "FLASH_BUSY" (rect 2946 304 3010 321)(font "Intel Clear" ))
(pt 3088 320)
(pt 2936 320)
)
(connector
(text "CIC_GAIN[7..0]" (rect 3578 360 3647 377)(font "Intel Clear" ))
(pt 3376 368)
(pt 3568 368)
(bus)
)
(connector
(text "CICFIR_GAIN[7..0]" (rect 3578 376 3662 393)(font "Intel Clear" ))
(pt 3376 384)
(pt 3568 384)
(bus)
)
(connector
(text "TX_CICFIR_GAIN[7..0]" (rect 3578 392 3681 409)(font "Intel Clear" ))
(pt 3376 400)
(pt 3568 400)
(bus)
)
(connector
(text "DAC_GAIN[7..0]" (rect 3578 408 3652 425)(font "Intel Clear" ))
(pt 3376 416)
(pt 3568 416)
(bus)
)
(connector
(pt 3888 456)
(pt 3968 456)
)
(connector
(pt 3376 432)
(pt 3888 432)
)
(connector
(pt 3888 192)
(pt 3888 432)
)
(connector
(pt 3888 432)
(pt 3888 456)
)
(connector
(text "RX_Q_MIXOUT[23..0]" (rect 698 448 801 465)(font "Intel Clear" ))
(pt 736 464)
(pt 688 464)
(bus)
)
(connector
(text "RX_Q_MIXOUT[22..0]" (rect 698 424 801 441)(font "Intel Clear" ))
(pt 704 440)
(pt 792 440)
(bus)
)
(connector
(text "RX_I_MIXOUT[23..0]" (rect 706 32 803 49)(font "Intel Clear" ))
(pt 696 48)
(pt 792 48)
(bus)
)
(connector
(text "RX_I_MIXOUT[22..0]" (rect 712 56 809 73)(font "Intel Clear" ))
(pt 792 72)
(pt 712 72)
(bus)
)
(connector
(pt 3512 40)
(pt 3472 40)
)
(connector
(pt 3472 40)
(pt 3472 104)
)
(connector
(pt 3424 104)
(pt 3472 104)
)
(connector
(pt 3472 104)
(pt 3512 104)
)
(connector
(pt 3704 760)
(pt 3704 448)
(bus)
)
(connector
(pt 3376 448)
(pt 3704 448)
(bus)
)
(connector
(pt 3736 704)
(pt 3792 704)
)
(connector
(text "TX" (rect 3762 728 3774 745)(font "Intel Clear" ))
(pt 3760 744)
(pt 3792 744)
)
(connector
(pt 3736 608)
(pt 3736 704)
)
(connector
(pt 3736 704)
(pt 3736 784)
)
(connector
(pt 3376 464)
(pt 3456 464)
)
(connector
(pt 3456 480)
(pt 3376 480)
)
(connector
(pt 3376 496)
(pt 3456 496)
)
(connector
(pt 3456 512)
(pt 3376 512)
)
(connector
(pt 3376 528)
(pt 3456 528)
)
(connector
(pt 3456 544)
(pt 3376 544)
)
(connector
(pt 3376 560)
(pt 3456 560)
)
(connector
(pt 3456 576)
(pt 3376 576)
)
(connector
(pt 3376 592)
(pt 3456 592)
)
(connector
(pt 3456 608)
(pt 3376 608)
)
(connector
(pt 3376 624)
(pt 3456 624)
)
(connector
(pt 3376 640)
(pt 3456 640)
)
(connector
(pt 3456 656)
(pt 3376 656)
)
(connector
(pt 5720 88)
(pt 5720 152)
)
(connector
(pt 5720 152)
(pt 5720 208)
)
(connector
(pt 5720 88)
(pt 6288 88)
)
(connector
(pt 3048 1008)
(pt 3080 1008)
)
(connector
(pt 2744 784)
(pt 3048 784)
)
(connector
(pt 3048 784)
(pt 3080 784)
)
(connector
(pt 3320 1008)
(pt 3408 1008)
)
(connector
(pt 3320 1024)
(pt 3408 1024)
)
(connector
(text "SPI_CLK" (rect 3226 896 3267 913)(font "Intel Clear" ))
(pt 3048 904)
(pt 3216 904)
)
(connector
(pt 3048 784)
(pt 3048 904)
)
(connector
(pt 440 -104)
(pt 520 -104)
(bus)
)
(connector
(pt 2392 696)
(pt 2416 696)
)
(connector
(pt 1240 344)
(pt 1152 344)
(bus)
)
(connector
(pt 2552 240)
(pt 2568 240)
)
(connector
(pt 3088 336)
(pt 2568 336)
)
(connector
(pt 2568 240)
(pt 2568 336)
)
(connector
(pt 2552 224)
(pt 2584 224)
(bus)
)
(connector
(pt 2584 224)
(pt 2584 208)
(bus)
)
(connector
(pt 2584 208)
(pt 3088 208)
(bus)
)
(connector
(pt 2552 192)
(pt 3088 192)
(bus)
)
(connector
(pt 160 -48)
(pt 120 -48)
(bus)
)
(connector
(pt 160 -32)
(pt 128 -32)
)
(connector
(pt 128 120)
(pt 128 -32)
)
(connector
(pt 128 120)
(pt 376 120)
)
(connector
(pt 376 120)
(pt 776 120)
)
(connector
(text "RX" (rect 66 -8 80 9)(font "Intel Clear" ))
(pt 160 8)
(pt 56 8)
)
(connector
(pt 320 -32)
(pt 440 -32)
(bus)
)
(connector
(pt 440 -104)
(pt 440 -32)
(bus)
)
(connector
(pt 440 -32)
(pt 440 32)
(bus)
)
(connector
(pt 1240 64)
(pt 1240 160)
(bus)
)
(connector
(pt 1240 160)
(pt 1768 160)
(bus)
)
(connector
(pt 1152 376)
(pt 1224 376)
)
(connector
(pt 1224 376)
(pt 1224 408)
)
(connector
(pt 1768 408)
(pt 1224 408)
)
(connector
(pt 1240 344)
(pt 1240 392)
(bus)
)
(connector
(pt 1240 392)
(pt 1768 392)
(bus)
)
(connector
(pt 1200 96)
(pt 1200 176)
)
(connector
(pt 1200 176)
(pt 1768 176)
)
(connector
(pt 1152 80)
(pt 1216 80)
(bus)
)
(connector
(pt 1216 80)
(pt 1216 192)
(bus)
)
(connector
(pt 1768 192)
(pt 1216 192)
(bus)
)
(connector
(pt 3080 1176)
(pt 3048 1176)
)
(connector
(pt 3048 904)
(pt 3048 1008)
)
(connector
(text "VCXO_correction[15..0]" (rect 2954 1176 3064 1193)(font "Intel Clear" ))
(pt 3080 1192)
(pt 2944 1192)
(bus)
)
(connector
(pt 3304 1176)
(pt 3416 1176)
)
(connector
(text "VCXO_correction[15..0]" (rect 3498 672 3608 689)(font "Intel Clear" ))
(pt 3376 672)
(pt 3536 672)
(bus)
)
(connector
(pt 3048 1176)
(pt 3048 1128)
)
(connector
(pt 3048 1128)
(pt 3352 1128)
)
(connector
(pt 3320 800)
(pt 3352 800)
)
(connector
(pt 3352 1128)
(pt 3352 800)
)
(connector
(pt 3320 784)
(pt 3736 784)
)
(junction (pt 376 120))
(junction (pt 440 32))
(junction (pt 440 448))
(junction (pt 824 256))
(junction (pt 1728 312))
(junction (pt 3424 104))
(junction (pt 5512 224))
(junction (pt 5264 224))
(junction (pt 3952 360))
(junction (pt 3952 536))
(junction (pt 1728 560))
(junction (pt 376 224))
(junction (pt 4848 240))
(junction (pt 5264 240))
(junction (pt 776 472))
(junction (pt 776 192))
(junction (pt 4848 408))
(junction (pt 3048 784))
(junction (pt 3736 704))
(junction (pt 5720 152))
(junction (pt 2744 560))
(junction (pt 2744 696))
(junction (pt 3888 432))
(junction (pt 3472 104))
(junction (pt 3048 904))
(junction (pt 440 -32))
(text "153.6mhz" (rect 3328 760 3388 779)(font "Intel Clear" (font_size 8)))
(text "184.320mhz" (rect 3360 808 3436 827)(font "Intel Clear" (font_size 8)))