Wolf-LITE/FPGA/rx_ciccomp_sim/rx_ciccomp_param.txt

20 wiersze
314 B
Plaintext

PhysChanIn : 1
PhysChanOut : 1
ChansPerPhyIn : 1
ChansPerPhyOut : 1
InWidth : 32
InFracWidth : 0
OutWidth : 46
OutFullWidth : 46
OutFracWidth : 0
OutFullFracWidth : 0
nChans : 64
nTaps : 64
clockRate : 64.320
inRate : 0.048
interpN : 1
decimN : 1
busDataWidth : 16
bankInWidth : 0
modeWidth : 0