Wolf-LITE/FPGA/tx_nco/tx_nco.cmp

13 wiersze
615 B
Plaintext

component tx_nco is
port (
clk : in std_logic := 'X'; -- clk
clken : in std_logic := 'X'; -- clken
phi_inc_i : in std_logic_vector(21 downto 0) := (others => 'X'); -- phi_inc_i
fsin_o : out std_logic_vector(15 downto 0); -- fsin_o
fcos_o : out std_logic_vector(15 downto 0); -- fcos_o
out_valid : out std_logic; -- out_valid
reset_n : in std_logic := 'X' -- reset_n
);
end component tx_nco;