Wolf-LITE/FPGA/tx_ciccomp.cmp

14 wiersze
745 B
Plaintext

component tx_ciccomp is
port (
clk : in std_logic := 'X'; -- clk
reset_n : in std_logic := 'X'; -- reset_n
ast_sink_data : in std_logic_vector(15 downto 0) := (others => 'X'); -- data
ast_sink_valid : in std_logic := 'X'; -- valid
ast_sink_error : in std_logic_vector(1 downto 0) := (others => 'X'); -- error
ast_source_data : out std_logic_vector(29 downto 0); -- data
ast_source_valid : out std_logic; -- valid
ast_source_error : out std_logic_vector(1 downto 0) -- error
);
end component tx_ciccomp;