Wolf-LITE/FPGA/stm32_interface.bsf

330 wiersze
9.3 KiB
Plaintext

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 2018 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details.
*/
(header "symbol" (version "1.1"))
(symbol
(rect 16 16 304 576)
(text "stm32_interface" (rect 5 0 69 12)(font "Arial" ))
(text "inst" (rect 8 544 20 556)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clk_in" (rect 0 0 22 12)(font "Arial" ))
(text "clk_in" (rect 21 27 43 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32)(line_width 1))
)
(port
(pt 0 48)
(input)
(text "RX_I[15..0]" (rect 0 0 46 12)(font "Arial" ))
(text "RX_I[15..0]" (rect 21 43 67 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48)(line_width 3))
)
(port
(pt 0 64)
(input)
(text "RX_Q[15..0]" (rect 0 0 50 12)(font "Arial" ))
(text "RX_Q[15..0]" (rect 21 59 71 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64)(line_width 3))
)
(port
(pt 0 80)
(input)
(text "DATA_SYNC" (rect 0 0 62 12)(font "Arial" ))
(text "DATA_SYNC" (rect 21 75 83 87)(font "Arial" ))
(line (pt 0 80)(pt 16 80)(line_width 1))
)
(port
(pt 0 96)
(input)
(text "ADC_OTR" (rect 0 0 49 12)(font "Arial" ))
(text "ADC_OTR" (rect 21 91 70 103)(font "Arial" ))
(line (pt 0 96)(pt 16 96)(line_width 1))
)
(port
(pt 0 112)
(input)
(text "DAC_OTR" (rect 0 0 49 12)(font "Arial" ))
(text "DAC_OTR" (rect 21 107 70 119)(font "Arial" ))
(line (pt 0 112)(pt 16 112)(line_width 1))
)
(port
(pt 0 128)
(input)
(text "ADC_IN[11..0]" (rect 0 0 60 12)(font "Arial" ))
(text "ADC_IN[11..0]" (rect 21 123 81 135)(font "Arial" ))
(line (pt 0 128)(pt 16 128)(line_width 3))
)
(port
(pt 0 144)
(input)
(text "adcclk_in" (rect 0 0 36 12)(font "Arial" ))
(text "adcclk_in" (rect 21 139 57 151)(font "Arial" ))
(line (pt 0 144)(pt 16 144)(line_width 1))
)
(port
(pt 0 160)
(input)
(text "FLASH_data_in[7..0]" (rect 0 0 87 12)(font "Arial" ))
(text "FLASH_data_in[7..0]" (rect 21 155 108 167)(font "Arial" ))
(line (pt 0 160)(pt 16 160)(line_width 3))
)
(port
(pt 0 176)
(input)
(text "FLASH_busy" (rect 0 0 59 12)(font "Arial" ))
(text "FLASH_busy" (rect 21 171 80 183)(font "Arial" ))
(line (pt 0 176)(pt 16 176)(line_width 1))
)
(port
(pt 0 192)
(input)
(text "IQ_valid" (rect 0 0 33 12)(font "Arial" ))
(text "IQ_valid" (rect 21 187 54 199)(font "Arial" ))
(line (pt 0 192)(pt 16 192)(line_width 1))
)
(port
(pt 288 48)
(output)
(text "NCO_freq[21..0]" (rect 0 0 67 12)(font "Arial" ))
(text "NCO_freq[21..0]" (rect 200 43 267 55)(font "Arial" ))
(line (pt 288 48)(pt 272 48)(line_width 3))
)
(port
(pt 288 64)
(output)
(text "preamp_enable" (rect 0 0 61 12)(font "Arial" ))
(text "preamp_enable" (rect 206 59 267 71)(font "Arial" ))
(line (pt 288 64)(pt 272 64)(line_width 1))
)
(port
(pt 288 80)
(output)
(text "rx" (rect 0 0 8 12)(font "Arial" ))
(text "rx" (rect 259 75 267 87)(font "Arial" ))
(line (pt 288 80)(pt 272 80)(line_width 1))
)
(port
(pt 288 96)
(output)
(text "tx" (rect 0 0 7 12)(font "Arial" ))
(text "tx" (rect 260 91 267 103)(font "Arial" ))
(line (pt 288 96)(pt 272 96)(line_width 1))
)
(port
(pt 288 112)
(output)
(text "TX_I[15..0]" (rect 0 0 43 12)(font "Arial" ))
(text "TX_I[15..0]" (rect 224 107 267 119)(font "Arial" ))
(line (pt 288 112)(pt 272 112)(line_width 3))
)
(port
(pt 288 128)
(output)
(text "TX_Q[15..0]" (rect 0 0 48 12)(font "Arial" ))
(text "TX_Q[15..0]" (rect 219 123 267 135)(font "Arial" ))
(line (pt 288 128)(pt 272 128)(line_width 3))
)
(port
(pt 288 144)
(output)
(text "reset_n" (rect 0 0 30 12)(font "Arial" ))
(text "reset_n" (rect 237 139 267 151)(font "Arial" ))
(line (pt 288 144)(pt 272 144)(line_width 1))
)
(port
(pt 288 160)
(output)
(text "stage_debug[15..0]" (rect 0 0 74 12)(font "Arial" ))
(text "stage_debug[15..0]" (rect 193 155 267 167)(font "Arial" ))
(line (pt 288 160)(pt 272 160)(line_width 3))
)
(port
(pt 288 176)
(output)
(text "FLASH_data_out[7..0]" (rect 0 0 93 12)(font "Arial" ))
(text "FLASH_data_out[7..0]" (rect 174 171 267 183)(font "Arial" ))
(line (pt 288 176)(pt 272 176)(line_width 3))
)
(port
(pt 288 192)
(output)
(text "FLASH_enable" (rect 0 0 63 12)(font "Arial" ))
(text "FLASH_enable" (rect 204 187 267 199)(font "Arial" ))
(line (pt 288 192)(pt 272 192)(line_width 1))
)
(port
(pt 288 208)
(output)
(text "FLASH_continue_read" (rect 0 0 94 12)(font "Arial" ))
(text "FLASH_continue_read" (rect 173 203 267 215)(font "Arial" ))
(line (pt 288 208)(pt 272 208)(line_width 1))
)
(port
(pt 288 224)
(output)
(text "CIC_GAIN[7..0]" (rect 0 0 67 12)(font "Arial" ))
(text "CIC_GAIN[7..0]" (rect 200 219 267 231)(font "Arial" ))
(line (pt 288 224)(pt 272 224)(line_width 3))
)
(port
(pt 288 240)
(output)
(text "CICFIR_GAIN[7..0]" (rect 0 0 83 12)(font "Arial" ))
(text "CICFIR_GAIN[7..0]" (rect 184 235 267 247)(font "Arial" ))
(line (pt 288 240)(pt 272 240)(line_width 3))
)
(port
(pt 288 256)
(output)
(text "TX_CICFIR_GAIN[7..0]" (rect 0 0 101 12)(font "Arial" ))
(text "TX_CICFIR_GAIN[7..0]" (rect 166 251 267 263)(font "Arial" ))
(line (pt 288 256)(pt 272 256)(line_width 3))
)
(port
(pt 288 272)
(output)
(text "DAC_GAIN[7..0]" (rect 0 0 73 12)(font "Arial" ))
(text "DAC_GAIN[7..0]" (rect 194 267 267 279)(font "Arial" ))
(line (pt 288 272)(pt 272 272)(line_width 3))
)
(port
(pt 288 288)
(output)
(text "tx_iq_valid" (rect 0 0 42 12)(font "Arial" ))
(text "tx_iq_valid" (rect 225 283 267 295)(font "Arial" ))
(line (pt 288 288)(pt 272 288)(line_width 1))
)
(port
(pt 288 304)
(output)
(text "TX_NCO_freq[21..0]" (rect 0 0 84 12)(font "Arial" ))
(text "TX_NCO_freq[21..0]" (rect 183 299 267 311)(font "Arial" ))
(line (pt 288 304)(pt 272 304)(line_width 3))
)
(port
(pt 288 320)
(output)
(text "ATT_05" (rect 0 0 35 12)(font "Arial" ))
(text "ATT_05" (rect 232 315 267 327)(font "Arial" ))
(line (pt 288 320)(pt 272 320)(line_width 1))
)
(port
(pt 288 336)
(output)
(text "ATT_1" (rect 0 0 29 12)(font "Arial" ))
(text "ATT_1" (rect 238 331 267 343)(font "Arial" ))
(line (pt 288 336)(pt 272 336)(line_width 1))
)
(port
(pt 288 352)
(output)
(text "ATT_2" (rect 0 0 30 12)(font "Arial" ))
(text "ATT_2" (rect 237 347 267 359)(font "Arial" ))
(line (pt 288 352)(pt 272 352)(line_width 1))
)
(port
(pt 288 368)
(output)
(text "ATT_4" (rect 0 0 31 12)(font "Arial" ))
(text "ATT_4" (rect 236 363 267 375)(font "Arial" ))
(line (pt 288 368)(pt 272 368)(line_width 1))
)
(port
(pt 288 384)
(output)
(text "ATT_8" (rect 0 0 30 12)(font "Arial" ))
(text "ATT_8" (rect 237 379 267 391)(font "Arial" ))
(line (pt 288 384)(pt 272 384)(line_width 1))
)
(port
(pt 288 400)
(output)
(text "ATT_16" (rect 0 0 34 12)(font "Arial" ))
(text "ATT_16" (rect 233 395 267 407)(font "Arial" ))
(line (pt 288 400)(pt 272 400)(line_width 1))
)
(port
(pt 288 416)
(output)
(text "BPF_A" (rect 0 0 31 12)(font "Arial" ))
(text "BPF_A" (rect 236 411 267 423)(font "Arial" ))
(line (pt 288 416)(pt 272 416)(line_width 1))
)
(port
(pt 288 432)
(output)
(text "BPF_B" (rect 0 0 29 12)(font "Arial" ))
(text "BPF_B" (rect 238 427 267 439)(font "Arial" ))
(line (pt 288 432)(pt 272 432)(line_width 1))
)
(port
(pt 288 448)
(output)
(text "BPF_OE1" (rect 0 0 41 12)(font "Arial" ))
(text "BPF_OE1" (rect 226 443 267 455)(font "Arial" ))
(line (pt 288 448)(pt 272 448)(line_width 1))
)
(port
(pt 288 464)
(output)
(text "BPF_OE2" (rect 0 0 42 12)(font "Arial" ))
(text "BPF_OE2" (rect 225 459 267 471)(font "Arial" ))
(line (pt 288 464)(pt 272 464)(line_width 1))
)
(port
(pt 288 480)
(output)
(text "LPF_1" (rect 0 0 27 12)(font "Arial" ))
(text "LPF_1" (rect 240 475 267 487)(font "Arial" ))
(line (pt 288 480)(pt 272 480)(line_width 1))
)
(port
(pt 288 496)
(output)
(text "LPF_2" (rect 0 0 28 12)(font "Arial" ))
(text "LPF_2" (rect 239 491 267 503)(font "Arial" ))
(line (pt 288 496)(pt 272 496)(line_width 1))
)
(port
(pt 288 512)
(output)
(text "LPF_3" (rect 0 0 28 12)(font "Arial" ))
(text "LPF_3" (rect 239 507 267 519)(font "Arial" ))
(line (pt 288 512)(pt 272 512)(line_width 1))
)
(port
(pt 288 32)
(bidir)
(text "DATA_BUS[7..0]" (rect 0 0 74 12)(font "Arial" ))
(text "DATA_BUS[7..0]" (rect 193 27 267 39)(font "Arial" ))
(line (pt 288 32)(pt 272 32)(line_width 3))
)
(parameter
"rx_buffer_length"
"7"
""
(type "PARAMETER_SIGNED_DEC") )
(drawing
(rectangle (rect 16 16 272 544)(line_width 1))
)
(annotation_block (parameter)(rect 304 -64 404 16))
)