Wolf-LITE/FPGA/diffclock_buff.v

125 wiersze
4.5 KiB
Verilog

// megafunction wizard: %ALTIOBUF%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altiobuf_in
// ============================================================
// File Name: diffclock_buff.v
// Megafunction Name(s):
// altiobuf_in
//
// Simulation Library Files(s):
// cycloneive
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 18.1.0 Build 625 09/12/2018 SJ Standard Edition
// ************************************************************
//Copyright (C) 2018 Intel Corporation. All rights reserved.
//Your use of Intel Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Intel Program License
//Subscription Agreement, the Intel Quartus Prime License Agreement,
//the Intel FPGA IP License Agreement, or other applicable license
//agreement, including, without limitation, that your use is for
//the sole purpose of programming logic devices manufactured by
//Intel and sold by Intel or its authorized distributors. Please
//refer to the applicable agreement for further details.
//altiobuf_in CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone IV E" ENABLE_BUS_HOLD="FALSE" NUMBER_OF_CHANNELS=1 USE_DIFFERENTIAL_MODE="TRUE" USE_DYNAMIC_TERMINATION_CONTROL="FALSE" datain datain_b dataout
//VERSION_BEGIN 18.1 cbx_altiobuf_in 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ VERSION_END
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
// altera message_off 10463
//synthesis_resources = cycloneive_io_ibuf 1
//synopsys translate_off
`timescale 1 ps / 1 ps
//synopsys translate_on
module diffclock_buff_iobuf_in_k0j
(
datain,
datain_b,
dataout) ;
input [0:0] datain;
input [0:0] datain_b;
output [0:0] dataout;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri0 [0:0] datain_b;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire [0:0] wire_ibufa_o;
cycloneive_io_ibuf ibufa_0
(
.i(datain),
.ibar(datain_b),
.o(wire_ibufa_o[0:0]));
defparam
ibufa_0.bus_hold = "false",
ibufa_0.differential_mode = "true",
ibufa_0.lpm_type = "cycloneive_io_ibuf";
assign
dataout = wire_ibufa_o;
endmodule //diffclock_buff_iobuf_in_k0j
//VALID FILE
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module diffclock_buff (
datain,
datain_b,
dataout);
input [0:0] datain;
input [0:0] datain_b;
output [0:0] dataout;
wire [0:0] sub_wire0;
wire [0:0] dataout = sub_wire0[0:0];
diffclock_buff_iobuf_in_k0j diffclock_buff_iobuf_in_k0j_component (
.datain (datain),
.datain_b (datain_b),
.dataout (sub_wire0));
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
// Retrieval info: CONSTANT: enable_bus_hold STRING "FALSE"
// Retrieval info: CONSTANT: number_of_channels NUMERIC "1"
// Retrieval info: CONSTANT: use_differential_mode STRING "TRUE"
// Retrieval info: CONSTANT: use_dynamic_termination_control STRING "FALSE"
// Retrieval info: USED_PORT: datain 0 0 1 0 INPUT NODEFVAL "datain[0..0]"
// Retrieval info: USED_PORT: datain_b 0 0 1 0 INPUT NODEFVAL "datain_b[0..0]"
// Retrieval info: USED_PORT: dataout 0 0 1 0 OUTPUT NODEFVAL "dataout[0..0]"
// Retrieval info: CONNECT: @datain 0 0 1 0 datain 0 0 1 0
// Retrieval info: CONNECT: @datain_b 0 0 1 0 datain_b 0 0 1 0
// Retrieval info: CONNECT: dataout 0 0 1 0 @dataout 0 0 1 0
// Retrieval info: GEN_FILE: TYPE_NORMAL diffclock_buff.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL diffclock_buff.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL diffclock_buff.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL diffclock_buff.bsf TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL diffclock_buff_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL diffclock_buff_bb.v FALSE
// Retrieval info: LIB_FILE: cycloneive