// megafunction wizard: %FIR II v18.1% // GENERATION: XML // tx_ciccomp.v // Generated using ACDS version 18.1 625 `timescale 1 ps / 1 ps module tx_ciccomp ( input wire clk, // clk.clk input wire reset_n, // rst.reset_n input wire [15:0] ast_sink_data, // avalon_streaming_sink.data input wire ast_sink_valid, // .valid input wire [1:0] ast_sink_error, // .error output wire [29:0] ast_source_data, // avalon_streaming_source.data output wire ast_source_valid, // .valid output wire [1:0] ast_source_error // .error ); tx_ciccomp_0002 tx_ciccomp_inst ( .clk (clk), // clk.clk .reset_n (reset_n), // rst.reset_n .ast_sink_data (ast_sink_data), // avalon_streaming_sink.data .ast_sink_valid (ast_sink_valid), // .valid .ast_sink_error (ast_sink_error), // .error .ast_source_data (ast_source_data), // avalon_streaming_source.data .ast_source_valid (ast_source_valid), // .valid .ast_source_error (ast_source_error) // .error ); endmodule // Retrieval info: // // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // IPFS_FILES : tx_ciccomp.vo // RELATED_FILES: tx_ciccomp.v, dspba_library_package.vhd, dspba_library.vhd, auk_dspip_math_pkg_hpfir.vhd, auk_dspip_lib_pkg_hpfir.vhd, auk_dspip_avalon_streaming_controller_hpfir.vhd, auk_dspip_avalon_streaming_sink_hpfir.vhd, auk_dspip_avalon_streaming_source_hpfir.vhd, auk_dspip_roundsat_hpfir.vhd, altera_avalon_sc_fifo.v, tx_ciccomp_0002_rtl_core.vhd, tx_ciccomp_0002_ast.vhd, tx_ciccomp_0002.vhd