diff --git a/FPGA/WOLF-LITE.qws b/FPGA/WOLF-LITE.qws new file mode 100644 index 0000000..ae8ff08 Binary files /dev/null and b/FPGA/WOLF-LITE.qws differ diff --git a/FPGA_61.440/DEBUG.sopcinfo b/FPGA_61.440/DEBUG.sopcinfo index 1eb23ab..2f9c3a6 100644 --- a/FPGA_61.440/DEBUG.sopcinfo +++ b/FPGA_61.440/DEBUG.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1644138403 + 1644143775 false true false diff --git a/FPGA_61.440/DEBUG2.sopcinfo b/FPGA_61.440/DEBUG2.sopcinfo index 5aee1a5..9b579e7 100644 --- a/FPGA_61.440/DEBUG2.sopcinfo +++ b/FPGA_61.440/DEBUG2.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1644138415 + 1644143789 false true false diff --git a/FPGA_61.440/WOLF-LITE.bdf b/FPGA_61.440/WOLF-LITE.bdf index dbabfe1..b6bb6e2 100644 --- a/FPGA_61.440/WOLF-LITE.bdf +++ b/FPGA_61.440/WOLF-LITE.bdf @@ -34,7 +34,6 @@ refer to the applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "GND" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 2784 248 2840 272)) ) (pin (input) @@ -51,7 +50,6 @@ refer to the applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 2784 232 2840 256)) ) (pin (input) @@ -68,7 +66,6 @@ refer to the applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 2784 184 2840 208)) ) (pin (input) @@ -85,7 +82,6 @@ refer to the applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 3688 1016 3744 1040)) ) (pin (input) @@ -102,7 +98,6 @@ refer to the applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "GND" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect -112 -40 -56 -16)) ) (pin (input) @@ -119,7 +114,6 @@ refer to the applicable agreement for further details. (line (pt 117 12)(pt 121 8)) ) (text "GND" (rect 136 7 157 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 2160 704 2216 728)) ) (pin (output) @@ -136,7 +130,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3728 240 3784 264)) ) (pin (output) @@ -153,7 +146,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3584 1016 3648 1040)) ) (pin (output) @@ -170,7 +162,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3584 1032 3648 1056)) ) (pin (output) @@ -187,7 +178,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 6464 224 6528 248)) ) (pin (output) @@ -204,7 +194,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 4352 984 4408 1008)) ) (pin (output) @@ -221,7 +210,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 4352 1000 4400 1024)) ) (pin (output) @@ -238,7 +226,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 4352 968 4400 992)) ) (pin (output) @@ -255,7 +242,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 6464 288 6528 312)) ) (pin (output) @@ -272,7 +258,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 6464 96 6528 120)) ) (pin (output) @@ -289,7 +274,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 472 3688 496)) ) (pin (output) @@ -306,7 +290,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 488 3688 512)) ) (pin (output) @@ -323,7 +306,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 504 3688 528)) ) (pin (output) @@ -340,7 +322,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 520 3688 544)) ) (pin (output) @@ -357,7 +338,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 536 3696 560)) ) (pin (output) @@ -374,7 +354,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 552 3688 576)) ) (pin (output) @@ -391,7 +370,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 568 3688 592)) ) (pin (output) @@ -408,7 +386,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 584 3688 608)) ) (pin (output) @@ -425,7 +402,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 600 3688 624)) ) (pin (output) @@ -442,7 +418,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 616 3688 640)) ) (pin (output) @@ -459,7 +434,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 632 3688 656)) ) (pin (output) @@ -476,7 +450,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 648 3688 672)) ) (pin (output) @@ -493,7 +466,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3632 664 3688 688)) ) (pin (output) @@ -510,7 +482,6 @@ refer to the applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 3688 48 3744 72)) ) (pin (output) @@ -544,7 +515,6 @@ refer to the applicable agreement for further details. (line (pt 52 8)(pt 56 12)) ) (text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 3632 184 3688 208)) ) (symbol (rect 3512 88 3560 120) @@ -561,7 +531,7 @@ refer to the applicable agreement for further details. (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing @@ -586,7 +556,7 @@ refer to the applicable agreement for further details. (pt 48 16) (output) (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible)) (line (pt 39 16)(pt 48 16)) ) (drawing @@ -764,7 +734,7 @@ refer to the applicable agreement for further details. (pt 248 32) (output) (text "DATA_OUT[13..0]" (rect 0 0 88 12)(font "Arial" )) - (text "DATA_OUT[13..0]" (rect 153 27 241 39)(font "Arial" )) + (text "DATA_OUT[13..0]" (rect 153 27 227 39)(font "Arial" )) (line (pt 248 32)(pt 232 32)(line_width 3)) ) (parameter @@ -889,42 +859,42 @@ refer to the applicable agreement for further details. (pt 216 32) (output) (text "data_out[7..0]" (rect 0 0 68 12)(font "Arial" )) - (text "data_out[7..0]" (rect 138 27 206 39)(font "Arial" )) + (text "data_out[7..0]" (rect 138 27 195 39)(font "Arial" )) (line (pt 216 32)(pt 200 32)(line_width 3)) ) (port (pt 216 48) (output) (text "MOSI_DQ0" (rect 0 0 55 12)(font "Arial" )) - (text "MOSI_DQ0" (rect 149 43 204 55)(font "Arial" )) + (text "MOSI_DQ0" (rect 149 43 195 55)(font "Arial" )) (line (pt 216 48)(pt 200 48)) ) (port (pt 216 64) (output) (text "SCK_C" (rect 0 0 36 12)(font "Arial" )) - (text "SCK_C" (rect 165 59 201 71)(font "Arial" )) + (text "SCK_C" (rect 165 59 195 71)(font "Arial" )) (line (pt 216 64)(pt 200 64)) ) (port (pt 216 80) (output) (text "CS_S" (rect 0 0 28 12)(font "Arial" )) - (text "CS_S" (rect 172 75 200 87)(font "Arial" )) + (text "CS_S" (rect 172 75 195 87)(font "Arial" )) (line (pt 216 80)(pt 200 80)) ) (port (pt 216 96) (output) (text "busy" (rect 0 0 24 12)(font "Arial" )) - (text "busy" (rect 175 91 199 103)(font "Arial" )) + (text "busy" (rect 175 91 195 103)(font "Arial" )) (line (pt 216 96)(pt 200 96)) ) (port (pt 216 112) (output) (text "spi_stage[7..0]" (rect 0 0 73 12)(font "Arial" )) - (text "spi_stage[7..0]" (rect 134 107 207 119)(font "Arial" )) + (text "spi_stage[7..0]" (rect 134 107 195 119)(font "Arial" )) (line (pt 216 112)(pt 200 112)(line_width 3)) ) (drawing @@ -1004,21 +974,21 @@ refer to the applicable agreement for further details. (pt 256 72) (output) (text "out_data[15..0]" (rect 0 0 84 14)(font "Arial" (font_size 8))) - (text "out_data[15..0]" (rect 183 61 267 75)(font "Arial" (font_size 8))) + (text "out_data[15..0]" (rect 183 61 254 75)(font "Arial" (font_size 8))) (line (pt 256 72)(pt 176 72)(line_width 3)) ) (port (pt 256 88) (output) (text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8))) - (text "out_error[1..0]" (rect 186 77 267 91)(font "Arial" (font_size 8))) + (text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8))) (line (pt 256 88)(pt 176 88)(line_width 3)) ) (port (pt 256 104) (output) (text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 260 107)(font "Arial" (font_size 8))) + (text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8))) (line (pt 256 104)(pt 176 104)) ) (drawing @@ -1112,21 +1082,21 @@ refer to the applicable agreement for further details. (pt 256 72) (output) (text "out_data[15..0]" (rect 0 0 84 14)(font "Arial" (font_size 8))) - (text "out_data[15..0]" (rect 183 61 267 75)(font "Arial" (font_size 8))) + (text "out_data[15..0]" (rect 183 61 254 75)(font "Arial" (font_size 8))) (line (pt 256 72)(pt 176 72)(line_width 3)) ) (port (pt 256 88) (output) (text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8))) - (text "out_error[1..0]" (rect 186 77 267 91)(font "Arial" (font_size 8))) + (text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8))) (line (pt 256 88)(pt 176 88)(line_width 3)) ) (port (pt 256 104) (output) (text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 260 107)(font "Arial" (font_size 8))) + (text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8))) (line (pt 256 104)(pt 176 104)) ) (drawing @@ -1199,21 +1169,21 @@ refer to the applicable agreement for further details. (pt 256 72) (output) (text "fsin_o[15..0]" (rect 0 0 70 14)(font "Arial" (font_size 8))) - (text "fsin_o[15..0]" (rect 194 61 264 75)(font "Arial" (font_size 8))) + (text "fsin_o[15..0]" (rect 194 61 253 75)(font "Arial" (font_size 8))) (line (pt 256 72)(pt 176 72)(line_width 3)) ) (port (pt 256 88) (output) (text "fcos_o[15..0]" (rect 0 0 75 14)(font "Arial" (font_size 8))) - (text "fcos_o[15..0]" (rect 190 77 265 91)(font "Arial" (font_size 8))) + (text "fcos_o[15..0]" (rect 190 77 253 91)(font "Arial" (font_size 8))) (line (pt 256 88)(pt 176 88)(line_width 3)) ) (port (pt 256 104) (output) (text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 260 107)(font "Arial" (font_size 8))) + (text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8))) (line (pt 256 104)(pt 176 104)) ) (drawing @@ -1409,7 +1379,7 @@ refer to the applicable agreement for further details. (pt 272 72) (output) (text "outclk" (rect 0 0 33 14)(font "Arial" (font_size 8))) - (text "outclk" (rect 242 61 275 75)(font "Arial" (font_size 8))) + (text "outclk" (rect 242 61 269 75)(font "Arial" (font_size 8))) (line (pt 272 72)(pt 160 72)) ) (drawing @@ -1528,21 +1498,21 @@ refer to the applicable agreement for further details. (pt 256 72) (output) (text "fsin_o[11..0]" (rect 0 0 70 14)(font "Arial" (font_size 8))) - (text "fsin_o[11..0]" (rect 196 61 266 75)(font "Arial" (font_size 8))) + (text "fsin_o[11..0]" (rect 196 61 255 75)(font "Arial" (font_size 8))) (line (pt 256 72)(pt 176 72)(line_width 3)) ) (port (pt 256 88) (output) (text "fcos_o[11..0]" (rect 0 0 75 14)(font "Arial" (font_size 8))) - (text "fcos_o[11..0]" (rect 192 77 267 91)(font "Arial" (font_size 8))) + (text "fcos_o[11..0]" (rect 192 77 255 91)(font "Arial" (font_size 8))) (line (pt 256 88)(pt 176 88)(line_width 3)) ) (port (pt 256 104) (output) (text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 260 107)(font "Arial" (font_size 8))) + (text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8))) (line (pt 256 104)(pt 176 104)) ) (drawing @@ -1686,28 +1656,28 @@ refer to the applicable agreement for further details. (pt 304 32) (output) (text "data_out_I[out_width-1..0]" (rect 0 0 127 12)(font "Arial" )) - (text "data_out_I[out_width-1..0]" (rect 176 27 303 39)(font "Arial" )) + (text "data_out_I[out_width-1..0]" (rect 176 27 283 39)(font "Arial" )) (line (pt 304 32)(pt 288 32)(line_width 3)) ) (port (pt 304 48) (output) (text "data_valid_out_I" (rect 0 0 81 12)(font "Arial" )) - (text "data_valid_out_I" (rect 215 43 296 55)(font "Arial" )) + (text "data_valid_out_I" (rect 215 43 283 55)(font "Arial" )) (line (pt 304 48)(pt 288 48)) ) (port (pt 304 64) (output) (text "data_out_Q[out_width-1..0]" (rect 0 0 132 12)(font "Arial" )) - (text "data_out_Q[out_width-1..0]" (rect 172 59 304 71)(font "Arial" )) + (text "data_out_Q[out_width-1..0]" (rect 172 59 283 71)(font "Arial" )) (line (pt 304 64)(pt 288 64)(line_width 3)) ) (port (pt 304 80) (output) (text "data_valid_out_Q" (rect 0 0 86 12)(font "Arial" )) - (text "data_valid_out_Q" (rect 211 75 297 87)(font "Arial" )) + (text "data_valid_out_Q" (rect 211 75 283 87)(font "Arial" )) (line (pt 304 80)(pt 288 80)) ) (parameter @@ -1728,7 +1698,7 @@ refer to the applicable agreement for further details. (symbol (rect 160 -88 320 24) (text "ADC_Latch" (rect 48 0 125 16)(font "Arial" (font_size 10))) - (text "ADC_Latch" (rect 8 96 61 113)(font "Intel Clear" )) + (text "ADC_Latch" (rect 8 96 64 108)(font "Arial" )) (port (pt 0 40) (input) @@ -1845,21 +1815,21 @@ refer to the applicable agreement for further details. (pt 256 72) (output) (text "out_data[31..0]" (rect 0 0 84 14)(font "Arial" (font_size 8))) - (text "out_data[31..0]" (rect 183 61 267 75)(font "Arial" (font_size 8))) + (text "out_data[31..0]" (rect 183 61 254 75)(font "Arial" (font_size 8))) (line (pt 256 72)(pt 176 72)(line_width 3)) ) (port (pt 256 88) (output) (text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8))) - (text "out_error[1..0]" (rect 186 77 267 91)(font "Arial" (font_size 8))) + (text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8))) (line (pt 256 88)(pt 176 88)(line_width 3)) ) (port (pt 256 104) (output) (text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 260 107)(font "Arial" (font_size 8))) + (text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8))) (line (pt 256 104)(pt 176 104)) ) (drawing @@ -1964,21 +1934,21 @@ refer to the applicable agreement for further details. (pt 256 72) (output) (text "out_data[31..0]" (rect 0 0 84 14)(font "Arial" (font_size 8))) - (text "out_data[31..0]" (rect 183 61 267 75)(font "Arial" (font_size 8))) + (text "out_data[31..0]" (rect 183 61 254 75)(font "Arial" (font_size 8))) (line (pt 256 72)(pt 176 72)(line_width 3)) ) (port (pt 256 88) (output) (text "out_error[1..0]" (rect 0 0 81 14)(font "Arial" (font_size 8))) - (text "out_error[1..0]" (rect 186 77 267 91)(font "Arial" (font_size 8))) + (text "out_error[1..0]" (rect 186 77 254 91)(font "Arial" (font_size 8))) (line (pt 256 88)(pt 176 88)(line_width 3)) ) (port (pt 256 104) (output) (text "out_valid" (rect 0 0 50 14)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 260 107)(font "Arial" (font_size 8))) + (text "out_valid" (rect 210 93 252 107)(font "Arial" (font_size 8))) (line (pt 256 104)(pt 176 104)) ) (drawing @@ -2069,28 +2039,28 @@ refer to the applicable agreement for further details. (pt 304 32) (output) (text "data_out_I[out_width-1..0]" (rect 0 0 127 12)(font "Arial" )) - (text "data_out_I[out_width-1..0]" (rect 176 27 303 39)(font "Arial" )) + (text "data_out_I[out_width-1..0]" (rect 176 27 283 39)(font "Arial" )) (line (pt 304 32)(pt 288 32)(line_width 3)) ) (port (pt 304 48) (output) (text "data_valid_out_I" (rect 0 0 81 12)(font "Arial" )) - (text "data_valid_out_I" (rect 215 43 296 55)(font "Arial" )) + (text "data_valid_out_I" (rect 215 43 283 55)(font "Arial" )) (line (pt 304 48)(pt 288 48)) ) (port (pt 304 64) (output) (text "data_out_Q[out_width-1..0]" (rect 0 0 132 12)(font "Arial" )) - (text "data_out_Q[out_width-1..0]" (rect 172 59 304 71)(font "Arial" )) + (text "data_out_Q[out_width-1..0]" (rect 172 59 283 71)(font "Arial" )) (line (pt 304 64)(pt 288 64)(line_width 3)) ) (port (pt 304 80) (output) (text "data_valid_out_Q" (rect 0 0 86 12)(font "Arial" )) - (text "data_valid_out_Q" (rect 211 75 297 87)(font "Arial" )) + (text "data_valid_out_Q" (rect 211 75 283 87)(font "Arial" )) (line (pt 304 80)(pt 288 80)) ) (parameter @@ -2151,21 +2121,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[45..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[45..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) + (text "ast_source_data[45..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2243,21 +2213,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[45..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[45..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) + (text "ast_source_data[45..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2293,9 +2263,9 @@ refer to the applicable agreement for further details. ) ) (symbol - (rect 3080 720 3320 872) + (rect 3080 720 3320 888) (text "tx_pll" (rect 105 0 141 16)(font "Arial" (font_size 10))) - (text "TX_PLL" (rect 8 136 44 148)(font "Arial" )) + (text "TX_PLL" (rect 8 152 44 164)(font "Arial" )) (port (pt 0 64) (input) @@ -2309,8 +2279,14 @@ refer to the applicable agreement for further details. (text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8))) (text "c0" (rect 224 50 238 64)(font "Arial" (font_size 8))) ) + (port + (pt 240 80) + (output) + (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "c1" (rect 224 66 238 80)(font "Arial" (font_size 8))) + ) (drawing - (text "Cyclone IV E" (rect 164 136 230 148)(font "Arial" )) + (text "Cyclone IV E" (rect 164 152 230 164)(font "Arial" )) (text "inclk0 frequency: 61.440 MHz" (rect 50 59 197 71)(font "Arial" )) (text "Operation Mode: Normal" (rect 50 72 169 84)(font "Arial" )) (text "Clk " (rect 51 93 71 105)(font "Arial" )) @@ -2321,23 +2297,29 @@ refer to the applicable agreement for further details. (text "5/2" (rect 77 107 92 119)(font "Arial" )) (text "0.00" (rect 104 107 125 119)(font "Arial" )) (text "50.00" (rect 136 107 163 119)(font "Arial" )) + (text "c1" (rect 54 121 65 133)(font "Arial" )) + (text "3/1" (rect 77 121 92 133)(font "Arial" )) + (text "0.00" (rect 104 121 125 133)(font "Arial" )) + (text "50.00" (rect 136 121 163 133)(font "Arial" )) (line (pt 0 0)(pt 241 0)) - (line (pt 241 0)(pt 241 153)) - (line (pt 0 153)(pt 241 153)) - (line (pt 0 0)(pt 0 153)) + (line (pt 241 0)(pt 241 169)) + (line (pt 0 169)(pt 241 169)) + (line (pt 0 0)(pt 0 169)) (line (pt 48 91)(pt 164 91)) (line (pt 48 104)(pt 164 104)) (line (pt 48 118)(pt 164 118)) - (line (pt 48 91)(pt 48 118)) - (line (pt 69 91)(pt 69 118)(line_width 3)) - (line (pt 95 91)(pt 95 118)(line_width 3)) - (line (pt 129 91)(pt 129 118)(line_width 3)) - (line (pt 163 91)(pt 163 118)) + (line (pt 48 132)(pt 164 132)) + (line (pt 48 91)(pt 48 132)) + (line (pt 69 91)(pt 69 132)(line_width 3)) + (line (pt 95 91)(pt 95 132)(line_width 3)) + (line (pt 129 91)(pt 129 132)(line_width 3)) + (line (pt 163 91)(pt 163 132)) (line (pt 40 48)(pt 207 48)) - (line (pt 207 48)(pt 207 135)) - (line (pt 40 135)(pt 207 135)) - (line (pt 40 48)(pt 40 135)) + (line (pt 207 48)(pt 207 151)) + (line (pt 40 151)(pt 207 151)) + (line (pt 40 48)(pt 40 151)) (line (pt 239 64)(pt 207 64)) + (line (pt 239 80)(pt 207 80)) ) ) (symbol @@ -2444,21 +2426,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[29..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[29..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) + (text "ast_source_data[29..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2536,21 +2518,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[29..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[29..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) + (text "ast_source_data[29..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2903,7 +2885,7 @@ refer to the applicable agreement for further details. (symbol (rect 3080 1144 3304 1224) (text "vcxo_controller" (rect 5 0 80 12)(font "Arial" )) - (text "VCXO_PWM_CONTROLLER" (rect 8 64 144 81)(font "Intel Clear" )) + (text "VCXO_PWM_CONTROLLER" (rect 8 64 149 76)(font "Arial" )) (port (pt 0 32) (input) @@ -2922,7 +2904,7 @@ refer to the applicable agreement for further details. (pt 224 32) (output) (text "pump" (rect 0 0 27 12)(font "Arial" )) - (text "pump" (rect 181 27 208 39)(font "Arial" )) + (text "pump" (rect 181 27 203 39)(font "Arial" )) (line (pt 224 32)(pt 208 32)) ) (drawing @@ -4136,10 +4118,6 @@ refer to the applicable agreement for further details. (pt 5720 88) (pt 6288 88) ) -(connector - (pt 3320 784) - (pt 3736 784) -) (connector (pt 3048 1008) (pt 3080 1008) @@ -4319,10 +4297,6 @@ refer to the applicable agreement for further details. (pt 3048 904) (pt 3048 1008) ) -(connector - (pt 3048 1008) - (pt 3048 1176) -) (connector (text "VCXO_correction[15..0]" (rect 2954 1176 3064 1193)(font "Intel Clear" )) (pt 3080 1192) @@ -4339,6 +4313,26 @@ refer to the applicable agreement for further details. (pt 3536 672) (bus) ) +(connector + (pt 3048 1176) + (pt 3048 1128) +) +(connector + (pt 3048 1128) + (pt 3352 1128) +) +(connector + (pt 3320 800) + (pt 3352 800) +) +(connector + (pt 3352 1128) + (pt 3352 800) +) +(connector + (pt 3320 784) + (pt 3736 784) +) (junction (pt 376 120)) (junction (pt 440 32)) (junction (pt 440 448)) @@ -4365,5 +4359,5 @@ refer to the applicable agreement for further details. (junction (pt 3472 104)) (junction (pt 3048 904)) (junction (pt 440 -32)) -(junction (pt 3048 1008)) (text "153.6mhz" (rect 3328 760 3388 779)(font "Intel Clear" (font_size 8))) +(text "184.320mhz" (rect 3360 808 3436 827)(font "Intel Clear" (font_size 8))) diff --git a/FPGA_61.440/WOLF-LITE.qws b/FPGA_61.440/WOLF-LITE.qws index 9bab9f5..f83464b 100644 Binary files a/FPGA_61.440/WOLF-LITE.qws and b/FPGA_61.440/WOLF-LITE.qws differ diff --git a/FPGA_61.440/clock_buffer.sopcinfo b/FPGA_61.440/clock_buffer.sopcinfo index 6909a29..23bb579 100644 --- a/FPGA_61.440/clock_buffer.sopcinfo +++ b/FPGA_61.440/clock_buffer.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1644138337 + 1644143700 false true false diff --git a/FPGA_61.440/nco.sopcinfo b/FPGA_61.440/nco.sopcinfo index aa3e059..0da6d33 100644 --- a/FPGA_61.440/nco.sopcinfo +++ b/FPGA_61.440/nco.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1644138389 + 1644143760 false true false diff --git a/FPGA_61.440/rx_cic.sopcinfo b/FPGA_61.440/rx_cic.sopcinfo index f285934..fe7a723 100644 --- a/FPGA_61.440/rx_cic.sopcinfo +++ b/FPGA_61.440/rx_cic.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1644138350 + 1644143714 false true false diff --git a/FPGA_61.440/tx_cic.sopcinfo b/FPGA_61.440/tx_cic.sopcinfo index 6fb49ef..0699164 100644 --- a/FPGA_61.440/tx_cic.sopcinfo +++ b/FPGA_61.440/tx_cic.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1644138363 + 1644143728 false true false diff --git a/FPGA_61.440/tx_nco.sopcinfo b/FPGA_61.440/tx_nco.sopcinfo index 981b955..93ff2c5 100644 --- a/FPGA_61.440/tx_nco.sopcinfo +++ b/FPGA_61.440/tx_nco.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1644138376 + 1644143746 false true false diff --git a/FPGA_61.440/tx_pll.bsf b/FPGA_61.440/tx_pll.bsf index 8fa3416..756bf69 100644 --- a/FPGA_61.440/tx_pll.bsf +++ b/FPGA_61.440/tx_pll.bsf @@ -20,9 +20,9 @@ refer to the applicable agreement for further details. */ (header "symbol" (version "1.2")) (symbol - (rect 0 0 240 152) + (rect 0 0 240 168) (text "tx_pll" (rect 105 0 141 16)(font "Arial" (font_size 10))) - (text "inst" (rect 8 136 25 148)(font "Arial" )) + (text "inst" (rect 8 152 25 164)(font "Arial" )) (port (pt 0 64) (input) @@ -36,8 +36,14 @@ refer to the applicable agreement for further details. (text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8))) (text "c0" (rect 224 50 234 63)(font "Arial" (font_size 8))) ) + (port + (pt 240 80) + (output) + (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "c1" (rect 224 66 232 79)(font "Arial" (font_size 8))) + ) (drawing - (text "Cyclone IV E" (rect 164 136 383 283)(font "Arial" )) + (text "Cyclone IV E" (rect 164 152 383 315)(font "Arial" )) (text "inclk0 frequency: 61.440 MHz" (rect 50 59 223 129)(font "Arial" )) (text "Operation Mode: Normal" (rect 50 72 199 155)(font "Arial" )) (text "Clk " (rect 51 93 116 197)(font "Arial" )) @@ -48,22 +54,28 @@ refer to the applicable agreement for further details. (text "5/2" (rect 77 107 165 225)(font "Arial" )) (text "0.00" (rect 104 107 224 225)(font "Arial" )) (text "50.00" (rect 136 107 293 225)(font "Arial" )) + (text "c1" (rect 54 121 115 253)(font "Arial" )) + (text "3/1" (rect 77 121 164 253)(font "Arial" )) + (text "0.00" (rect 104 121 224 253)(font "Arial" )) + (text "50.00" (rect 136 121 293 253)(font "Arial" )) (line (pt 0 0)(pt 241 0)) - (line (pt 241 0)(pt 241 153)) - (line (pt 0 153)(pt 241 153)) - (line (pt 0 0)(pt 0 153)) + (line (pt 241 0)(pt 241 169)) + (line (pt 0 169)(pt 241 169)) + (line (pt 0 0)(pt 0 169)) (line (pt 48 91)(pt 164 91)) (line (pt 48 104)(pt 164 104)) (line (pt 48 118)(pt 164 118)) - (line (pt 48 91)(pt 48 118)) - (line (pt 69 91)(pt 69 118)(line_width 3)) - (line (pt 95 91)(pt 95 118)(line_width 3)) - (line (pt 129 91)(pt 129 118)(line_width 3)) - (line (pt 163 91)(pt 163 118)) + (line (pt 48 132)(pt 164 132)) + (line (pt 48 91)(pt 48 132)) + (line (pt 69 91)(pt 69 132)(line_width 3)) + (line (pt 95 91)(pt 95 132)(line_width 3)) + (line (pt 129 91)(pt 129 132)(line_width 3)) + (line (pt 163 91)(pt 163 132)) (line (pt 40 48)(pt 207 48)) - (line (pt 207 48)(pt 207 135)) - (line (pt 40 135)(pt 207 135)) - (line (pt 40 48)(pt 40 135)) + (line (pt 207 48)(pt 207 151)) + (line (pt 40 151)(pt 207 151)) + (line (pt 40 48)(pt 40 151)) (line (pt 239 64)(pt 207 64)) + (line (pt 239 80)(pt 207 80)) ) ) diff --git a/FPGA_61.440/tx_pll.ppf b/FPGA_61.440/tx_pll.ppf index 2fa71fc..1ae1144 100644 --- a/FPGA_61.440/tx_pll.ppf +++ b/FPGA_61.440/tx_pll.ppf @@ -4,6 +4,7 @@ + diff --git a/FPGA_61.440/tx_pll.v b/FPGA_61.440/tx_pll.v index ee8e573..ff4f5a5 100644 --- a/FPGA_61.440/tx_pll.v +++ b/FPGA_61.440/tx_pll.v @@ -38,17 +38,21 @@ // synopsys translate_on module tx_pll ( inclk0, - c0); + c0, + c1); input inclk0; output c0; + output c1; wire [0:0] sub_wire2 = 1'h0; wire [4:0] sub_wire3; wire sub_wire0 = inclk0; wire [1:0] sub_wire1 = {sub_wire2, sub_wire0}; + wire [1:1] sub_wire5 = sub_wire3[1:1]; wire [0:0] sub_wire4 = sub_wire3[0:0]; wire c0 = sub_wire4; + wire c1 = sub_wire5; altpll altpll_component ( .inclk (sub_wire1), @@ -94,6 +98,10 @@ module tx_pll ( altpll_component.clk0_duty_cycle = 50, altpll_component.clk0_multiply_by = 5, altpll_component.clk0_phase_shift = "0", + altpll_component.clk1_divide_by = 1, + altpll_component.clk1_duty_cycle = 50, + altpll_component.clk1_multiply_by = 3, + altpll_component.clk1_phase_shift = "0", altpll_component.compensate_clock = "CLK0", altpll_component.inclk0_input_frequency = 16276, altpll_component.intended_device_family = "Cyclone IV E", @@ -127,7 +135,7 @@ module tx_pll ( altpll_component.port_scanread = "PORT_UNUSED", altpll_component.port_scanwrite = "PORT_UNUSED", altpll_component.port_clk0 = "PORT_USED", - altpll_component.port_clk1 = "PORT_UNUSED", + altpll_component.port_clk1 = "PORT_USED", altpll_component.port_clk2 = "PORT_UNUSED", altpll_component.port_clk3 = "PORT_UNUSED", altpll_component.port_clk4 = "PORT_UNUSED", @@ -167,8 +175,11 @@ endmodule // Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" // Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "2" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "153.600006" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "184.320007" // Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" @@ -189,18 +200,26 @@ endmodule // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps" // Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "5" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "3" // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "160.80000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" // Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" // Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" // Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" // Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps" // Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" // Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" // Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" @@ -223,11 +242,14 @@ endmodule // Retrieval info: PRIVATE: SPREAD_USE STRING "0" // Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" // Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" // Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLK1 STRING "1" // Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" // Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" // Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all @@ -236,6 +258,10 @@ endmodule // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" // Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "5" // Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" +// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "3" +// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "16276" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" @@ -268,7 +294,7 @@ endmodule // Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" // Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" @@ -286,10 +312,12 @@ endmodule // Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" // Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" // Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" // Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 // Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 // Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 // Retrieval info: GEN_FILE: TYPE_NORMAL tx_pll.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL tx_pll.ppf TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL tx_pll.inc FALSE