From b3c7938d79ad5b42099c813d2d36e1425f770547 Mon Sep 17 00:00:00 2001 From: linoobs Date: Sat, 7 May 2022 12:07:41 +0200 Subject: [PATCH] . --- FPGA/WOLF-LITE.qws | Bin 669 -> 48 bytes FPGA/db/WOLF-LITE.db_info | 2 +- STM32/Core/Src/front_unit.c | 6 +++++- STM32/Core/Src/settings.h | 4 ++-- STM32/MDK-ARM/WOLF-Lite.uvoptx | 4 ++-- 5 files changed, 10 insertions(+), 6 deletions(-) diff --git a/FPGA/WOLF-LITE.qws b/FPGA/WOLF-LITE.qws index ae8ff08efa5eae05f076525dc93270fcd1fa43a8..63563b76eda4b19c3f4f321afd3f1b7df67b8d5e 100644 GIT binary patch delta 11 PcmbQsYA`{Yl>r0*4tD{Q literal 669 zcmbV|!AiqG5QhIm3q5+Q;=zMR5n7snsCW^ff>02o;8Bt`)zWH8jCc^i*YFX17B60X z7qxz~B$psLxXaA!%=|O+&mOl`o=wda>0Y_6^r%#sW_Sx?BPD=5WJ8xa*FdN0pwBc^ zUtPr-Yf>NvdeT0TK!;Qv5D&qIL}L67@c?wdD^icVWqaHZi9k=#d#+=m=lY38$a_OA z5q+DI8tan(!eh0NCbs!iI2ifJ4(tFreq, CurrentVFO()); diff --git a/STM32/Core/Src/settings.h b/STM32/Core/Src/settings.h index 4f2d5c6..724b1d1 100644 --- a/STM32/Core/Src/settings.h +++ b/STM32/Core/Src/settings.h @@ -23,12 +23,12 @@ #ifdef FRONT_ALEX_61_440 #define ADC_CLOCK 61440000 // ADC generator frequency калибровка частоты генератора #define DAC_CLOCK 153600000 // DAC generator frequency -#define BUTTONS_R7KBI false //Author board buttons +//#define BUTTONS_R7KBI false //Author board buttons #endif #ifdef FRONT_ALEX_64_320 #define ADC_CLOCK (int32_t)(64320000 + (CALIBRATE.vcxo_calibration)) // ADC generator frequency калибровка частоты генератора #define DAC_CLOCK (int32_t)(160800000 + (CALIBRATE.vcxo_calibration)) // DAC generator frequency -#define BUTTONS_R7KBI false //Author board buttons +//#define BUTTONS_R7KBI false //Author board buttons #endif //#define ADC_CLOCK 64320000 // ADC generator frequency eaeea?iaea ?anoiou aaia?aoi?a diff --git a/STM32/MDK-ARM/WOLF-Lite.uvoptx b/STM32/MDK-ARM/WOLF-Lite.uvoptx index 6038d9d..f0369fe 100644 --- a/STM32/MDK-ARM/WOLF-Lite.uvoptx +++ b/STM32/MDK-ARM/WOLF-Lite.uvoptx @@ -2943,7 +2943,7 @@ 1 0 - 0 + 1 18 @@ -4377,7 +4377,7 @@ 1 0 - 1 + 0 18