diff --git a/.gitignore b/.gitignore index e76aab9..ad9a130 100644 --- a/.gitignore +++ b/.gitignore @@ -2,6 +2,10 @@ FPGA/db/* FPGA/output_files/* FPGA/greybox_tmp/* FPGA/incremental_db/* +FPGA_61.440/db/* +FPGA_61.440/output_files/* +FPGA_61.440/greybox_tmp/* +FPGA_61.440/incremental_db/* STM32/MDK-ARM/WOLF-Lite/*.htm STM32/MDK-ARM/WOLF-Lite/*.hex STM32/Debug/* diff --git a/FPGA_61.440/DEBUG.sopcinfo b/FPGA_61.440/DEBUG.sopcinfo index 1942e23..1eb23ab 100644 --- a/FPGA_61.440/DEBUG.sopcinfo +++ b/FPGA_61.440/DEBUG.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1617214592 + 1644138403 false true false diff --git a/FPGA_61.440/DEBUG2.sopcinfo b/FPGA_61.440/DEBUG2.sopcinfo index 518ae3b..5aee1a5 100644 --- a/FPGA_61.440/DEBUG2.sopcinfo +++ b/FPGA_61.440/DEBUG2.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1617214606 + 1644138415 false true false diff --git a/FPGA_61.440/WOLF-LITE.bdf b/FPGA_61.440/WOLF-LITE.bdf index addbaeb..dbabfe1 100644 --- a/FPGA_61.440/WOLF-LITE.bdf +++ b/FPGA_61.440/WOLF-LITE.bdf @@ -512,6 +512,22 @@ refer to the applicable agreement for further details. ) (annotation_block (location)(rect 3688 48 3744 72)) ) +(pin + (output) + (rect 3416 1168 3592 1184) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "VCXO_PWM" (rect 90 0 151 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) (pin (bidir) (rect 3456 168 3632 184) @@ -1620,314 +1636,6 @@ refer to the applicable agreement for further details. (circle (rect 72 56 104 88)) ) ) -(symbol - (rect 3088 144 3376 704) - (text "stm32_interface" (rect 5 0 85 12)(font "Arial" )) - (text "STM32_INTERFACE" (rect 8 544 109 556)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "clk_in" (rect 0 0 28 12)(font "Arial" )) - (text "clk_in" (rect 21 27 49 39)(font "Arial" )) - (line (pt 0 32)(pt 16 32)) - ) - (port - (pt 0 48) - (input) - (text "RX_I[15..0]" (rect 0 0 55 12)(font "Arial" )) - (text "RX_I[15..0]" (rect 21 43 76 55)(font "Arial" )) - (line (pt 0 48)(pt 16 48)(line_width 3)) - ) - (port - (pt 0 64) - (input) - (text "RX_Q[15..0]" (rect 0 0 60 12)(font "Arial" )) - (text "RX_Q[15..0]" (rect 21 59 81 71)(font "Arial" )) - (line (pt 0 64)(pt 16 64)(line_width 3)) - ) - (port - (pt 0 80) - (input) - (text "DATA_SYNC" (rect 0 0 66 12)(font "Arial" )) - (text "DATA_SYNC" (rect 21 75 87 87)(font "Arial" )) - (line (pt 0 80)(pt 16 80)) - ) - (port - (pt 0 96) - (input) - (text "ADC_OTR" (rect 0 0 51 12)(font "Arial" )) - (text "ADC_OTR" (rect 21 91 72 103)(font "Arial" )) - (line (pt 0 96)(pt 16 96)) - ) - (port - (pt 0 112) - (input) - (text "DAC_OTR" (rect 0 0 51 12)(font "Arial" )) - (text "DAC_OTR" (rect 21 107 72 119)(font "Arial" )) - (line (pt 0 112)(pt 16 112)) - ) - (port - (pt 0 128) - (input) - (text "ADC_IN[11..0]" (rect 0 0 73 12)(font "Arial" )) - (text "ADC_IN[11..0]" (rect 21 123 94 135)(font "Arial" )) - (line (pt 0 128)(pt 16 128)(line_width 3)) - ) - (port - (pt 0 144) - (input) - (text "adcclk_in" (rect 0 0 46 12)(font "Arial" )) - (text "adcclk_in" (rect 21 139 67 151)(font "Arial" )) - (line (pt 0 144)(pt 16 144)) - ) - (port - (pt 0 160) - (input) - (text "FLASH_data_in[7..0]" (rect 0 0 102 12)(font "Arial" )) - (text "FLASH_data_in[7..0]" (rect 21 155 123 167)(font "Arial" )) - (line (pt 0 160)(pt 16 160)(line_width 3)) - ) - (port - (pt 0 176) - (input) - (text "FLASH_busy" (rect 0 0 66 12)(font "Arial" )) - (text "FLASH_busy" (rect 21 171 87 183)(font "Arial" )) - (line (pt 0 176)(pt 16 176)) - ) - (port - (pt 0 192) - (input) - (text "IQ_valid" (rect 0 0 41 12)(font "Arial" )) - (text "IQ_valid" (rect 21 187 62 199)(font "Arial" )) - (line (pt 0 192)(pt 16 192)) - ) - (port - (pt 288 48) - (output) - (text "NCO_freq[21..0]" (rect 0 0 82 12)(font "Arial" )) - (text "NCO_freq[21..0]" (rect 198 43 280 55)(font "Arial" )) - (line (pt 288 48)(pt 272 48)(line_width 3)) - ) - (port - (pt 288 64) - (output) - (text "preamp_enable" (rect 0 0 74 12)(font "Arial" )) - (text "preamp_enable" (rect 205 59 279 71)(font "Arial" )) - (line (pt 288 64)(pt 272 64)) - ) - (port - (pt 288 80) - (output) - (text "rx" (rect 0 0 9 12)(font "Arial" )) - (text "rx" (rect 260 75 269 87)(font "Arial" )) - (line (pt 288 80)(pt 272 80)) - ) - (port - (pt 288 96) - (output) - (text "tx" (rect 0 0 9 12)(font "Arial" )) - (text "tx" (rect 260 91 269 103)(font "Arial" )) - (line (pt 288 96)(pt 272 96)) - ) - (port - (pt 288 112) - (output) - (text "TX_I[15..0]" (rect 0 0 53 12)(font "Arial" )) - (text "TX_I[15..0]" (rect 223 107 276 119)(font "Arial" )) - (line (pt 288 112)(pt 272 112)(line_width 3)) - ) - (port - (pt 288 128) - (output) - (text "TX_Q[15..0]" (rect 0 0 57 12)(font "Arial" )) - (text "TX_Q[15..0]" (rect 219 123 276 135)(font "Arial" )) - (line (pt 288 128)(pt 272 128)(line_width 3)) - ) - (port - (pt 288 144) - (output) - (text "reset_n" (rect 0 0 36 12)(font "Arial" )) - (text "reset_n" (rect 237 139 273 151)(font "Arial" )) - (line (pt 288 144)(pt 272 144)) - ) - (port - (pt 288 160) - (output) - (text "stage_debug[15..0]" (rect 0 0 94 12)(font "Arial" )) - (text "stage_debug[15..0]" (rect 188 155 282 167)(font "Arial" )) - (line (pt 288 160)(pt 272 160)(line_width 3)) - ) - (port - (pt 288 176) - (output) - (text "FLASH_data_out[7..0]" (rect 0 0 109 12)(font "Arial" )) - (text "FLASH_data_out[7..0]" (rect 175 171 284 183)(font "Arial" )) - (line (pt 288 176)(pt 272 176)(line_width 3)) - ) - (port - (pt 288 192) - (output) - (text "FLASH_enable" (rect 0 0 73 12)(font "Arial" )) - (text "FLASH_enable" (rect 206 187 279 199)(font "Arial" )) - (line (pt 288 192)(pt 272 192)) - ) - (port - (pt 288 208) - (output) - (text "FLASH_continue_read" (rect 0 0 109 12)(font "Arial" )) - (text "FLASH_continue_read" (rect 175 203 284 215)(font "Arial" )) - (line (pt 288 208)(pt 272 208)) - ) - (port - (pt 288 224) - (output) - (text "CIC_GAIN[7..0]" (rect 0 0 79 12)(font "Arial" )) - (text "CIC_GAIN[7..0]" (rect 201 219 280 231)(font "Arial" )) - (line (pt 288 224)(pt 272 224)(line_width 3)) - ) - (port - (pt 288 240) - (output) - (text "CICFIR_GAIN[7..0]" (rect 0 0 97 12)(font "Arial" )) - (text "CICFIR_GAIN[7..0]" (rect 185 235 282 247)(font "Arial" )) - (line (pt 288 240)(pt 272 240)(line_width 3)) - ) - (port - (pt 288 256) - (output) - (text "TX_CICFIR_GAIN[7..0]" (rect 0 0 115 12)(font "Arial" )) - (text "TX_CICFIR_GAIN[7..0]" (rect 170 251 285 263)(font "Arial" )) - (line (pt 288 256)(pt 272 256)(line_width 3)) - ) - (port - (pt 288 272) - (output) - (text "DAC_GAIN[7..0]" (rect 0 0 82 12)(font "Arial" )) - (text "DAC_GAIN[7..0]" (rect 198 267 280 279)(font "Arial" )) - (line (pt 288 272)(pt 272 272)(line_width 3)) - ) - (port - (pt 288 288) - (output) - (text "tx_iq_valid" (rect 0 0 53 12)(font "Arial" )) - (text "tx_iq_valid" (rect 223 283 276 295)(font "Arial" )) - (line (pt 288 288)(pt 272 288)) - ) - (port - (pt 288 304) - (output) - (text "TX_NCO_freq[21..0]" (rect 0 0 100 12)(font "Arial" )) - (text "TX_NCO_freq[21..0]" (rect 183 299 283 311)(font "Arial" )) - (line (pt 288 304)(pt 272 304)(line_width 3)) - ) - (port - (pt 288 320) - (output) - (text "ATT_05" (rect 0 0 36 12)(font "Arial" )) - (text "ATT_05" (rect 237 315 273 327)(font "Arial" )) - (line (pt 288 320)(pt 272 320)) - ) - (port - (pt 288 336) - (output) - (text "ATT_1" (rect 0 0 30 12)(font "Arial" )) - (text "ATT_1" (rect 242 331 272 343)(font "Arial" )) - (line (pt 288 336)(pt 272 336)) - ) - (port - (pt 288 352) - (output) - (text "ATT_2" (rect 0 0 30 12)(font "Arial" )) - (text "ATT_2" (rect 242 347 272 359)(font "Arial" )) - (line (pt 288 352)(pt 272 352)) - ) - (port - (pt 288 368) - (output) - (text "ATT_4" (rect 0 0 30 12)(font "Arial" )) - (text "ATT_4" (rect 242 363 272 375)(font "Arial" )) - (line (pt 288 368)(pt 272 368)) - ) - (port - (pt 288 384) - (output) - (text "ATT_8" (rect 0 0 30 12)(font "Arial" )) - (text "ATT_8" (rect 242 379 272 391)(font "Arial" )) - (line (pt 288 384)(pt 272 384)) - ) - (port - (pt 288 400) - (output) - (text "ATT_16" (rect 0 0 36 12)(font "Arial" )) - (text "ATT_16" (rect 237 395 273 407)(font "Arial" )) - (line (pt 288 400)(pt 272 400)) - ) - (port - (pt 288 416) - (output) - (text "BPF_A" (rect 0 0 35 12)(font "Arial" )) - (text "BPF_A" (rect 238 411 273 423)(font "Arial" )) - (line (pt 288 416)(pt 272 416)) - ) - (port - (pt 288 432) - (output) - (text "BPF_B" (rect 0 0 34 12)(font "Arial" )) - (text "BPF_B" (rect 239 427 273 439)(font "Arial" )) - (line (pt 288 432)(pt 272 432)) - ) - (port - (pt 288 448) - (output) - (text "BPF_OE1" (rect 0 0 48 12)(font "Arial" )) - (text "BPF_OE1" (rect 227 443 275 455)(font "Arial" )) - (line (pt 288 448)(pt 272 448)) - ) - (port - (pt 288 464) - (output) - (text "BPF_OE2" (rect 0 0 48 12)(font "Arial" )) - (text "BPF_OE2" (rect 227 459 275 471)(font "Arial" )) - (line (pt 288 464)(pt 272 464)) - ) - (port - (pt 288 480) - (output) - (text "LPF_1" (rect 0 0 31 12)(font "Arial" )) - (text "LPF_1" (rect 241 475 272 487)(font "Arial" )) - (line (pt 288 480)(pt 272 480)) - ) - (port - (pt 288 496) - (output) - (text "LPF_2" (rect 0 0 31 12)(font "Arial" )) - (text "LPF_2" (rect 241 491 272 503)(font "Arial" )) - (line (pt 288 496)(pt 272 496)) - ) - (port - (pt 288 512) - (output) - (text "LPF_3" (rect 0 0 31 12)(font "Arial" )) - (text "LPF_3" (rect 241 507 272 519)(font "Arial" )) - (line (pt 288 512)(pt 272 512)) - ) - (port - (pt 288 32) - (bidir) - (text "DATA_BUS[7..0]" (rect 0 0 82 12)(font "Arial" )) - (text "DATA_BUS[7..0]" (rect 193 27 275 39)(font "Arial" )) - (line (pt 288 32)(pt 272 32)(line_width 3)) - ) - (parameter - "rx_buffer_length" - "7" - "" - (type "PARAMETER_SIGNED_DEC") ) - (drawing - (rectangle (rect 16 16 272 544)) - ) - (annotation_block (parameter)(rect 3088 96 3296 136)) -) (symbol (rect 4464 224 4768 368) (text "data_shifter" (rect 5 0 64 12)(font "Arial" )) @@ -2443,21 +2151,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[45..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[45..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) + (text "ast_source_data[45..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2535,21 +2243,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[45..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[45..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) + (text "ast_source_data[45..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2736,21 +2444,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[29..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[29..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) + (text "ast_source_data[29..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2828,21 +2536,21 @@ refer to the applicable agreement for further details. (pt 384 72) (output) (text "ast_source_data[29..0]" (rect 0 0 132 14)(font "Arial" (font_size 8))) - (text "ast_source_data[29..0]" (rect 268 61 379 75)(font "Arial" (font_size 8))) + (text "ast_source_data[29..0]" (rect 268 61 400 75)(font "Arial" (font_size 8))) (line (pt 384 72)(pt 224 72)(line_width 3)) ) (port (pt 384 88) (output) (text "ast_source_valid" (rect 0 0 97 14)(font "Arial" (font_size 8))) - (text "ast_source_valid" (rect 297 77 379 91)(font "Arial" (font_size 8))) + (text "ast_source_valid" (rect 297 77 394 91)(font "Arial" (font_size 8))) (line (pt 384 88)(pt 224 88)) ) (port (pt 384 104) (output) (text "ast_source_error[1..0]" (rect 0 0 128 14)(font "Arial" (font_size 8))) - (text "ast_source_error[1..0]" (rect 273 93 381 107)(font "Arial" (font_size 8))) + (text "ast_source_error[1..0]" (rect 273 93 401 107)(font "Arial" (font_size 8))) (line (pt 384 104)(pt 224 104)(line_width 3)) ) (drawing @@ -2877,6 +2585,350 @@ refer to the applicable agreement for further details. (line (pt 0 0)(pt 0 216)) ) ) +(symbol + (rect 3088 144 3376 704) + (text "stm32_interface" (rect 5 0 85 12)(font "Arial" )) + (text "STM32_INTERFACE" (rect 8 544 109 556)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk_in" (rect 0 0 28 12)(font "Arial" )) + (text "clk_in" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "RX_I[15..0]" (rect 0 0 55 12)(font "Arial" )) + (text "RX_I[15..0]" (rect 21 43 76 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "RX_Q[15..0]" (rect 0 0 60 12)(font "Arial" )) + (text "RX_Q[15..0]" (rect 21 59 81 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 3)) + ) + (port + (pt 0 80) + (input) + (text "DATA_SYNC" (rect 0 0 66 12)(font "Arial" )) + (text "DATA_SYNC" (rect 21 75 87 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "ADC_OTR" (rect 0 0 51 12)(font "Arial" )) + (text "ADC_OTR" (rect 21 91 72 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "DAC_OTR" (rect 0 0 51 12)(font "Arial" )) + (text "DAC_OTR" (rect 21 107 72 119)(font "Arial" )) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 0 128) + (input) + (text "ADC_IN[11..0]" (rect 0 0 73 12)(font "Arial" )) + (text "ADC_IN[11..0]" (rect 21 123 94 135)(font "Arial" )) + (line (pt 0 128)(pt 16 128)(line_width 3)) + ) + (port + (pt 0 144) + (input) + (text "adcclk_in" (rect 0 0 46 12)(font "Arial" )) + (text "adcclk_in" (rect 21 139 67 151)(font "Arial" )) + (line (pt 0 144)(pt 16 144)) + ) + (port + (pt 0 160) + (input) + (text "FLASH_data_in[7..0]" (rect 0 0 102 12)(font "Arial" )) + (text "FLASH_data_in[7..0]" (rect 21 155 123 167)(font "Arial" )) + (line (pt 0 160)(pt 16 160)(line_width 3)) + ) + (port + (pt 0 176) + (input) + (text "FLASH_busy" (rect 0 0 66 12)(font "Arial" )) + (text "FLASH_busy" (rect 21 171 87 183)(font "Arial" )) + (line (pt 0 176)(pt 16 176)) + ) + (port + (pt 0 192) + (input) + (text "IQ_valid" (rect 0 0 41 12)(font "Arial" )) + (text "IQ_valid" (rect 21 187 62 199)(font "Arial" )) + (line (pt 0 192)(pt 16 192)) + ) + (port + (pt 288 48) + (output) + (text "NCO_freq[21..0]" (rect 0 0 82 12)(font "Arial" )) + (text "NCO_freq[21..0]" (rect 198 43 267 55)(font "Arial" )) + (line (pt 288 48)(pt 272 48)(line_width 3)) + ) + (port + (pt 288 64) + (output) + (text "preamp_enable" (rect 0 0 74 12)(font "Arial" )) + (text "preamp_enable" (rect 205 59 267 71)(font "Arial" )) + (line (pt 288 64)(pt 272 64)) + ) + (port + (pt 288 80) + (output) + (text "rx" (rect 0 0 9 12)(font "Arial" )) + (text "rx" (rect 260 75 267 87)(font "Arial" )) + (line (pt 288 80)(pt 272 80)) + ) + (port + (pt 288 96) + (output) + (text "tx" (rect 0 0 9 12)(font "Arial" )) + (text "tx" (rect 260 91 267 103)(font "Arial" )) + (line (pt 288 96)(pt 272 96)) + ) + (port + (pt 288 112) + (output) + (text "TX_I[15..0]" (rect 0 0 53 12)(font "Arial" )) + (text "TX_I[15..0]" (rect 223 107 267 119)(font "Arial" )) + (line (pt 288 112)(pt 272 112)(line_width 3)) + ) + (port + (pt 288 128) + (output) + (text "TX_Q[15..0]" (rect 0 0 57 12)(font "Arial" )) + (text "TX_Q[15..0]" (rect 219 123 267 135)(font "Arial" )) + (line (pt 288 128)(pt 272 128)(line_width 3)) + ) + (port + (pt 288 144) + (output) + (text "reset_n" (rect 0 0 36 12)(font "Arial" )) + (text "reset_n" (rect 237 139 267 151)(font "Arial" )) + (line (pt 288 144)(pt 272 144)) + ) + (port + (pt 288 160) + (output) + (text "stage_debug[15..0]" (rect 0 0 94 12)(font "Arial" )) + (text "stage_debug[15..0]" (rect 188 155 267 167)(font "Arial" )) + (line (pt 288 160)(pt 272 160)(line_width 3)) + ) + (port + (pt 288 176) + (output) + (text "FLASH_data_out[7..0]" (rect 0 0 109 12)(font "Arial" )) + (text "FLASH_data_out[7..0]" (rect 175 171 267 183)(font "Arial" )) + (line (pt 288 176)(pt 272 176)(line_width 3)) + ) + (port + (pt 288 192) + (output) + (text "FLASH_enable" (rect 0 0 73 12)(font "Arial" )) + (text "FLASH_enable" (rect 206 187 267 199)(font "Arial" )) + (line (pt 288 192)(pt 272 192)) + ) + (port + (pt 288 208) + (output) + (text "FLASH_continue_read" (rect 0 0 109 12)(font "Arial" )) + (text "FLASH_continue_read" (rect 175 203 267 215)(font "Arial" )) + (line (pt 288 208)(pt 272 208)) + ) + (port + (pt 288 224) + (output) + (text "CIC_GAIN[7..0]" (rect 0 0 79 12)(font "Arial" )) + (text "CIC_GAIN[7..0]" (rect 201 219 267 231)(font "Arial" )) + (line (pt 288 224)(pt 272 224)(line_width 3)) + ) + (port + (pt 288 240) + (output) + (text "CICFIR_GAIN[7..0]" (rect 0 0 97 12)(font "Arial" )) + (text "CICFIR_GAIN[7..0]" (rect 185 235 267 247)(font "Arial" )) + (line (pt 288 240)(pt 272 240)(line_width 3)) + ) + (port + (pt 288 256) + (output) + (text "TX_CICFIR_GAIN[7..0]" (rect 0 0 115 12)(font "Arial" )) + (text "TX_CICFIR_GAIN[7..0]" (rect 170 251 267 263)(font "Arial" )) + (line (pt 288 256)(pt 272 256)(line_width 3)) + ) + (port + (pt 288 272) + (output) + (text "DAC_GAIN[7..0]" (rect 0 0 82 12)(font "Arial" )) + (text "DAC_GAIN[7..0]" (rect 198 267 267 279)(font "Arial" )) + (line (pt 288 272)(pt 272 272)(line_width 3)) + ) + (port + (pt 288 288) + (output) + (text "tx_iq_valid" (rect 0 0 53 12)(font "Arial" )) + (text "tx_iq_valid" (rect 223 283 267 295)(font "Arial" )) + (line (pt 288 288)(pt 272 288)) + ) + (port + (pt 288 304) + (output) + (text "TX_NCO_freq[21..0]" (rect 0 0 100 12)(font "Arial" )) + (text "TX_NCO_freq[21..0]" (rect 183 299 267 311)(font "Arial" )) + (line (pt 288 304)(pt 272 304)(line_width 3)) + ) + (port + (pt 288 320) + (output) + (text "ATT_05" (rect 0 0 36 12)(font "Arial" )) + (text "ATT_05" (rect 237 315 267 327)(font "Arial" )) + (line (pt 288 320)(pt 272 320)) + ) + (port + (pt 288 336) + (output) + (text "ATT_1" (rect 0 0 30 12)(font "Arial" )) + (text "ATT_1" (rect 242 331 267 343)(font "Arial" )) + (line (pt 288 336)(pt 272 336)) + ) + (port + (pt 288 352) + (output) + (text "ATT_2" (rect 0 0 30 12)(font "Arial" )) + (text "ATT_2" (rect 242 347 267 359)(font "Arial" )) + (line (pt 288 352)(pt 272 352)) + ) + (port + (pt 288 368) + (output) + (text "ATT_4" (rect 0 0 30 12)(font "Arial" )) + (text "ATT_4" (rect 242 363 267 375)(font "Arial" )) + (line (pt 288 368)(pt 272 368)) + ) + (port + (pt 288 384) + (output) + (text "ATT_8" (rect 0 0 30 12)(font "Arial" )) + (text "ATT_8" (rect 242 379 267 391)(font "Arial" )) + (line (pt 288 384)(pt 272 384)) + ) + (port + (pt 288 400) + (output) + (text "ATT_16" (rect 0 0 36 12)(font "Arial" )) + (text "ATT_16" (rect 237 395 267 407)(font "Arial" )) + (line (pt 288 400)(pt 272 400)) + ) + (port + (pt 288 416) + (output) + (text "BPF_A" (rect 0 0 35 12)(font "Arial" )) + (text "BPF_A" (rect 238 411 267 423)(font "Arial" )) + (line (pt 288 416)(pt 272 416)) + ) + (port + (pt 288 432) + (output) + (text "BPF_B" (rect 0 0 34 12)(font "Arial" )) + (text "BPF_B" (rect 239 427 267 439)(font "Arial" )) + (line (pt 288 432)(pt 272 432)) + ) + (port + (pt 288 448) + (output) + (text "BPF_OE1" (rect 0 0 48 12)(font "Arial" )) + (text "BPF_OE1" (rect 227 443 267 455)(font "Arial" )) + (line (pt 288 448)(pt 272 448)) + ) + (port + (pt 288 464) + (output) + (text "BPF_OE2" (rect 0 0 48 12)(font "Arial" )) + (text "BPF_OE2" (rect 227 459 267 471)(font "Arial" )) + (line (pt 288 464)(pt 272 464)) + ) + (port + (pt 288 480) + (output) + (text "LPF_1" (rect 0 0 31 12)(font "Arial" )) + (text "LPF_1" (rect 241 475 267 487)(font "Arial" )) + (line (pt 288 480)(pt 272 480)) + ) + (port + (pt 288 496) + (output) + (text "LPF_2" (rect 0 0 31 12)(font "Arial" )) + (text "LPF_2" (rect 241 491 267 503)(font "Arial" )) + (line (pt 288 496)(pt 272 496)) + ) + (port + (pt 288 512) + (output) + (text "LPF_3" (rect 0 0 31 12)(font "Arial" )) + (text "LPF_3" (rect 241 507 267 519)(font "Arial" )) + (line (pt 288 512)(pt 272 512)) + ) + (port + (pt 288 528) + (output) + (text "VCXO_correction[15..0]" (rect 0 0 115 12)(font "Arial" )) + (text "VCXO_correction[15..0]" (rect 170 523 267 535)(font "Arial" )) + (line (pt 288 528)(pt 272 528)(line_width 3)) + ) + (port + (pt 288 32) + (bidir) + (text "DATA_BUS[7..0]" (rect 0 0 82 12)(font "Arial" )) + (text "DATA_BUS[7..0]" (rect 193 27 275 39)(font "Arial" )) + (line (pt 288 32)(pt 272 32)(line_width 3)) + ) + (parameter + "rx_buffer_length" + "7" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 272 544)) + ) + (annotation_block (parameter)(rect 3088 96 3296 136)) +) +(symbol + (rect 3080 1144 3304 1224) + (text "vcxo_controller" (rect 5 0 80 12)(font "Arial" )) + (text "VCXO_PWM_CONTROLLER" (rect 8 64 144 81)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "pwm_clk_in" (rect 0 0 56 12)(font "Arial" )) + (text "pwm_clk_in" (rect 21 27 77 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "VCXO_correction[15..0]" (rect 0 0 115 12)(font "Arial" )) + (text "VCXO_correction[15..0]" (rect 21 43 136 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 224 32) + (output) + (text "pump" (rect 0 0 27 12)(font "Arial" )) + (text "pump" (rect 181 27 208 39)(font "Arial" )) + (line (pt 224 32)(pt 208 32)) + ) + (drawing + (rectangle (rect 16 16 208 64)) + ) +) (connector (pt 528 32) (pt 440 32) @@ -4117,10 +4169,6 @@ refer to the applicable agreement for further details. (pt 3048 784) (pt 3048 904) ) -(connector - (pt 3048 904) - (pt 3048 1008) -) (connector (pt 440 -104) (pt 520 -104) @@ -4263,6 +4311,34 @@ refer to the applicable agreement for further details. (pt 1216 192) (bus) ) +(connector + (pt 3080 1176) + (pt 3048 1176) +) +(connector + (pt 3048 904) + (pt 3048 1008) +) +(connector + (pt 3048 1008) + (pt 3048 1176) +) +(connector + (text "VCXO_correction[15..0]" (rect 2954 1176 3064 1193)(font "Intel Clear" )) + (pt 3080 1192) + (pt 2944 1192) + (bus) +) +(connector + (pt 3304 1176) + (pt 3416 1176) +) +(connector + (text "VCXO_correction[15..0]" (rect 3498 672 3608 689)(font "Intel Clear" )) + (pt 3376 672) + (pt 3536 672) + (bus) +) (junction (pt 376 120)) (junction (pt 440 32)) (junction (pt 440 448)) @@ -4289,4 +4365,5 @@ refer to the applicable agreement for further details. (junction (pt 3472 104)) (junction (pt 3048 904)) (junction (pt 440 -32)) +(junction (pt 3048 1008)) (text "153.6mhz" (rect 3328 760 3388 779)(font "Intel Clear" (font_size 8))) diff --git a/FPGA_61.440/WOLF-LITE.qsf b/FPGA_61.440/WOLF-LITE.qsf index db37c19..0cdccb9 100644 --- a/FPGA_61.440/WOLF-LITE.qsf +++ b/FPGA_61.440/WOLF-LITE.qsf @@ -321,4 +321,6 @@ set_global_assignment -name SIP_FILE tx_ciccomp.sip set_global_assignment -name QIP_FILE diffclock_buff.qip set_global_assignment -name QIP_FILE dcdc_pll.qip set_global_assignment -name QIP_FILE tx_pll.qip +set_location_assignment PIN_143 -to VCXO_PWM +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VCXO_PWM set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/FPGA_61.440/WOLF-LITE.qws b/FPGA_61.440/WOLF-LITE.qws index 0eb7078..9bab9f5 100644 Binary files a/FPGA_61.440/WOLF-LITE.qws and b/FPGA_61.440/WOLF-LITE.qws differ diff --git a/FPGA_61.440/clock_buffer.sopcinfo b/FPGA_61.440/clock_buffer.sopcinfo index c350629..6909a29 100644 --- a/FPGA_61.440/clock_buffer.sopcinfo +++ b/FPGA_61.440/clock_buffer.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1617214510 + 1644138337 false true false diff --git a/FPGA_61.440/db/.cmp.kpt b/FPGA_61.440/db/.cmp.kpt deleted file mode 100644 index c0b1cc4..0000000 Binary files a/FPGA_61.440/db/.cmp.kpt and /dev/null differ diff --git a/FPGA_61.440/db/MAIN_PLL_altpll.v b/FPGA_61.440/db/MAIN_PLL_altpll.v deleted file mode 100644 index a9994b0..0000000 --- a/FPGA_61.440/db/MAIN_PLL_altpll.v +++ /dev/null @@ -1,96 +0,0 @@ -//altpll bandwidth_type="AUTO" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" clk0_divide_by=5 clk0_duty_cycle=50 clk0_multiply_by=1 clk0_phase_shift="0" clk1_divide_by=1280 clk1_duty_cycle=50 clk1_multiply_by=1 clk1_phase_shift="0" compensate_clock="CLK0" device_family="Cyclone IV E" inclk0_input_frequency=16276 intended_device_family="Cyclone IV E" lpm_hint="CBX_MODULE_PREFIX=MAIN_PLL" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_USED" port_clk2="PORT_UNUSED" port_clk3="PORT_UNUSED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 clk inclk CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 -//VERSION_BEGIN 18.1 cbx_altclkbuf 2018:09:12:13:04:24:SJ cbx_altiobuf_bidir 2018:09:12:13:04:24:SJ cbx_altiobuf_in 2018:09:12:13:04:24:SJ cbx_altiobuf_out 2018:09:12:13:04:24:SJ cbx_altpll 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END -//CBXI_INSTANCE_NAME="WOLF_LITE_MAIN_PLL_MAIN_PLL_altpll_altpll_component" -// synthesis VERILOG_INPUT_VERSION VERILOG_2001 -// altera message_off 10463 - - - -// Copyright (C) 2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details. - - - -//synthesis_resources = cycloneive_pll 1 -//synopsys translate_off -`timescale 1 ps / 1 ps -//synopsys translate_on -module MAIN_PLL_altpll - ( - clk, - inclk) /* synthesis synthesis_clearbox=1 */; - output [4:0] clk; - input [1:0] inclk; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri0 [1:0] inclk; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - - wire [4:0] wire_pll1_clk; - wire wire_pll1_fbout; - - cycloneive_pll pll1 - ( - .activeclock(), - .clk(wire_pll1_clk), - .clkbad(), - .fbin(wire_pll1_fbout), - .fbout(wire_pll1_fbout), - .inclk(inclk), - .locked(), - .phasedone(), - .scandataout(), - .scandone(), - .vcooverrange(), - .vcounderrange() - `ifndef FORMAL_VERIFICATION - // synopsys translate_off - `endif - , - .areset(1'b0), - .clkswitch(1'b0), - .configupdate(1'b0), - .pfdena(1'b1), - .phasecounterselect({3{1'b0}}), - .phasestep(1'b0), - .phaseupdown(1'b0), - .scanclk(1'b0), - .scanclkena(1'b1), - .scandata(1'b0) - `ifndef FORMAL_VERIFICATION - // synopsys translate_on - `endif - ); - defparam - pll1.bandwidth_type = "auto", - pll1.clk0_divide_by = 5, - pll1.clk0_duty_cycle = 50, - pll1.clk0_multiply_by = 1, - pll1.clk0_phase_shift = "0", - pll1.clk1_divide_by = 1280, - pll1.clk1_duty_cycle = 50, - pll1.clk1_multiply_by = 1, - pll1.clk1_phase_shift = "0", - pll1.compensate_clock = "clk0", - pll1.inclk0_input_frequency = 16276, - pll1.operation_mode = "normal", - pll1.pll_type = "auto", - pll1.lpm_type = "cycloneive_pll"; - assign - clk = {wire_pll1_clk[4:0]}; -endmodule //MAIN_PLL_altpll -//VALID FILE diff --git a/FPGA_61.440/db/WOLF-LITE.(0).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(0).cnf.cdb deleted file mode 100644 index 00bc242..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(0).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(0).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(0).cnf.hdb deleted file mode 100644 index af9c3d0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(0).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(1).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(1).cnf.cdb deleted file mode 100644 index ae034ac..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(1).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(1).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(1).cnf.hdb deleted file mode 100644 index c3cba15..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(1).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(10).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(10).cnf.cdb deleted file mode 100644 index 554a976..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(10).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(10).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(10).cnf.hdb deleted file mode 100644 index a39b5e5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(10).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(100).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(100).cnf.cdb deleted file mode 100644 index c959707..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(100).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(100).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(100).cnf.hdb deleted file mode 100644 index 8d517b3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(100).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(101).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(101).cnf.cdb deleted file mode 100644 index 1e52748..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(101).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(101).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(101).cnf.hdb deleted file mode 100644 index 20c973e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(101).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(102).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(102).cnf.cdb deleted file mode 100644 index 475ab32..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(102).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(102).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(102).cnf.hdb deleted file mode 100644 index 580d979..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(102).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(103).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(103).cnf.cdb deleted file mode 100644 index 3dfbfdd..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(103).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(103).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(103).cnf.hdb deleted file mode 100644 index 7a35db1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(103).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(104).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(104).cnf.cdb deleted file mode 100644 index cb7b33c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(104).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(104).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(104).cnf.hdb deleted file mode 100644 index 3d9e5cf..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(104).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(105).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(105).cnf.cdb deleted file mode 100644 index f9d0eb1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(105).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(105).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(105).cnf.hdb deleted file mode 100644 index 63888d2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(105).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(106).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(106).cnf.cdb deleted file mode 100644 index 818bf9c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(106).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(106).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(106).cnf.hdb deleted file mode 100644 index b958c98..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(106).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(107).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(107).cnf.cdb deleted file mode 100644 index baf7b68..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(107).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(107).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(107).cnf.hdb deleted file mode 100644 index e8182ed..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(107).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(108).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(108).cnf.cdb deleted file mode 100644 index 2e6c452..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(108).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(108).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(108).cnf.hdb deleted file mode 100644 index 3404bb4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(108).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(109).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(109).cnf.cdb deleted file mode 100644 index e797927..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(109).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(109).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(109).cnf.hdb deleted file mode 100644 index c11572b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(109).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(11).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(11).cnf.cdb deleted file mode 100644 index c3b8a66..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(11).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(11).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(11).cnf.hdb deleted file mode 100644 index 3ee2c6f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(11).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(110).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(110).cnf.cdb deleted file mode 100644 index 30e5791..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(110).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(110).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(110).cnf.hdb deleted file mode 100644 index 1067fa8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(110).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(111).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(111).cnf.cdb deleted file mode 100644 index 058d582..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(111).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(111).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(111).cnf.hdb deleted file mode 100644 index 6c166bd..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(111).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(112).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(112).cnf.cdb deleted file mode 100644 index d9c1787..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(112).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(112).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(112).cnf.hdb deleted file mode 100644 index 5526fa7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(112).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(113).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(113).cnf.cdb deleted file mode 100644 index e5fa69c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(113).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(113).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(113).cnf.hdb deleted file mode 100644 index 4a44841..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(113).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(114).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(114).cnf.cdb deleted file mode 100644 index eca81f5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(114).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(114).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(114).cnf.hdb deleted file mode 100644 index 5f6463a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(114).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(115).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(115).cnf.cdb deleted file mode 100644 index 68baf5e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(115).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(115).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(115).cnf.hdb deleted file mode 100644 index f778a99..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(115).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(116).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(116).cnf.cdb deleted file mode 100644 index cea1cc5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(116).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(116).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(116).cnf.hdb deleted file mode 100644 index 7b37895..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(116).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(117).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(117).cnf.cdb deleted file mode 100644 index d92254d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(117).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(117).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(117).cnf.hdb deleted file mode 100644 index 5af485d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(117).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(118).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(118).cnf.cdb deleted file mode 100644 index e599809..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(118).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(118).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(118).cnf.hdb deleted file mode 100644 index b975ded..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(118).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(119).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(119).cnf.cdb deleted file mode 100644 index 4698e92..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(119).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(119).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(119).cnf.hdb deleted file mode 100644 index 5b762e2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(119).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(12).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(12).cnf.cdb deleted file mode 100644 index b37ac55..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(12).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(12).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(12).cnf.hdb deleted file mode 100644 index b7f3c43..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(12).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(120).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(120).cnf.cdb deleted file mode 100644 index c797f58..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(120).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(120).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(120).cnf.hdb deleted file mode 100644 index 0ad13d1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(120).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(121).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(121).cnf.cdb deleted file mode 100644 index b39a1ba..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(121).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(121).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(121).cnf.hdb deleted file mode 100644 index a3589dd..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(121).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(122).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(122).cnf.cdb deleted file mode 100644 index 1bae925..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(122).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(122).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(122).cnf.hdb deleted file mode 100644 index 303b93f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(122).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(123).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(123).cnf.cdb deleted file mode 100644 index 20ab197..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(123).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(123).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(123).cnf.hdb deleted file mode 100644 index f84227f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(123).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(124).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(124).cnf.cdb deleted file mode 100644 index 752c562..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(124).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(124).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(124).cnf.hdb deleted file mode 100644 index 9e726b3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(124).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(125).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(125).cnf.cdb deleted file mode 100644 index 54f28fe..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(125).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(125).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(125).cnf.hdb deleted file mode 100644 index a38a5ff..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(125).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(126).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(126).cnf.cdb deleted file mode 100644 index 070b803..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(126).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(126).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(126).cnf.hdb deleted file mode 100644 index e4f2118..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(126).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(127).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(127).cnf.cdb deleted file mode 100644 index 96c0f53..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(127).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(127).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(127).cnf.hdb deleted file mode 100644 index d57f2c4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(127).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(128).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(128).cnf.cdb deleted file mode 100644 index eff9de3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(128).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(128).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(128).cnf.hdb deleted file mode 100644 index 503fd20..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(128).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(129).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(129).cnf.cdb deleted file mode 100644 index 2991238..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(129).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(129).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(129).cnf.hdb deleted file mode 100644 index cdbbc77..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(129).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(13).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(13).cnf.cdb deleted file mode 100644 index 0822df9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(13).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(13).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(13).cnf.hdb deleted file mode 100644 index 14d0a54..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(13).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(130).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(130).cnf.cdb deleted file mode 100644 index 9970aa2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(130).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(130).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(130).cnf.hdb deleted file mode 100644 index 1f96f30..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(130).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(131).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(131).cnf.cdb deleted file mode 100644 index 427583c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(131).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(131).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(131).cnf.hdb deleted file mode 100644 index 04a59c5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(131).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(132).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(132).cnf.cdb deleted file mode 100644 index 4e357f7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(132).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(132).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(132).cnf.hdb deleted file mode 100644 index b824b0a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(132).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(133).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(133).cnf.cdb deleted file mode 100644 index 45eed6d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(133).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(133).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(133).cnf.hdb deleted file mode 100644 index 4ee5951..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(133).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(134).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(134).cnf.cdb deleted file mode 100644 index bffce72..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(134).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(134).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(134).cnf.hdb deleted file mode 100644 index 6fc3aa3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(134).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(135).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(135).cnf.cdb deleted file mode 100644 index be920b0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(135).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(135).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(135).cnf.hdb deleted file mode 100644 index f94c05a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(135).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(136).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(136).cnf.cdb deleted file mode 100644 index ebf62aa..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(136).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(136).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(136).cnf.hdb deleted file mode 100644 index 2dd9c79..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(136).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(137).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(137).cnf.cdb deleted file mode 100644 index ceaa301..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(137).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(137).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(137).cnf.hdb deleted file mode 100644 index 2dd9c79..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(137).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(138).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(138).cnf.cdb deleted file mode 100644 index a11bae3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(138).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(138).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(138).cnf.hdb deleted file mode 100644 index 5bd5cf9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(138).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(139).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(139).cnf.cdb deleted file mode 100644 index aa2e513..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(139).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(139).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(139).cnf.hdb deleted file mode 100644 index 2364193..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(139).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(14).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(14).cnf.cdb deleted file mode 100644 index cbc70f2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(14).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(14).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(14).cnf.hdb deleted file mode 100644 index 6521fca..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(14).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(140).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(140).cnf.cdb deleted file mode 100644 index c6646a9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(140).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(140).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(140).cnf.hdb deleted file mode 100644 index d180571..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(140).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(141).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(141).cnf.cdb deleted file mode 100644 index ce72a49..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(141).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(141).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(141).cnf.hdb deleted file mode 100644 index b78e9b7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(141).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(142).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(142).cnf.cdb deleted file mode 100644 index c149a73..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(142).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(142).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(142).cnf.hdb deleted file mode 100644 index d56e707..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(142).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(143).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(143).cnf.cdb deleted file mode 100644 index 0737b50..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(143).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(143).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(143).cnf.hdb deleted file mode 100644 index 08ea08b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(143).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(144).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(144).cnf.cdb deleted file mode 100644 index 18917e0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(144).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(144).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(144).cnf.hdb deleted file mode 100644 index 8d5f2a8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(144).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(145).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(145).cnf.cdb deleted file mode 100644 index 2e29924..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(145).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(145).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(145).cnf.hdb deleted file mode 100644 index b09b494..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(145).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(146).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(146).cnf.cdb deleted file mode 100644 index 5dc6083..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(146).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(146).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(146).cnf.hdb deleted file mode 100644 index f0bca06..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(146).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(147).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(147).cnf.cdb deleted file mode 100644 index fc45cbf..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(147).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(147).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(147).cnf.hdb deleted file mode 100644 index d051567..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(147).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(148).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(148).cnf.cdb deleted file mode 100644 index 88160b8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(148).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(148).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(148).cnf.hdb deleted file mode 100644 index 1241ff3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(148).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(149).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(149).cnf.cdb deleted file mode 100644 index b7ae370..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(149).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(149).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(149).cnf.hdb deleted file mode 100644 index f648991..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(149).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(15).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(15).cnf.cdb deleted file mode 100644 index 5f5ab80..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(15).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(15).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(15).cnf.hdb deleted file mode 100644 index 1fc560d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(15).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(150).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(150).cnf.cdb deleted file mode 100644 index d266094..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(150).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(150).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(150).cnf.hdb deleted file mode 100644 index ca8b4b3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(150).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(151).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(151).cnf.cdb deleted file mode 100644 index 7448125..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(151).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(151).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(151).cnf.hdb deleted file mode 100644 index ae8282e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(151).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(152).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(152).cnf.cdb deleted file mode 100644 index 16c2b6a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(152).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(152).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(152).cnf.hdb deleted file mode 100644 index ce5b31e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(152).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(153).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(153).cnf.cdb deleted file mode 100644 index fefac57..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(153).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(153).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(153).cnf.hdb deleted file mode 100644 index d8408a7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(153).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(154).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(154).cnf.cdb deleted file mode 100644 index 3b7b078..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(154).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(154).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(154).cnf.hdb deleted file mode 100644 index ae8282e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(154).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(155).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(155).cnf.cdb deleted file mode 100644 index 03ef94d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(155).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(155).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(155).cnf.hdb deleted file mode 100644 index f125062..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(155).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(156).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(156).cnf.cdb deleted file mode 100644 index fa50384..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(156).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(156).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(156).cnf.hdb deleted file mode 100644 index e600d87..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(156).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(157).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(157).cnf.cdb deleted file mode 100644 index fe1c3fa..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(157).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(157).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(157).cnf.hdb deleted file mode 100644 index adc8fda..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(157).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(158).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(158).cnf.cdb deleted file mode 100644 index 4ba1431..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(158).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(158).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(158).cnf.hdb deleted file mode 100644 index 3aed88f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(158).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(159).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(159).cnf.cdb deleted file mode 100644 index 11e2ba6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(159).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(159).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(159).cnf.hdb deleted file mode 100644 index 79243e2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(159).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(16).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(16).cnf.cdb deleted file mode 100644 index 4600235..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(16).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(16).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(16).cnf.hdb deleted file mode 100644 index 9e50fca..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(16).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(160).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(160).cnf.cdb deleted file mode 100644 index 240b4d1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(160).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(160).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(160).cnf.hdb deleted file mode 100644 index d814b65..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(160).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(161).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(161).cnf.cdb deleted file mode 100644 index 88ec178..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(161).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(161).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(161).cnf.hdb deleted file mode 100644 index 8727dd1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(161).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(162).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(162).cnf.cdb deleted file mode 100644 index 80c8d29..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(162).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(162).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(162).cnf.hdb deleted file mode 100644 index 6ce7a4f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(162).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(163).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(163).cnf.cdb deleted file mode 100644 index 7994d14..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(163).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(163).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(163).cnf.hdb deleted file mode 100644 index e0e2c45..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(163).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(164).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(164).cnf.cdb deleted file mode 100644 index d144fe4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(164).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(164).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(164).cnf.hdb deleted file mode 100644 index fcaac99..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(164).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(165).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(165).cnf.cdb deleted file mode 100644 index eb01ecf..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(165).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(165).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(165).cnf.hdb deleted file mode 100644 index 3c6fe62..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(165).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(166).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(166).cnf.cdb deleted file mode 100644 index a5da77f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(166).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(166).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(166).cnf.hdb deleted file mode 100644 index cf2fa4e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(166).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(167).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(167).cnf.cdb deleted file mode 100644 index 46118e6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(167).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(167).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(167).cnf.hdb deleted file mode 100644 index 567543b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(167).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(168).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(168).cnf.cdb deleted file mode 100644 index c978f03..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(168).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(168).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(168).cnf.hdb deleted file mode 100644 index d65d250..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(168).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(169).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(169).cnf.cdb deleted file mode 100644 index dd3ec09..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(169).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(169).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(169).cnf.hdb deleted file mode 100644 index be4765a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(169).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(17).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(17).cnf.cdb deleted file mode 100644 index 7eed9be..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(17).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(17).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(17).cnf.hdb deleted file mode 100644 index 6a665d6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(17).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(170).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(170).cnf.cdb deleted file mode 100644 index d2a134b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(170).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(170).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(170).cnf.hdb deleted file mode 100644 index a4c460e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(170).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(171).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(171).cnf.cdb deleted file mode 100644 index be6c4a3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(171).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(171).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(171).cnf.hdb deleted file mode 100644 index 3620521..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(171).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(172).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(172).cnf.cdb deleted file mode 100644 index da8bc44..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(172).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(172).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(172).cnf.hdb deleted file mode 100644 index 1b872b8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(172).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(173).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(173).cnf.cdb deleted file mode 100644 index a53b9bb..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(173).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(173).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(173).cnf.hdb deleted file mode 100644 index d5ac6c4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(173).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(174).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(174).cnf.cdb deleted file mode 100644 index 00865e9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(174).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(174).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(174).cnf.hdb deleted file mode 100644 index 74cfb65..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(174).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(175).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(175).cnf.cdb deleted file mode 100644 index 130b05e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(175).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(175).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(175).cnf.hdb deleted file mode 100644 index 08e0fd6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(175).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(176).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(176).cnf.cdb deleted file mode 100644 index b0d6aa9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(176).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(176).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(176).cnf.hdb deleted file mode 100644 index 7022ea2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(176).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(177).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(177).cnf.cdb deleted file mode 100644 index d596064..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(177).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(177).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(177).cnf.hdb deleted file mode 100644 index 565dee2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(177).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(178).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(178).cnf.cdb deleted file mode 100644 index bd04828..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(178).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(178).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(178).cnf.hdb deleted file mode 100644 index f7059e7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(178).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(179).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(179).cnf.cdb deleted file mode 100644 index 425dc46..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(179).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(179).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(179).cnf.hdb deleted file mode 100644 index aa3d277..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(179).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(18).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(18).cnf.cdb deleted file mode 100644 index 4754167..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(18).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(18).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(18).cnf.hdb deleted file mode 100644 index 98f8699..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(18).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(180).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(180).cnf.cdb deleted file mode 100644 index 41597d2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(180).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(180).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(180).cnf.hdb deleted file mode 100644 index d661284..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(180).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(181).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(181).cnf.cdb deleted file mode 100644 index 0853f35..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(181).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(181).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(181).cnf.hdb deleted file mode 100644 index a5ad9d9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(181).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(182).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(182).cnf.cdb deleted file mode 100644 index 849f1d2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(182).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(182).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(182).cnf.hdb deleted file mode 100644 index efaafaf..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(182).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(183).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(183).cnf.cdb deleted file mode 100644 index c2ada47..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(183).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(183).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(183).cnf.hdb deleted file mode 100644 index e1178f1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(183).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(184).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(184).cnf.cdb deleted file mode 100644 index de3773b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(184).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(184).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(184).cnf.hdb deleted file mode 100644 index 6284ba0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(184).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(185).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(185).cnf.cdb deleted file mode 100644 index 7c1ec60..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(185).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(185).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(185).cnf.hdb deleted file mode 100644 index 33e8750..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(185).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(186).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(186).cnf.cdb deleted file mode 100644 index 19beee7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(186).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(186).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(186).cnf.hdb deleted file mode 100644 index f9715bd..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(186).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(187).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(187).cnf.cdb deleted file mode 100644 index b43beb6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(187).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(187).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(187).cnf.hdb deleted file mode 100644 index 53f49a1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(187).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(188).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(188).cnf.cdb deleted file mode 100644 index 5ff8de2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(188).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(188).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(188).cnf.hdb deleted file mode 100644 index 77a84d5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(188).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(189).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(189).cnf.cdb deleted file mode 100644 index 341462f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(189).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(189).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(189).cnf.hdb deleted file mode 100644 index 853b54a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(189).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(19).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(19).cnf.cdb deleted file mode 100644 index 66a7ec6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(19).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(19).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(19).cnf.hdb deleted file mode 100644 index f3ccd62..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(19).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(190).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(190).cnf.cdb deleted file mode 100644 index 46afcc0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(190).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(190).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(190).cnf.hdb deleted file mode 100644 index 106886e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(190).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(191).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(191).cnf.cdb deleted file mode 100644 index 6dc56a2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(191).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(191).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(191).cnf.hdb deleted file mode 100644 index cefd452..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(191).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(192).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(192).cnf.cdb deleted file mode 100644 index 30d3e29..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(192).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(192).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(192).cnf.hdb deleted file mode 100644 index f52aba1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(192).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(193).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(193).cnf.cdb deleted file mode 100644 index 1e16c21..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(193).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(193).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(193).cnf.hdb deleted file mode 100644 index 0a448df..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(193).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(194).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(194).cnf.cdb deleted file mode 100644 index 2492f0b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(194).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(194).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(194).cnf.hdb deleted file mode 100644 index 8b4403a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(194).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(195).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(195).cnf.cdb deleted file mode 100644 index 9eedee9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(195).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(195).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(195).cnf.hdb deleted file mode 100644 index b57645a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(195).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(196).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(196).cnf.cdb deleted file mode 100644 index 8ad2286..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(196).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(196).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(196).cnf.hdb deleted file mode 100644 index 2d5406f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(196).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(197).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(197).cnf.cdb deleted file mode 100644 index 04610a6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(197).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(197).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(197).cnf.hdb deleted file mode 100644 index 4848ce2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(197).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(198).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(198).cnf.cdb deleted file mode 100644 index d636275..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(198).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(198).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(198).cnf.hdb deleted file mode 100644 index a8e4853..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(198).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(199).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(199).cnf.cdb deleted file mode 100644 index 554ac52..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(199).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(199).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(199).cnf.hdb deleted file mode 100644 index 5871d6f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(199).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(2).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(2).cnf.cdb deleted file mode 100644 index 600b26d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(2).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(2).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(2).cnf.hdb deleted file mode 100644 index 290d523..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(2).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(20).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(20).cnf.cdb deleted file mode 100644 index a8abc11..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(20).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(20).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(20).cnf.hdb deleted file mode 100644 index c32758c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(20).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(200).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(200).cnf.cdb deleted file mode 100644 index 4dce7a5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(200).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(200).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(200).cnf.hdb deleted file mode 100644 index 2309008..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(200).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(21).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(21).cnf.cdb deleted file mode 100644 index 506a79a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(21).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(21).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(21).cnf.hdb deleted file mode 100644 index ac4b03d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(21).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(22).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(22).cnf.cdb deleted file mode 100644 index 83be3ed..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(22).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(22).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(22).cnf.hdb deleted file mode 100644 index 4df46cc..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(22).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(23).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(23).cnf.cdb deleted file mode 100644 index 01b76d5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(23).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(23).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(23).cnf.hdb deleted file mode 100644 index 4df46cc..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(23).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(24).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(24).cnf.cdb deleted file mode 100644 index 3216166..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(24).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(24).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(24).cnf.hdb deleted file mode 100644 index bd43ae0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(24).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(25).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(25).cnf.cdb deleted file mode 100644 index 080b462..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(25).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(25).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(25).cnf.hdb deleted file mode 100644 index 80a56fd..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(25).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(26).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(26).cnf.cdb deleted file mode 100644 index 1c7f7b2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(26).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(26).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(26).cnf.hdb deleted file mode 100644 index 0f50c7e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(26).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(27).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(27).cnf.cdb deleted file mode 100644 index 4adc43a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(27).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(27).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(27).cnf.hdb deleted file mode 100644 index 6edc285..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(27).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(28).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(28).cnf.cdb deleted file mode 100644 index b5cbf20..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(28).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(28).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(28).cnf.hdb deleted file mode 100644 index b1f7d2d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(28).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(29).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(29).cnf.cdb deleted file mode 100644 index 90fd2ec..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(29).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(29).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(29).cnf.hdb deleted file mode 100644 index c58486c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(29).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(3).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(3).cnf.cdb deleted file mode 100644 index edbf127..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(3).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(3).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(3).cnf.hdb deleted file mode 100644 index ec96f02..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(3).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(30).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(30).cnf.cdb deleted file mode 100644 index 61d26c0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(30).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(30).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(30).cnf.hdb deleted file mode 100644 index d9d04c0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(30).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(31).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(31).cnf.cdb deleted file mode 100644 index bbefc08..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(31).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(31).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(31).cnf.hdb deleted file mode 100644 index f525eaf..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(31).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(32).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(32).cnf.cdb deleted file mode 100644 index 053d6bb..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(32).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(32).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(32).cnf.hdb deleted file mode 100644 index d38c315..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(32).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(33).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(33).cnf.cdb deleted file mode 100644 index 8b03bb1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(33).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(33).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(33).cnf.hdb deleted file mode 100644 index d38c315..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(33).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(34).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(34).cnf.cdb deleted file mode 100644 index 361b2d0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(34).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(34).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(34).cnf.hdb deleted file mode 100644 index 460ae6b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(34).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(35).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(35).cnf.cdb deleted file mode 100644 index dd02afa..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(35).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(35).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(35).cnf.hdb deleted file mode 100644 index 1a3de9c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(35).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(36).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(36).cnf.cdb deleted file mode 100644 index 3c561e6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(36).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(36).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(36).cnf.hdb deleted file mode 100644 index d1122c4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(36).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(37).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(37).cnf.cdb deleted file mode 100644 index e7351d7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(37).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(37).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(37).cnf.hdb deleted file mode 100644 index affa618..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(37).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(38).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(38).cnf.cdb deleted file mode 100644 index 77b2c16..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(38).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(38).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(38).cnf.hdb deleted file mode 100644 index 8a3fbe2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(38).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(39).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(39).cnf.cdb deleted file mode 100644 index c26cdfd..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(39).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(39).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(39).cnf.hdb deleted file mode 100644 index a224613..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(39).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(4).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(4).cnf.cdb deleted file mode 100644 index 771647c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(4).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(4).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(4).cnf.hdb deleted file mode 100644 index b1ca1d2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(4).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(40).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(40).cnf.cdb deleted file mode 100644 index 8473c15..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(40).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(40).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(40).cnf.hdb deleted file mode 100644 index 8154cef..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(40).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(41).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(41).cnf.cdb deleted file mode 100644 index 6285685..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(41).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(41).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(41).cnf.hdb deleted file mode 100644 index fc5e57a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(41).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(42).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(42).cnf.cdb deleted file mode 100644 index aacf2eb..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(42).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(42).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(42).cnf.hdb deleted file mode 100644 index 2454662..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(42).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(43).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(43).cnf.cdb deleted file mode 100644 index a5b8b6e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(43).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(43).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(43).cnf.hdb deleted file mode 100644 index fead16f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(43).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(44).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(44).cnf.cdb deleted file mode 100644 index 87f16d5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(44).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(44).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(44).cnf.hdb deleted file mode 100644 index d89a4d2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(44).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(45).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(45).cnf.cdb deleted file mode 100644 index 3e78a54..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(45).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(45).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(45).cnf.hdb deleted file mode 100644 index 8922a09..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(45).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(46).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(46).cnf.cdb deleted file mode 100644 index e78c249..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(46).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(46).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(46).cnf.hdb deleted file mode 100644 index 4f9b34d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(46).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(47).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(47).cnf.cdb deleted file mode 100644 index c7841d7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(47).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(47).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(47).cnf.hdb deleted file mode 100644 index 68a8172..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(47).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(48).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(48).cnf.cdb deleted file mode 100644 index b690d56..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(48).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(48).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(48).cnf.hdb deleted file mode 100644 index 4f706ab..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(48).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(49).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(49).cnf.cdb deleted file mode 100644 index 48c8934..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(49).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(49).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(49).cnf.hdb deleted file mode 100644 index 41e1436..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(49).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(5).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(5).cnf.cdb deleted file mode 100644 index 9e45602..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(5).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(5).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(5).cnf.hdb deleted file mode 100644 index a6df323..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(5).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(50).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(50).cnf.cdb deleted file mode 100644 index db68405..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(50).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(50).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(50).cnf.hdb deleted file mode 100644 index 9776d03..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(50).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(51).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(51).cnf.cdb deleted file mode 100644 index 4af5f97..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(51).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(51).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(51).cnf.hdb deleted file mode 100644 index 2ed5870..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(51).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(52).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(52).cnf.cdb deleted file mode 100644 index 65a4b89..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(52).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(52).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(52).cnf.hdb deleted file mode 100644 index b66b1d8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(52).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(53).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(53).cnf.cdb deleted file mode 100644 index 4f86aad..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(53).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(53).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(53).cnf.hdb deleted file mode 100644 index 8c7f330..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(53).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(54).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(54).cnf.cdb deleted file mode 100644 index 1a73a16..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(54).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(54).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(54).cnf.hdb deleted file mode 100644 index 5bd00d7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(54).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(55).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(55).cnf.cdb deleted file mode 100644 index 7ec1cf8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(55).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(55).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(55).cnf.hdb deleted file mode 100644 index 0b8954f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(55).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(56).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(56).cnf.cdb deleted file mode 100644 index 6f6e952..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(56).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(56).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(56).cnf.hdb deleted file mode 100644 index 587bf96..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(56).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(57).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(57).cnf.cdb deleted file mode 100644 index 0476673..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(57).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(57).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(57).cnf.hdb deleted file mode 100644 index fbd6cac..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(57).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(58).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(58).cnf.cdb deleted file mode 100644 index 0365448..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(58).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(58).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(58).cnf.hdb deleted file mode 100644 index f64f2e7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(58).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(59).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(59).cnf.cdb deleted file mode 100644 index 9fe3df5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(59).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(59).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(59).cnf.hdb deleted file mode 100644 index 49ce720..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(59).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(6).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(6).cnf.cdb deleted file mode 100644 index b7b4f2f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(6).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(6).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(6).cnf.hdb deleted file mode 100644 index 59aa293..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(6).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(60).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(60).cnf.cdb deleted file mode 100644 index 22d33d2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(60).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(60).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(60).cnf.hdb deleted file mode 100644 index 4690229..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(60).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(61).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(61).cnf.cdb deleted file mode 100644 index 86eadd1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(61).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(61).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(61).cnf.hdb deleted file mode 100644 index e7155a1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(61).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(62).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(62).cnf.cdb deleted file mode 100644 index cf9b3fa..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(62).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(62).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(62).cnf.hdb deleted file mode 100644 index fe1f66f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(62).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(63).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(63).cnf.cdb deleted file mode 100644 index df724d2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(63).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(63).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(63).cnf.hdb deleted file mode 100644 index 4d6eb71..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(63).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(64).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(64).cnf.cdb deleted file mode 100644 index 128ec27..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(64).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(64).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(64).cnf.hdb deleted file mode 100644 index 02af3d3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(64).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(65).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(65).cnf.cdb deleted file mode 100644 index 1cefa2b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(65).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(65).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(65).cnf.hdb deleted file mode 100644 index 66a32a8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(65).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(66).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(66).cnf.cdb deleted file mode 100644 index 9952d9c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(66).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(66).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(66).cnf.hdb deleted file mode 100644 index fc6e324..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(66).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(67).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(67).cnf.cdb deleted file mode 100644 index 7ce0984..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(67).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(67).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(67).cnf.hdb deleted file mode 100644 index 7f3d8ec..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(67).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(68).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(68).cnf.cdb deleted file mode 100644 index 3288ca4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(68).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(68).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(68).cnf.hdb deleted file mode 100644 index 6305242..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(68).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(69).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(69).cnf.cdb deleted file mode 100644 index a626a6d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(69).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(69).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(69).cnf.hdb deleted file mode 100644 index f0bca06..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(69).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(7).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(7).cnf.cdb deleted file mode 100644 index eb19088..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(7).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(7).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(7).cnf.hdb deleted file mode 100644 index 0dc340b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(7).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(70).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(70).cnf.cdb deleted file mode 100644 index 4fa19a2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(70).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(70).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(70).cnf.hdb deleted file mode 100644 index 8713697..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(70).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(71).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(71).cnf.cdb deleted file mode 100644 index 966fbf3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(71).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(71).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(71).cnf.hdb deleted file mode 100644 index f48ef2f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(71).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(72).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(72).cnf.cdb deleted file mode 100644 index cdacb22..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(72).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(72).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(72).cnf.hdb deleted file mode 100644 index 04b0923..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(72).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(73).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(73).cnf.cdb deleted file mode 100644 index 3281635..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(73).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(73).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(73).cnf.hdb deleted file mode 100644 index e2de060..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(73).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(74).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(74).cnf.cdb deleted file mode 100644 index dee5901..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(74).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(74).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(74).cnf.hdb deleted file mode 100644 index e162c03..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(74).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(75).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(75).cnf.cdb deleted file mode 100644 index 8e22e50..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(75).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(75).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(75).cnf.hdb deleted file mode 100644 index 551f990..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(75).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(76).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(76).cnf.cdb deleted file mode 100644 index 61cd1f2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(76).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(76).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(76).cnf.hdb deleted file mode 100644 index 167a609..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(76).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(77).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(77).cnf.cdb deleted file mode 100644 index a75cd1d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(77).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(77).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(77).cnf.hdb deleted file mode 100644 index 18894cf..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(77).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(78).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(78).cnf.cdb deleted file mode 100644 index d94c581..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(78).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(78).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(78).cnf.hdb deleted file mode 100644 index 551f990..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(78).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(79).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(79).cnf.cdb deleted file mode 100644 index 05ec13f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(79).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(79).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(79).cnf.hdb deleted file mode 100644 index 59d61b1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(79).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(8).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(8).cnf.cdb deleted file mode 100644 index d313a7b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(8).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(8).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(8).cnf.hdb deleted file mode 100644 index d534d9a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(8).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(80).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(80).cnf.cdb deleted file mode 100644 index 3d2b62a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(80).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(80).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(80).cnf.hdb deleted file mode 100644 index 5d325a6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(80).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(81).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(81).cnf.cdb deleted file mode 100644 index 8cf87a5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(81).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(81).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(81).cnf.hdb deleted file mode 100644 index 896ee01..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(81).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(82).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(82).cnf.cdb deleted file mode 100644 index d178505..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(82).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(82).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(82).cnf.hdb deleted file mode 100644 index bd2f5ed..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(82).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(83).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(83).cnf.cdb deleted file mode 100644 index 4446bd3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(83).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(83).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(83).cnf.hdb deleted file mode 100644 index 687584b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(83).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(84).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(84).cnf.cdb deleted file mode 100644 index b107bea..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(84).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(84).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(84).cnf.hdb deleted file mode 100644 index e6cbce4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(84).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(85).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(85).cnf.cdb deleted file mode 100644 index fe80f96..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(85).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(85).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(85).cnf.hdb deleted file mode 100644 index 7badbd0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(85).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(86).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(86).cnf.cdb deleted file mode 100644 index ce967af..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(86).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(86).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(86).cnf.hdb deleted file mode 100644 index bcb2b5c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(86).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(87).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(87).cnf.cdb deleted file mode 100644 index ac437b9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(87).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(87).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(87).cnf.hdb deleted file mode 100644 index c82ed65..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(87).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(88).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(88).cnf.cdb deleted file mode 100644 index 2908380..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(88).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(88).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(88).cnf.hdb deleted file mode 100644 index fcaac99..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(88).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(89).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(89).cnf.cdb deleted file mode 100644 index 38f0d9d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(89).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(89).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(89).cnf.hdb deleted file mode 100644 index 86b3824..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(89).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(9).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(9).cnf.cdb deleted file mode 100644 index 4d924cd..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(9).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(9).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(9).cnf.hdb deleted file mode 100644 index 1e84699..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(9).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(90).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(90).cnf.cdb deleted file mode 100644 index 4794305..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(90).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(90).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(90).cnf.hdb deleted file mode 100644 index dcc3347..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(90).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(91).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(91).cnf.cdb deleted file mode 100644 index cb02b53..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(91).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(91).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(91).cnf.hdb deleted file mode 100644 index 5e7e00f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(91).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(92).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(92).cnf.cdb deleted file mode 100644 index 26a42a8..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(92).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(92).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(92).cnf.hdb deleted file mode 100644 index 36fecf5..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(92).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(93).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(93).cnf.cdb deleted file mode 100644 index 2486fb4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(93).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(93).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(93).cnf.hdb deleted file mode 100644 index 25f783d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(93).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(94).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(94).cnf.cdb deleted file mode 100644 index 3de16ac..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(94).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(94).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(94).cnf.hdb deleted file mode 100644 index c976baf..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(94).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(95).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(95).cnf.cdb deleted file mode 100644 index 1235eea..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(95).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(95).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(95).cnf.hdb deleted file mode 100644 index 3519128..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(95).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(96).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(96).cnf.cdb deleted file mode 100644 index 436cf1a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(96).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(96).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(96).cnf.hdb deleted file mode 100644 index e9b876f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(96).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(97).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(97).cnf.cdb deleted file mode 100644 index d855682..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(97).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(97).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(97).cnf.hdb deleted file mode 100644 index 256f522..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(97).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(98).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(98).cnf.cdb deleted file mode 100644 index 860d2fa..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(98).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(98).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(98).cnf.hdb deleted file mode 100644 index 290ea9d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(98).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(99).cnf.cdb b/FPGA_61.440/db/WOLF-LITE.(99).cnf.cdb deleted file mode 100644 index ab81910..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(99).cnf.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.(99).cnf.hdb b/FPGA_61.440/db/WOLF-LITE.(99).cnf.hdb deleted file mode 100644 index 4ce9df1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.(99).cnf.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.0.cmp.rdb b/FPGA_61.440/db/WOLF-LITE.0.cmp.rdb deleted file mode 100644 index f5853b3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.0.cmp.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.1.cmp.rdb b/FPGA_61.440/db/WOLF-LITE.1.cmp.rdb deleted file mode 100644 index a0b0a45..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.1.cmp.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.asm.qmsg b/FPGA_61.440/db/WOLF-LITE.asm.qmsg deleted file mode 100644 index 9e17f9a..0000000 --- a/FPGA_61.440/db/WOLF-LITE.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1620759531493 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1620759531512 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue May 11 21:58:50 2021 " "Processing started: Tue May 11 21:58:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1620759531512 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1620759531512 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE " "Command: quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1620759531512 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1620759537132 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1620759537172 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4725 " "Peak virtual memory: 4725 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1620759538106 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue May 11 21:58:58 2021 " "Processing ended: Tue May 11 21:58:58 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1620759538106 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1620759538106 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1620759538106 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1620759538106 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.asm.rdb b/FPGA_61.440/db/WOLF-LITE.asm.rdb deleted file mode 100644 index 2a346f1..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.asm.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.asm_labs.ddb b/FPGA_61.440/db/WOLF-LITE.asm_labs.ddb deleted file mode 100644 index 4808e7e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.asm_labs.ddb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.autoh_e40e1.map.reg_db.cdb b/FPGA_61.440/db/WOLF-LITE.autoh_e40e1.map.reg_db.cdb deleted file mode 100644 index 2b7262a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.autoh_e40e1.map.reg_db.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.autoh_e40e1.qmsg b/FPGA_61.440/db/WOLF-LITE.autoh_e40e1.qmsg deleted file mode 100644 index ed8640b..0000000 --- a/FPGA_61.440/db/WOLF-LITE.autoh_e40e1.qmsg +++ /dev/null @@ -1,14 +0,0 @@ -{ "Info" "IQSYN_SYNTHESIZE_PARTITION" "sld_hub:auto_hub " "Starting Logic Optimization and Technology Mapping for Partition sld_hub:auto_hub" { } { } 0 281019 "Starting Logic Optimization and Technology Mapping for Partition %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214732400 ""} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 speed 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"speed\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 1 1617214732892 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_jtag_hub.vhd" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 386 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 1 1617214732913 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Analysis & Synthesis" 0 1 1617214732913 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING_ON_PARTITION" "sld_hub:auto_hub " "Timing-Driven Synthesis is running on partition \"sld_hub:auto_hub\"" { } { } 0 286031 "Timing-Driven Synthesis is running on partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 1 1617214733211 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214734699 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Analysis & Synthesis" 0 1 1617214735119 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 5 clocks " "Found 5 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 16.276 clk_sys " " 16.276 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} } { } 0 332111 "%1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735121 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_START" "combinational resynthesis using boolean division " "Starting physical synthesis algorithm combinational resynthesis using boolean division" { } { } 0 128002 "Starting physical synthesis algorithm %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735145 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_END_SLACK" "combinational resynthesis using boolean division 0 " "Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps" { } { } 0 128003 "Physical synthesis algorithm %1!s! complete: estimated slack improvement of %2!d! ps" 0 0 "Analysis & Synthesis" 0 1 1617214735298 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:01 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:01" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735301 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "239 " "Implemented 239 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "39 " "Implemented 39 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 1 1617214735405 ""} { "Info" "ICUT_CUT_TM_OPINS" "56 " "Implemented 56 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 1 1617214735405 ""} { "Info" "ICUT_CUT_TM_LCELLS" "144 " "Implemented 144 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 1 1617214735405 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 1 1617214735405 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 1 1617214735438 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4817 " "Peak virtual memory: 4817 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 1 1617214735530 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 31 21:18:55 2021 " "Processing ended: Wed Mar 31 21:18:55 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 1 1617214735530 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 1 1617214735530 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 1 1617214735530 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735530 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.cbx.xml b/FPGA_61.440/db/WOLF-LITE.cbx.xml deleted file mode 100644 index 82478bb..0000000 --- a/FPGA_61.440/db/WOLF-LITE.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/FPGA_61.440/db/WOLF-LITE.cmp.bpm b/FPGA_61.440/db/WOLF-LITE.cmp.bpm deleted file mode 100644 index b114ea7..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cmp.bpm and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cmp.cdb b/FPGA_61.440/db/WOLF-LITE.cmp.cdb deleted file mode 100644 index 7a983fb..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cmp.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cmp.hdb b/FPGA_61.440/db/WOLF-LITE.cmp.hdb deleted file mode 100644 index 792840c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cmp.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cmp.idb b/FPGA_61.440/db/WOLF-LITE.cmp.idb deleted file mode 100644 index b87dbae..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cmp.idb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cmp.logdb b/FPGA_61.440/db/WOLF-LITE.cmp.logdb deleted file mode 100644 index bd49897..0000000 --- a/FPGA_61.440/db/WOLF-LITE.cmp.logdb +++ /dev/null @@ -1,3563 +0,0 @@ -v1 -PORT_SWAPPING,PORT_SWAP_TYPE_DSPMULT,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1, -PORT_SWAPPING,PORT_SWAP_TYPE_DSPMULT,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,,, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_aseq_q_16|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16|delay_signals[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17|delay_signals[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_14|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_reg_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT15,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT14,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT13,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT15,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT14,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT13,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|result[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p1_of_2_o[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_p2_of_2_o[5],Global Clock, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|tx,Off, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_accum_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT14,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT13,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT17,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT16,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT15,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT14,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT13,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT14,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT13,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAB_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT17,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT16,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT15,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT14,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT13,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT12,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT11,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT10,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT9,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT8,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT7,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT6,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT5,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT4,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT3,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT2,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT1,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_mult1~OBSERVABLEDATAA_REGOUT0,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|result[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|result[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|\u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_enableQ[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff|auk_dspip_delay:\glogic:u0|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_count[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_run_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_memread|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count1_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_ra0_count0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:u0_m0_wo0_compute|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_ca0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_wa0_i[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_xIn_0_13|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[2][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_cm0_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_eq,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[1][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_15|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_aseq_eq,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_oseq_gated_reg_q[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|dspba_delay:d_u0_m0_wo0_compute_q_16|delay_signals[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid,Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_out[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_altqmcpipe:ux000|lpm_add_sub:acc|add_sub_u4i:auto_generated|pipeline_dffe[11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[0].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[1].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[2].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[3].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[4].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][84],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][83],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][82],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][81],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][80],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][79],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][78],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][77],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][76],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][75],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][74],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][73],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][72],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][71],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][70],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][69],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][68],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][67],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][66],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][65],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][64],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][63],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][62],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][61],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][60],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][59],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][58],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][57],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][56],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][55],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][54],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][0],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][1],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][2],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][3],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][4],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][5],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][6],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][7],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][8],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][9],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][10],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][11],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][12],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][13],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][14],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][15],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][16],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][17],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][18],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][19],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][20],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][21],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][22],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][23],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][24],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][25],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][26],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][27],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][28],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][29],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][30],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][31],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][32],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][33],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][34],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][35],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][36],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][37],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][38],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][39],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][40],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][41],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][42],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][43],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][44],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][45],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][46],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][47],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][48],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][49],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][50],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][51],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][52],Global Clock, -GLOBAL_SIGNAL_POINT_TO_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_integrator:integrator[5].integration|auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1|\register_fifo:fifo_data[0][53],Global Clock, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,stm32_interface:STM32_INTERFACE|reset_n,Off, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,data_shifter:RX_CICFIR_GAINER|data_valid_out_Q,Global Clock, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,clk_sys,Global Clock, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[0],Global Clock, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,MAIN_PLL:MAIN_PLL|altpll:altpll_component|MAIN_PLL_altpll:auto_generated|wire_pll1_clk[1],Global Clock, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,tx_pll:TX_PLL|altpll:altpll_component|tx_pll_altpll:auto_generated|wire_pll1_clk[0],Global Clock, -GLOBAL_SIGNAL_SINGLE_POINT_ASSIGNMENT,altera_internal_jtag~TCKUTAP,Global Clock, -IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, -IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, -IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_OCT_VALUE,INAPPLICABLE,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,No Termination assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,PASS,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OCT_VALUE,INAPPLICABLE,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,No Termination assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,PASS,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, -IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,INAPPLICABLE,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,No Termination assignments found.,,I/O,, -IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength or Termination assignments found.,,I/O,, -IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, -IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, -IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, -IO_RULES_MATRIX,Total Pass,61;0;61;0;0;65;61;0;65;65;0;0;0;41;24;0;0;24;41;0;0;0;0;0;0;0;0;65;0;0, -IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Total Inapplicable,4;65;4;65;65;0;4;65;0;0;65;65;65;24;41;65;65;41;24;65;65;65;65;65;65;65;65;0;65;65, -IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,PREAMP,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,AUDIO_I2S_CLOCK,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,AUDIO_48K_CLOCK,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,FLASH_C,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,FLASH_S,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,FLASH_MOSI,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_PD,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_CLK,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ATT_05,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ATT_1,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ATT_2,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ATT_4,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ATT_8,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ATT_16,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,BPF_A,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,BPF_B,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,BPF_OE1,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,BPF_OE2,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,LPF_1,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,LPF_2,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,LPF_3,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,TXRX_OUT,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[13],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[12],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[11],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[10],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[9],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[8],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[7],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,DAC_OUTPUT[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[7],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_DATA_BUS[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_CLK,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,STM32_SYNC,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,clk_sys,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[0],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[1],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_OTR,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[2],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,FLASH_MISO,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[3],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[7],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[6],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[5],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[4],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[11],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[10],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[9],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ADC_INPUT[8],Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,altera_reserved_tms,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,altera_reserved_tck,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,altera_reserved_tdi,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,altera_reserved_tdo,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_SUMMARY,Total I/O Rules,30, -IO_RULES_SUMMARY,Number of I/O Rules Passed,11, -IO_RULES_SUMMARY,Number of I/O Rules Failed,0, -IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, -IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,19, diff --git a/FPGA_61.440/db/WOLF-LITE.cmp.rdb b/FPGA_61.440/db/WOLF-LITE.cmp.rdb deleted file mode 100644 index f666a7e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cmp.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cmp_merge.kpt b/FPGA_61.440/db/WOLF-LITE.cmp_merge.kpt deleted file mode 100644 index e5c5729..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cmp_merge.kpt and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd b/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd deleted file mode 100644 index 85c88ff..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd b/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd deleted file mode 100644 index d4bac09..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd b/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd deleted file mode 100644 index 49e2997..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_tt_1200mv_85c_nom.hsd b/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_tt_1200mv_85c_nom.hsd deleted file mode 100644 index ed4553d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.cycloneive_io_sim_cache.45um_tt_1200mv_85c_nom.hsd and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.db_info b/FPGA_61.440/db/WOLF-LITE.db_info deleted file mode 100644 index d5e3ead..0000000 --- a/FPGA_61.440/db/WOLF-LITE.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition -Version_Index = 486699264 -Creation_Time = Tue Oct 26 20:50:35 2021 diff --git a/FPGA_61.440/db/WOLF-LITE.fit.qmsg b/FPGA_61.440/db/WOLF-LITE.fit.qmsg deleted file mode 100644 index 4415f16..0000000 --- a/FPGA_61.440/db/WOLF-LITE.fit.qmsg +++ /dev/null @@ -1,109 +0,0 @@ -{ "Info" "IQCU_OPT_MODE_DESCRIPTION" "Aggressive Performance timing performance increased logic area and compilation time " "Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time" { } { } 0 16303 "%1!s! optimization mode selected -- %2!s! will be prioritized at the potential cost of %3!s!" 0 0 "Fitter" 0 -1 1620759490865 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1620759490886 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "WOLF-LITE EP4CE10E22C8 " "Selected device EP4CE10E22C8 for design \"WOLF-LITE\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1620759491009 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1620759491079 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1620759491079 ""} -{ "Info" "ICUT_CUT_PLL_COMPUTATION_SUCCESS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 Cyclone IV E PLL " "Implemented PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" as Cyclone IV E PLL type" { { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] 1 5 0 0 " "Implementing clock multiplication of 1, clock division of 5, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] port" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1620759491138 ""} { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] 1 1280 0 0 " "Implementing clock multiplication of 1, clock division of 1280, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] port" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 600 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1620759491138 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 15535 "Implemented %3!s! \"%1!s!\" as %2!s! PLL type" 0 0 "Fitter" 0 -1 1620759491138 ""} -{ "Info" "ICUT_CUT_PLL_COMPUTATION_SUCCESS" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 Cyclone IV E PLL " "Implemented PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" as Cyclone IV E PLL type" { { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] 5 2 0 0 " "Implementing clock multiplication of 5, clock division of 2, and phase shift of 0 degrees (0 ps) for tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] port" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3170 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1620759491143 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3170 14177 15141 0 0 "" 0 "" "" } } } } } 0 15535 "Implemented %3!s! \"%1!s!\" as %2!s! PLL type" 0 0 "Fitter" 0 -1 1620759491143 ""} -{ "Warning" "WMPP_MPP_RAM_IS_ACTUALLY_ROM_TOP" "" "Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example." { { "Info" "IMPP_MPP_RAM_IS_ACTUALLY_ROM_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0 " "Atom \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0\" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled" { } { } 0 119043 "Atom \"%1!s!\" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled" 0 0 "Design Software" 0 -1 1620759491146 "|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a0"} } { } 0 18550 "Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example." 1 0 "Fitter" 0 -1 1620759491146 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1620759491451 ""} -{ "Info" "IFITCC_FITCC_QIC_PARTITION_SUMMARY" "98.76 1 0 3 " "Fitter is preserving placement for 98.76 percent of the design from 1 Post-Fit partition(s) and 0 imported partition(s) of 3 total partition(s)" { } { } 0 171122 "Fitter is preserving placement for %1!s! percent of the design from %2!d! Post-Fit partition(s) and %3!d! imported partition(s) of %4!d! total partition(s)" 0 0 "Fitter" 0 -1 1620759492447 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE6E22C8 " "Device EP4CE6E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1620759492992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C8 " "Device EP4CE15E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1620759492992 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C8 " "Device EP4CE22E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1620759492992 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1620759492992 ""} -{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1620759493110 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1620759493110 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1620759493110 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1620759493110 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 1 0 "Fitter" 0 -1 1620759493115 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1620759493399 ""} -{ "Info" "IFIOMGR_CONFIGURATION_VOLTAGE_IS_AUTOMATICALLY_ENFORCED" "Cyclone IV E Active Serial " "Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial'" { } { } 0 169197 "Configuration voltage level is automatically enforced for the device family '%1!s!' with the configuration scheme '%2!s!'" 0 0 "Fitter" 0 -1 1620759493722 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1620759493722 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1620759493722 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk\" with PLL Merging -- node is marked with preserve location" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126022 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location" 0 0 "Fitter" 0 -1 1620759494160 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk\" with PLL Merging -- node is marked with preserve location and routing" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126024 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location and routing" 0 0 "Fitter" 0 -1 1620759494160 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_AND_TILE_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk\" with PLL Merging -- node is marked with preserve location, routing, and tile" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126026 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location, routing, and tile" 0 0 "Fitter" 0 -1 1620759494160 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg\" with PLL Merging -- node is marked with preserve location" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126022 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg\" with PLL Merging -- node is marked with preserve location and routing" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126024 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location and routing" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_AND_TILE_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg\" with PLL Merging -- node is marked with preserve location, routing, and tile" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126026 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location, routing, and tile" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk\" with PLL Merging -- node is marked with preserve location" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126022 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk\" with PLL Merging -- node is marked with preserve location and routing" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126024 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location and routing" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_AND_TILE_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk\" with PLL Merging -- node is marked with preserve location, routing, and tile" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126026 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location, routing, and tile" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg\" with PLL Merging -- node is marked with preserve location" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126022 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg\" with PLL Merging -- node is marked with preserve location and routing" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126024 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location and routing" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Warning" "WAMM_AMM_ATOM_MOD_POST_FIT_ATOM_W_LOCATION_AND_ROUTING_AND_TILE_E" "PLL Merging clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg " "Cannot modify or duplicate post-fit node \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1~ena_reg\" with PLL Merging -- node is marked with preserve location, routing, and tile" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } } 0 126026 "Cannot modify or duplicate post-fit node \"%2!s!\" with %1!s! -- node is marked with preserve location, routing, and tile" 0 0 "Fitter" 0 -1 1620759494161 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_hub " "Entity sld_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1620759496082 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1620759496082 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Design Software" 0 -1 1620759496082 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Fitter" 0 -1 1620759496082 ""} -{ "Info" "ISTA_SDC_FOUND" "SDC.sdc " "Reading SDC File: 'SDC.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1620759496206 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 5 rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid port or pin or register or keeper or net or combinational node or node " "Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1620759496212 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "create_clock SDC.sdc 5 Argument is not an object ID " "Ignored create_clock at SDC.sdc(5): Argument is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\} " "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\}" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496213 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496213 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 clock_sys clock " "Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1620759496214 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 iq_valid clock " "Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1620759496214 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_CALL_IS_DELAYED" "" "Clock uncertainty is not calculated until you update the timing netlist." { } { } 0 332151 "Clock uncertainty is not calculated until you update the timing netlist." 0 0 "Fitter" 0 -1 1620759496214 ""} -{ "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "Deriving PLL clocks " "Deriving PLL clocks" { { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759496224 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 5 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 5 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759496224 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1280 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1280 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759496224 ""} } { } 0 332110 "%1!s!" 0 0 "Fitter" 0 -1 1620759496224 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 13 clock_crystal clock " "Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1620759496225 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 13 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496226 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496226 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 14 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496226 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496226 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 18 clock_adc clock " "Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1620759496226 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 18 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496227 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496227 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 19 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496227 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496227 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 20 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496227 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496227 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 21 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496228 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496228 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 27 iq_valid clock " "Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1620759496228 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 27 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496229 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496229 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 28 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496229 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496229 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 29 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496229 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496229 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 30 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496230 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496230 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 31 clock_sys clock " "Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1620759496230 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 31 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496230 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496230 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 32 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759496231 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1620759496231 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_I\[5\]\[15\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_I\[5\]\[15\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1620759496290 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Fitter" 0 -1 1620759496290 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1620759496422 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1620759496428 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 100.000 altera_reserved_tck " " 100.000 altera_reserved_tck" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 16.276 clk_sys " " 16.276 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1620759496429 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1620759496429 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "altera_internal_jtag~TCKUTAP " "Promoted node altera_internal_jtag~TCKUTAP " { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "altera_internal_jtag~TCKUTAPclkctrl Global Clock " "Promoted altera_internal_jtag~TCKUTAPclkctrl to use location or clock signal Global Clock" { } { { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 24013 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497090 ""} } { { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 23525 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497090 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "clk_sys~input (placed in PIN 89 (CLK6, DIFFCLK_3p)) " "Promoted node clk_sys~input (placed in PIN 89 (CLK6, DIFFCLK_3p))" { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 Global Clock CLKCTRL_G9 " "Promoted clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 to use location or clock signal Global Clock CLKCTRL_G9" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3168 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497090 ""} } { { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 688 2216 2392 704 "clk_sys" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 23581 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497090 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q " "Promoted node data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q " { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q~clkctrl Global Clock " "Promoted data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q~clkctrl to use location or clock signal Global Clock" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 13 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 24014 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497090 ""} } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 13 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 1984 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497090 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_2) " "Promoted node MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_2)" { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\]~clkctrl Global Clock CLKCTRL_G8 " "Promoted MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\]~clkctrl to use location or clock signal Global Clock CLKCTRL_G8" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 24016 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497090 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497090 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] (placed in counter C2 of PLL_2) " "Promoted node MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] (placed in counter C2 of PLL_2)" { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\]~clkctrl Global Clock CLKCTRL_G7 " "Promoted MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\]~clkctrl to use location or clock signal Global Clock CLKCTRL_G7" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 24018 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497090 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497090 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "stm32_interface:STM32_INTERFACE\|reset_n " "Promoted node stm32_interface:STM32_INTERFACE\|reset_n " { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "stm32_interface:STM32_INTERFACE\|reset_n~clkctrl Global Clock " "Promoted stm32_interface:STM32_INTERFACE\|reset_n~clkctrl to use location or clock signal Global Clock" { } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 64 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 24010 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2 " "Destination node mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 633 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "mixer:RX_MIXER_Q\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2 " "Destination node mixer:RX_MIXER_Q\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3864 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[1\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[1\]" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 43 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4875 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[0\]" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 43 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4876 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[1\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[1\]" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 44 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4883 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[0\]" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 44 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4884 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_q9b:rd_ptr_msb\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_q9b:rd_ptr_msb\|counter_reg_bit\[0\]" { } { { "db/cntr_q9b.tdf" "" { Text "C:/FPGA/db/cntr_q9b.tdf" 38 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4891 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[4\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[4\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5480 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[3\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[3\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5481 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[2\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[2\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5482 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497091 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_LIMITED_TO_SUB" "10 " "Non-global destination nodes limited to 10 nodes" { } { } 0 176358 "Non-global destination nodes limited to %1!d! nodes" 0 0 "Design Software" 0 -1 1620759497091 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1620759497091 ""} } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 64 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3837 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497091 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "stm32_interface:STM32_INTERFACE\|tx " "Promoted node stm32_interface:STM32_INTERFACE\|tx " { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "stm32_interface:STM32_INTERFACE\|tx~clkctrl Global Clock " "Promoted stm32_interface:STM32_INTERFACE\|tx~clkctrl to use location or clock signal Global Clock" { } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 63 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 24015 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\|mac_out2 " "Destination node tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\|mac_out2" { } { { "db/mult_abt.tdf" "" { Text "C:/FPGA/db/mult_abt.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3102 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 39 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2200 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a4 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a4" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 187 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2204 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a8 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a8" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 335 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2208 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a12 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a12" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 483 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2212 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a0 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a0" { } { { "db/altsyncram_u8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_u8a1.tdf" 35 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2165 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a4 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a4" { } { { "db/altsyncram_u8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_u8a1.tdf" 123 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2169 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a8 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a8" { } { { "db/altsyncram_u8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_u8a1.tdf" 211 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2173 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a12 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\|ram_block1a12" { } { { "db/altsyncram_u8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_u8a1.tdf" 299 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2177 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_p8a1:auto_generated\|ram_block1a0 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_p8a1:auto_generated\|ram_block1a0" { } { { "db/altsyncram_p8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_p8a1.tdf" 35 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2131 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1620759497092 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_LIMITED_TO_SUB" "10 " "Non-global destination nodes limited to 10 nodes" { } { } 0 176358 "Non-global destination nodes limited to %1!d! nodes" 0 0 "Design Software" 0 -1 1620759497092 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1620759497092 ""} } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 63 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3827 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497092 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_1) " "Promoted node tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_1)" { { "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL_FANOUTS" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\]~clkctrl Global Clock CLKCTRL_G3 " "Promoted tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\]~clkctrl to use location or clock signal Global Clock CLKCTRL_G3" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 24011 14177 15141 0 0 "" 0 "" "" } } } } } 0 176354 "Promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1620759497093 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3170 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1620759497093 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1620759502637 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1620759502676 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1620759502678 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1620759502720 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1620759502755 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1620759502825 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1620759502826 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1620759502861 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1620759502861 ""} -{ "Warning" "WCUT_PLL_INCLK_NOT_FROM_DEDICATED_INPUT" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 0 " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" input clock inclk\[0\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" { { "Info" "ICUT_CUT_INPUT_PORT_SIGNAL_SOURCE" "INCLK\[0\] MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 " "Input port INCLK\[0\] of node \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" is driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 45 -1 0 } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 15024 "Input port %1!s! of node \"%2!s!\" is %3!s!" 0 0 "Design Software" 0 -1 1620759503101 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 15055 "PLL \"%1!s!\" input clock inclk\[%2!d!\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" 0 0 "Fitter" 0 -1 1620759503101 ""} -{ "Warning" "WCUT_PLL_NON_ZDB_COMP_CLK_FEEDING_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 compensate_clock 0 " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" is in normal or source synchronous mode with output clock \"compensate_clock\" set to clk\[0\] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 15058 "PLL \"%1!s!\" is in normal or source synchronous mode with output clock \"%2!s!\" set to clk\[%3!d!\] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins" 0 0 "Fitter" 0 -1 1620759503105 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 clk\[0\] AUDIO_I2S_CLOCK~output " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" output port clk\[0\] feeds output pin \"AUDIO_I2S_CLOCK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1000 3408 3584 1016 "AUDIO_I2S_CLOCK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1620759503105 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 clk\[1\] AUDIO_48K_CLOCK~output " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" output port clk\[1\] feeds output pin \"AUDIO_48K_CLOCK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1016 3408 3584 1032 "AUDIO_48K_CLOCK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1620759503105 ""} -{ "Warning" "WCUT_PLL_INCLK_NOT_FROM_DEDICATED_INPUT" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 0 " "PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" input clock inclk\[0\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" { { "Info" "ICUT_CUT_INPUT_PORT_SIGNAL_SOURCE" "INCLK\[0\] tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 " "Input port INCLK\[0\] of node \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" is driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 45 -1 0 } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 15024 "Input port %1!s! of node \"%2!s!\" is %3!s!" 0 0 "Design Software" 0 -1 1620759503112 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } } 0 15055 "PLL \"%1!s!\" input clock inclk\[%2!d!\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" 0 0 "Fitter" 0 -1 1620759503112 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 clk\[0\] DAC_CLK~output " "PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" output port clk\[0\] feeds output pin \"DAC_CLK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 80 6288 6464 96 "DAC_CLK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1620759503113 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Fitter" 0 -1 1620759503405 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:04 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:04" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Fitter" 0 -1 1620759507415 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:15 " "Fitter preparation operations ending: elapsed time is 00:00:15" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1620759507650 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1620759509496 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1620759510078 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1620759510390 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1620759510427 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1620759512559 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1620759512559 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1620759512983 ""} -{ "Info" "IFITAPI_FITAPI_VPR_RCF_NUM_ROUTES_CONSTRAINED" "99.34 " "Router is attempting to preserve 99.34 percent of routes from an earlier compilation, a user specified Routing Constraints File, or internal routing requirements." { } { } 0 170239 "Router is attempting to preserve %1!s! percent of routes from an earlier compilation, a user specified Routing Constraints File, or internal routing requirements." 0 0 "Fitter" 0 -1 1620759513611 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "2 " "Router estimated average interconnect usage is 2% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "6 X11_Y0 X22_Y11 " "Router estimated peak interconnect usage is 6% of the available device resources in the region that extends from location X11_Y0 to location X22_Y11" { } { { "loc" "" { Generic "C:/FPGA/" { { 1 { 0 "Router estimated peak interconnect usage is 6% of the available device resources in the region that extends from location X11_Y0 to location X22_Y11"} { { 12 { 0 ""} 11 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1620759513920 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1620759513920 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:02 " "Fitter routing operations ending: elapsed time is 00:00:02" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1620759514970 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.77 " "Total time spent on timing analysis during the Fitter is 0.77 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1620759515145 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1620759516291 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1620759517497 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1620759517505 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1620759519242 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:06 " "Fitter post-fit operations ending: elapsed time is 00:00:06" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1620759522269 ""} -{ "Info" "IFIOMGR_CONFIGURATION_VOLTAGE_IS_AUTOMATICALLY_ENFORCED" "Cyclone IV E Active Serial " "Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial'" { } { } 0 169197 "Configuration voltage level is automatically enforced for the device family '%1!s!' with the configuration scheme '%2!s!'" 0 0 "Fitter" 0 -1 1620759522997 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1620759522997 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1620759522997 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 1 0 "Fitter" 0 -1 1620759523172 ""} -{ "Warning" "WFIOMGR_FIOMGR_MUST_USE_EXTERNAL_CLAMPING_DIODE_TOP_LEVEL" "1 " "Following 1 pins must use external clamping diodes." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "FLASH_MISO 2.5 V 13 " "Pin FLASH_MISO uses I/O standard 2.5 V at 13" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { FLASH_MISO } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "FLASH_MISO" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1000 3744 3920 1016 "FLASH_MISO" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 468 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} } { } 0 169180 "Following %1!d! pins must use external clamping diodes." 1 0 "Fitter" 0 -1 1620759523264 ""} -{ "Warning" "WFIOMGR_FIOMGR_REFER_APPNOTE_447_TOP_LEVEL" "24 Cyclone IV E " "24 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[7\] 3.3-V LVTTL 46 " "Pin STM32_DATA_BUS\[7\] uses I/O standard 3.3-V LVTTL at 46" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[7] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[7\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 441 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[6\] 3.3-V LVTTL 43 " "Pin STM32_DATA_BUS\[6\] uses I/O standard 3.3-V LVTTL at 43" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[6] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[6\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 442 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[5\] 3.3-V LVTTL 42 " "Pin STM32_DATA_BUS\[5\] uses I/O standard 3.3-V LVTTL at 42" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[5] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[5\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 443 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[4\] 3.3-V LVTTL 39 " "Pin STM32_DATA_BUS\[4\] uses I/O standard 3.3-V LVTTL at 39" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[4] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[4\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 444 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[3\] 3.3-V LVTTL 38 " "Pin STM32_DATA_BUS\[3\] uses I/O standard 3.3-V LVTTL at 38" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[3] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[3\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 445 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[2\] 3.3-V LVTTL 51 " "Pin STM32_DATA_BUS\[2\] uses I/O standard 3.3-V LVTTL at 51" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[2] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[2\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 446 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[1\] 3.3-V LVTTL 50 " "Pin STM32_DATA_BUS\[1\] uses I/O standard 3.3-V LVTTL at 50" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[1] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[1\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 447 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[0\] 3.3-V LVTTL 49 " "Pin STM32_DATA_BUS\[0\] uses I/O standard 3.3-V LVTTL at 49" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[0] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[0\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 448 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_CLK 3.3-V LVTTL 33 " "Pin STM32_CLK uses I/O standard 3.3-V LVTTL at 33" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_CLK } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_CLK" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 2840 3016 184 "STM32_CLK" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 464 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_SYNC 3.3-V LVTTL 32 " "Pin STM32_SYNC uses I/O standard 3.3-V LVTTL at 32" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_SYNC } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_SYNC" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 216 2840 3016 232 "STM32_SYNC" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 465 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "clk_sys 3.3-V LVTTL 89 " "Pin clk_sys uses I/O standard 3.3-V LVTTL at 89" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { clk_sys } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk_sys" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 688 2216 2392 704 "clk_sys" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 467 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[0\] 3.3-V LVTTL 68 " "Pin ADC_INPUT\[0\] uses I/O standard 3.3-V LVTTL at 68" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[0] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[0\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 440 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[1\] 3.3-V LVTTL 67 " "Pin ADC_INPUT\[1\] uses I/O standard 3.3-V LVTTL at 67" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[1] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[1\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 439 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_OTR 3.3-V LVTTL 44 " "Pin ADC_OTR uses I/O standard 3.3-V LVTTL at 44" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_OTR } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_OTR" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 232 2840 3016 248 "ADC_OTR" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 466 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[2\] 3.3-V LVTTL 66 " "Pin ADC_INPUT\[2\] uses I/O standard 3.3-V LVTTL at 66" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[2] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[2\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 438 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[3\] 3.3-V LVTTL 65 " "Pin ADC_INPUT\[3\] uses I/O standard 3.3-V LVTTL at 65" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[3] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[3\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 437 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[7\] 3.3-V LVTTL 58 " "Pin ADC_INPUT\[7\] uses I/O standard 3.3-V LVTTL at 58" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[7] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[7\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 433 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[6\] 3.3-V LVTTL 59 " "Pin ADC_INPUT\[6\] uses I/O standard 3.3-V LVTTL at 59" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[6] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[6\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 434 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[5\] 3.3-V LVTTL 60 " "Pin ADC_INPUT\[5\] uses I/O standard 3.3-V LVTTL at 60" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[5] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[5\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 435 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[4\] 3.3-V LVTTL 64 " "Pin ADC_INPUT\[4\] uses I/O standard 3.3-V LVTTL at 64" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[4] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[4\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 436 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[11\] 3.3-V LVTTL 52 " "Pin ADC_INPUT\[11\] uses I/O standard 3.3-V LVTTL at 52" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[11] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[11\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 429 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[10\] 3.3-V LVTTL 53 " "Pin ADC_INPUT\[10\] uses I/O standard 3.3-V LVTTL at 53" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[10] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[10\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 430 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[9\] 3.3-V LVTTL 54 " "Pin ADC_INPUT\[9\] uses I/O standard 3.3-V LVTTL at 54" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[9] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[9\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 431 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[8\] 3.3-V LVTTL 55 " "Pin ADC_INPUT\[8\] uses I/O standard 3.3-V LVTTL at 55" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[8] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[8\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 432 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1620759523264 ""} } { } 0 169177 "%1!d! pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing %2!s! Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." 1 0 "Fitter" 0 -1 1620759523264 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.fit.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1620759524301 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 38 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 38 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5977 " "Peak virtual memory: 5977 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1620759528964 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue May 11 21:58:48 2021 " "Processing ended: Tue May 11 21:58:48 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1620759528964 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:40 " "Elapsed time: 00:00:40" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1620759528964 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:50 " "Total CPU time (on all processors): 00:00:50" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1620759528964 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1620759528964 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.hier_info b/FPGA_61.440/db/WOLF-LITE.hier_info deleted file mode 100644 index 7746816..0000000 --- a/FPGA_61.440/db/WOLF-LITE.hier_info +++ /dev/null @@ -1,1353 +0,0 @@ -|WOLF-LITE -PREAMP <= preamp_enable.DB_MAX_OUTPUT_PORT_TYPE -STM32_CLK => FLASH_data_out[0].CLK -STM32_CLK => FLASH_data_out[1].CLK -STM32_CLK => FLASH_data_out[2].CLK -STM32_CLK => FLASH_data_out[3].CLK -STM32_CLK => FLASH_data_out[4].CLK -STM32_CLK => FLASH_data_out[5].CLK -STM32_CLK => FLASH_data_out[6].CLK -STM32_CLK => FLASH_data_out[7].CLK -STM32_CLK => TX_Q[0].CLK -STM32_CLK => TX_Q[1].CLK -STM32_CLK => TX_Q[2].CLK -STM32_CLK => TX_Q[3].CLK -STM32_CLK => TX_Q[4].CLK -STM32_CLK => TX_Q[5].CLK -STM32_CLK => TX_Q[6].CLK -STM32_CLK => TX_Q[7].CLK -STM32_CLK => TX_Q[8].CLK -STM32_CLK => TX_Q[9].CLK -STM32_CLK => TX_Q[10].CLK -STM32_CLK => TX_Q[11].CLK -STM32_CLK => TX_Q[12].CLK -STM32_CLK => TX_Q[13].CLK -STM32_CLK => TX_Q[14].CLK -STM32_CLK => TX_Q[15].CLK -STM32_CLK => TX_I[0].CLK -STM32_CLK => TX_I[1].CLK -STM32_CLK => TX_I[2].CLK -STM32_CLK => TX_I[3].CLK -STM32_CLK => TX_I[4].CLK -STM32_CLK => TX_I[5].CLK -STM32_CLK => TX_I[6].CLK -STM32_CLK => TX_I[7].CLK -STM32_CLK => TX_I[8].CLK -STM32_CLK => TX_I[9].CLK -STM32_CLK => TX_I[10].CLK -STM32_CLK => TX_I[11].CLK -STM32_CLK => TX_I[12].CLK -STM32_CLK => TX_I[13].CLK -STM32_CLK => TX_I[14].CLK -STM32_CLK => TX_I[15].CLK -STM32_CLK => I_HOLD[0].CLK -STM32_CLK => I_HOLD[1].CLK -STM32_CLK => I_HOLD[2].CLK -STM32_CLK => I_HOLD[3].CLK -STM32_CLK => I_HOLD[4].CLK -STM32_CLK => I_HOLD[5].CLK -STM32_CLK => I_HOLD[6].CLK -STM32_CLK => I_HOLD[7].CLK -STM32_CLK => I_HOLD[8].CLK -STM32_CLK => I_HOLD[9].CLK -STM32_CLK => I_HOLD[10].CLK -STM32_CLK => I_HOLD[11].CLK -STM32_CLK => I_HOLD[12].CLK -STM32_CLK => I_HOLD[13].CLK -STM32_CLK => I_HOLD[14].CLK -STM32_CLK => I_HOLD[15].CLK -STM32_CLK => Q_HOLD[0].CLK -STM32_CLK => Q_HOLD[1].CLK -STM32_CLK => Q_HOLD[2].CLK -STM32_CLK => Q_HOLD[3].CLK -STM32_CLK => Q_HOLD[4].CLK -STM32_CLK => Q_HOLD[5].CLK -STM32_CLK => Q_HOLD[6].CLK -STM32_CLK => Q_HOLD[7].CLK -STM32_CLK => Q_HOLD[8].CLK -STM32_CLK => Q_HOLD[9].CLK -STM32_CLK => Q_HOLD[10].CLK -STM32_CLK => Q_HOLD[11].CLK -STM32_CLK => Q_HOLD[12].CLK -STM32_CLK => Q_HOLD[13].CLK -STM32_CLK => Q_HOLD[14].CLK -STM32_CLK => Q_HOLD[15].CLK -STM32_CLK => DATA_BUS_OUT[0].CLK -STM32_CLK => DATA_BUS_OUT[1].CLK -STM32_CLK => DATA_BUS_OUT[2].CLK -STM32_CLK => DATA_BUS_OUT[3].CLK -STM32_CLK => LPF_3.CLK -STM32_CLK => LPF_2.CLK -STM32_CLK => LPF_1.CLK -STM32_CLK => BPF_OE2.CLK -STM32_CLK => BPF_OE1.CLK -STM32_CLK => BPF_B.CLK -STM32_CLK => BPF_A.CLK -STM32_CLK => TX_NCO_freq[0].CLK -STM32_CLK => TX_NCO_freq[1].CLK -STM32_CLK => TX_NCO_freq[2].CLK -STM32_CLK => TX_NCO_freq[3].CLK -STM32_CLK => TX_NCO_freq[4].CLK -STM32_CLK => TX_NCO_freq[5].CLK -STM32_CLK => TX_NCO_freq[6].CLK -STM32_CLK => TX_NCO_freq[7].CLK -STM32_CLK => TX_NCO_freq[8].CLK -STM32_CLK => TX_NCO_freq[9].CLK -STM32_CLK => TX_NCO_freq[10].CLK -STM32_CLK => TX_NCO_freq[11].CLK -STM32_CLK => TX_NCO_freq[12].CLK -STM32_CLK => TX_NCO_freq[13].CLK -STM32_CLK => TX_NCO_freq[14].CLK -STM32_CLK => TX_NCO_freq[15].CLK -STM32_CLK => TX_NCO_freq[16].CLK -STM32_CLK => TX_NCO_freq[17].CLK -STM32_CLK => TX_NCO_freq[18].CLK -STM32_CLK => TX_NCO_freq[19].CLK -STM32_CLK => TX_NCO_freq[20].CLK -STM32_CLK => TX_NCO_freq[21].CLK -STM32_CLK => DAC_GAIN[0].CLK -STM32_CLK => DAC_GAIN[1].CLK -STM32_CLK => DAC_GAIN[2].CLK -STM32_CLK => DAC_GAIN[3].CLK -STM32_CLK => DAC_GAIN[4].CLK -STM32_CLK => DAC_GAIN[5].CLK -STM32_CLK => DAC_GAIN[6].CLK -STM32_CLK => DAC_GAIN[7].CLK -STM32_CLK => TX_CICFIR_GAIN[0].CLK -STM32_CLK => TX_CICFIR_GAIN[1].CLK -STM32_CLK => TX_CICFIR_GAIN[2].CLK -STM32_CLK => TX_CICFIR_GAIN[3].CLK -STM32_CLK => TX_CICFIR_GAIN[4].CLK -STM32_CLK => CICFIR_GAIN[0].CLK -STM32_CLK => CICFIR_GAIN[1].CLK -STM32_CLK => CICFIR_GAIN[2].CLK -STM32_CLK => CICFIR_GAIN[3].CLK -STM32_CLK => CICFIR_GAIN[4].CLK -STM32_CLK => CICFIR_GAIN[5].CLK -STM32_CLK => NCO_freq[0].CLK -STM32_CLK => NCO_freq[1].CLK -STM32_CLK => NCO_freq[2].CLK -STM32_CLK => NCO_freq[3].CLK -STM32_CLK => NCO_freq[4].CLK -STM32_CLK => NCO_freq[5].CLK -STM32_CLK => NCO_freq[6].CLK -STM32_CLK => NCO_freq[7].CLK -STM32_CLK => NCO_freq[8].CLK -STM32_CLK => NCO_freq[9].CLK -STM32_CLK => NCO_freq[10].CLK -STM32_CLK => NCO_freq[11].CLK -STM32_CLK => NCO_freq[12].CLK -STM32_CLK => NCO_freq[13].CLK -STM32_CLK => NCO_freq[14].CLK -STM32_CLK => NCO_freq[15].CLK -STM32_CLK => NCO_freq[16].CLK -STM32_CLK => NCO_freq[17].CLK -STM32_CLK => NCO_freq[18].CLK -STM32_CLK => NCO_freq[19].CLK -STM32_CLK => NCO_freq[20].CLK -STM32_CLK => NCO_freq[21].CLK -STM32_CLK => ATT_16.CLK -STM32_CLK => ATT_8.CLK -STM32_CLK => ATT_4.CLK -STM32_CLK => ATT_2.CLK -STM32_CLK => ATT_1.CLK -STM32_CLK => ATT_05.CLK -STM32_CLK => preamp_enable.CLK -STM32_CLK => tx.CLK -STM32_CLK => rx.CLK -STM32_CLK => FLASH_enable.CLK -STM32_CLK => sync_reset_n.CLK -STM32_CLK => tx_iq_valid.CLK -STM32_CLK => k[0].CLK -STM32_CLK => k[1].CLK -STM32_CLK => k[2].CLK -STM32_CLK => k[3].CLK -STM32_CLK => k[4].CLK -STM32_CLK => k[5].CLK -STM32_CLK => k[6].CLK -STM32_CLK => k[7].CLK -STM32_CLK => k[8].CLK -STM32_CLK => k[9].CLK -STM32_CLK => FLASH_continue_read.CLK -STM32_CLK => ADC_MINMAX_RESET.CLK -STM32_CLK => BUFFER_RX_tail[1].CLK -STM32_CLK => BUFFER_RX_tail[0].CLK -STM32_CLK => BUFFER_RX_tail[2].CLK -STM32_CLK => BUFFER_RX_tail[3].CLK -STM32_CLK => BUFFER_RX_tail[4].CLK -STM32_CLK => BUFFER_RX_tail[5].CLK -STM32_CLK => BUFFER_RX_tail[6].CLK -STM32_CLK => BUFFER_RX_tail[7].CLK -STM32_CLK => BUFFER_RX_tail[8].CLK -STM32_CLK => BUFFER_RX_tail[9].CLK -STM32_CLK => BUFFER_RX_tail[10].CLK -STM32_CLK => BUFFER_RX_tail[11].CLK -STM32_CLK => BUFFER_RX_tail[12].CLK -STM32_CLK => BUFFER_RX_tail[13].CLK -STM32_CLK => BUFFER_RX_tail[14].CLK -STM32_CLK => BUFFER_RX_tail[15].CLK -STM32_CLK => DATA_BUS_OUT[7].CLK -STM32_CLK => DATA_BUS_OE.CLK -STM32_CLK => DATA_BUS_OUT[6].CLK -STM32_CLK => DATA_BUS_OUT[5].CLK -STM32_CLK => DATA_BUS_OUT[4].CLK -STM32_SYNC => I_HOLD[9].IN0 -STM32_SYNC => preamp_enable.IN0 -STM32_SYNC => sync_reset_n.IN0 -STM32_SYNC => FLASH_continue_read.SCLR -STM32_SYNC => ADC_MINMAX_RESET.SCLR -STM32_SYNC => tx_iq_valid.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => k.OUTPUTSELECT -STM32_SYNC => FLASH_enable.OUTPUTSELECT -STM32_SYNC => FLASH_enable.DATAB -STM32_SYNC => tx_iq_valid.DATAB -STM32_SYNC => DATA_BUS_OE.SLOAD -ADC_OTR => DATA_BUS_OUT.IN0 -clk_sys => clkctrl1.INCLK -FLASH_MISO => data_out[7].DATAB -FLASH_MISO => data_out[6].DATAB -FLASH_MISO => data_out[5].DATAB -FLASH_MISO => data_out[4].DATAB -FLASH_MISO => data_out[3].DATAB -FLASH_MISO => data_out[2].DATAB -FLASH_MISO => data_out[1].DATAB -FLASH_MISO => data_out[0].DATAB -ADC_INPUT[11] => pipeline_dffe[11].DATAIN -ADC_INPUT[10] => pipeline_dffe[10].DATAIN -ADC_INPUT[9] => pipeline_dffe[9].DATAIN -ADC_INPUT[8] => pipeline_dffe[8].DATAIN -ADC_INPUT[7] => pipeline_dffe[7].DATAIN -ADC_INPUT[6] => pipeline_dffe[6].DATAIN -ADC_INPUT[5] => pipeline_dffe[5].DATAIN -ADC_INPUT[4] => pipeline_dffe[4].DATAIN -ADC_INPUT[3] => pipeline_dffe[3].DATAIN -ADC_INPUT[2] => pipeline_dffe[2].DATAIN -ADC_INPUT[1] => pipeline_dffe[1].DATAIN -ADC_INPUT[0] => pipeline_dffe[0].DATAIN -STM32_DATA_BUS[7] <> DATA_BUS[7] -STM32_DATA_BUS[6] <> DATA_BUS[6] -STM32_DATA_BUS[5] <> DATA_BUS[5] -STM32_DATA_BUS[4] <> DATA_BUS[4] -STM32_DATA_BUS[3] <> DATA_BUS[3] -STM32_DATA_BUS[2] <> DATA_BUS[2] -STM32_DATA_BUS[1] <> DATA_BUS[1] -STM32_DATA_BUS[0] <> DATA_BUS[0] -AUDIO_I2S_CLOCK <= pll1.CLK -AUDIO_48K_CLOCK <= pll1.CLK1 -FLASH_C <= SCK_C.DB_MAX_OUTPUT_PORT_TYPE -FLASH_S <= CS_S.DB_MAX_OUTPUT_PORT_TYPE -FLASH_MOSI <= MOSI_DQ0.DB_MAX_OUTPUT_PORT_TYPE -DAC_PD <= tx.DB_MAX_OUTPUT_PORT_TYPE -DAC_CLK <= pll1.CLK -ATT_05 <= ATT_05.DB_MAX_OUTPUT_PORT_TYPE -ATT_1 <= ATT_1.DB_MAX_OUTPUT_PORT_TYPE -ATT_2 <= ATT_2.DB_MAX_OUTPUT_PORT_TYPE -ATT_4 <= ATT_4.DB_MAX_OUTPUT_PORT_TYPE -ATT_8 <= ATT_8.DB_MAX_OUTPUT_PORT_TYPE -ATT_16 <= ATT_16.DB_MAX_OUTPUT_PORT_TYPE -BPF_A <= BPF_A.DB_MAX_OUTPUT_PORT_TYPE -BPF_B <= BPF_B.DB_MAX_OUTPUT_PORT_TYPE -BPF_OE1 <= BPF_OE1.DB_MAX_OUTPUT_PORT_TYPE -BPF_OE2 <= BPF_OE2.DB_MAX_OUTPUT_PORT_TYPE -LPF_1 <= LPF_1.DB_MAX_OUTPUT_PORT_TYPE -LPF_2 <= LPF_2.DB_MAX_OUTPUT_PORT_TYPE -LPF_3 <= LPF_3.DB_MAX_OUTPUT_PORT_TYPE -TXRX_OUT <= tx.DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[13] <= result_node[13].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[12] <= result_node[12].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[11] <= result_node[11].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[10] <= result_node[10].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[9] <= result_node[9].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[8] <= result_node[8].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[7] <= result_node[7].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[6] <= result_node[6].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[5] <= result_node[5].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[4] <= result_node[4].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[3] <= result_node[3].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[2] <= result_node[2].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[1] <= result_node[1].DB_MAX_OUTPUT_PORT_TYPE -DAC_OUTPUT[0] <= result_node[0].DB_MAX_OUTPUT_PORT_TYPE -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => hold_reg[0].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => bypass_reg.CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[0].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[1].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[2].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[3].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[4].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[5].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[6].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[7].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[8].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[9].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[10].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => shift_reg[11].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => WORD_SR[0].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => WORD_SR[1].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => WORD_SR[2].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => WORD_SR[3].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => word_counter[0].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => word_counter[1].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => word_counter[2].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_raw_tck => word_counter[3].CLK -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdi => bypass_reg.DATAIN -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdi => shift_reg.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdi => WORD_SR.DATAB -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_usr1 => dr_scan.IN0 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_usr1 => vjtag_uir_i.IN0 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cdr => instance_id_gen.IN0 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cdr => shift_reg.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_sdr => vjtag_sdr_i.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_sdr => instance_id_gen.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_e1dr => hold_reg[0].IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_udr => vjtag_uir_i.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_cir => ~NO_FANOUT~ -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_uir => ~NO_FANOUT~ -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => bypass_reg.ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[0].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[1].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[2].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[3].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[4].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[5].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[6].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[7].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[8].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[9].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[10].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => shift_reg[11].ACLR -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_jtag_state_tlr => hold_reg[0].IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_clr => ~NO_FANOUT~ -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ena => dr_scan.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ena => vjtag_uir_i.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_0_ => tdo.OUTPUTSELECT -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => process_1.IN0 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN0 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_1_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => process_1.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN1 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_2_ => shift_reg.IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_3_ => process_1.IN2 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_in_3_ => hold_reg[0].IN3 -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_0_ <= -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_1_ <= -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_2_ <= -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_ir_out_3_ <= -jtag.bp.DBG_ADC_in_system_sources_probes_0_issp_impl_tdo <= tdo.DB_MAX_OUTPUT_PORT_TYPE - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1 -dataa[0] => mult_36t:auto_generated.dataa[0] -dataa[1] => mult_36t:auto_generated.dataa[1] -dataa[2] => mult_36t:auto_generated.dataa[2] -dataa[3] => mult_36t:auto_generated.dataa[3] -dataa[4] => mult_36t:auto_generated.dataa[4] -dataa[5] => mult_36t:auto_generated.dataa[5] -dataa[6] => mult_36t:auto_generated.dataa[6] -dataa[7] => mult_36t:auto_generated.dataa[7] -dataa[8] => mult_36t:auto_generated.dataa[8] -dataa[9] => mult_36t:auto_generated.dataa[9] -dataa[10] => mult_36t:auto_generated.dataa[10] -dataa[11] => mult_36t:auto_generated.dataa[11] -dataa[12] => mult_36t:auto_generated.dataa[12] -dataa[13] => mult_36t:auto_generated.dataa[13] -dataa[14] => mult_36t:auto_generated.dataa[14] -dataa[15] => mult_36t:auto_generated.dataa[15] -datab[0] => mult_36t:auto_generated.datab[0] -datab[1] => mult_36t:auto_generated.datab[1] -datab[2] => mult_36t:auto_generated.datab[2] -datab[3] => mult_36t:auto_generated.datab[3] -datab[4] => mult_36t:auto_generated.datab[4] -datab[5] => mult_36t:auto_generated.datab[5] -datab[6] => mult_36t:auto_generated.datab[6] -datab[7] => mult_36t:auto_generated.datab[7] -datab[8] => mult_36t:auto_generated.datab[8] -datab[9] => mult_36t:auto_generated.datab[9] -datab[10] => mult_36t:auto_generated.datab[10] -datab[11] => mult_36t:auto_generated.datab[11] -datab[12] => mult_36t:auto_generated.datab[12] -datab[13] => mult_36t:auto_generated.datab[13] -datab[14] => mult_36t:auto_generated.datab[14] -datab[15] => mult_36t:auto_generated.datab[15] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_36t:auto_generated.result[0] -result[1] <= mult_36t:auto_generated.result[1] -result[2] <= mult_36t:auto_generated.result[2] -result[3] <= mult_36t:auto_generated.result[3] -result[4] <= mult_36t:auto_generated.result[4] -result[5] <= mult_36t:auto_generated.result[5] -result[6] <= mult_36t:auto_generated.result[6] -result[7] <= mult_36t:auto_generated.result[7] -result[8] <= mult_36t:auto_generated.result[8] -result[9] <= mult_36t:auto_generated.result[9] -result[10] <= mult_36t:auto_generated.result[10] -result[11] <= mult_36t:auto_generated.result[11] -result[12] <= mult_36t:auto_generated.result[12] -result[13] <= mult_36t:auto_generated.result[13] -result[14] <= mult_36t:auto_generated.result[14] -result[15] <= mult_36t:auto_generated.result[15] -result[16] <= mult_36t:auto_generated.result[16] -result[17] <= mult_36t:auto_generated.result[17] -result[18] <= mult_36t:auto_generated.result[18] -result[19] <= mult_36t:auto_generated.result[19] -result[20] <= mult_36t:auto_generated.result[20] -result[21] <= mult_36t:auto_generated.result[21] -result[22] <= mult_36t:auto_generated.result[22] -result[23] <= mult_36t:auto_generated.result[23] -result[24] <= mult_36t:auto_generated.result[24] -result[25] <= mult_36t:auto_generated.result[25] -result[26] <= mult_36t:auto_generated.result[26] -result[27] <= mult_36t:auto_generated.result[27] -result[28] <= mult_36t:auto_generated.result[28] -result[29] <= mult_36t:auto_generated.result[29] -result[30] <= mult_36t:auto_generated.result[30] -result[31] <= mult_36t:auto_generated.result[31] - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -dataa[12] => mac_mult1.DATAA12 -dataa[13] => mac_mult1.DATAA13 -dataa[14] => mac_mult1.DATAA14 -dataa[15] => mac_mult1.DATAA15 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -datab[12] => mac_mult1.DATAB12 -datab[13] => mac_mult1.DATAB13 -datab[14] => mac_mult1.DATAB14 -datab[15] => mac_mult1.DATAB15 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 -result[24] <= mac_out2.DATAOUT24 -result[25] <= mac_out2.DATAOUT25 -result[26] <= mac_out2.DATAOUT26 -result[27] <= mac_out2.DATAOUT27 -result[28] <= mac_out2.DATAOUT28 -result[29] <= mac_out2.DATAOUT29 -result[30] <= mac_out2.DATAOUT30 -result[31] <= mac_out2.DATAOUT31 - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0 -dataa[0] => mult_36t:auto_generated.dataa[0] -dataa[1] => mult_36t:auto_generated.dataa[1] -dataa[2] => mult_36t:auto_generated.dataa[2] -dataa[3] => mult_36t:auto_generated.dataa[3] -dataa[4] => mult_36t:auto_generated.dataa[4] -dataa[5] => mult_36t:auto_generated.dataa[5] -dataa[6] => mult_36t:auto_generated.dataa[6] -dataa[7] => mult_36t:auto_generated.dataa[7] -dataa[8] => mult_36t:auto_generated.dataa[8] -dataa[9] => mult_36t:auto_generated.dataa[9] -dataa[10] => mult_36t:auto_generated.dataa[10] -dataa[11] => mult_36t:auto_generated.dataa[11] -dataa[12] => mult_36t:auto_generated.dataa[12] -dataa[13] => mult_36t:auto_generated.dataa[13] -dataa[14] => mult_36t:auto_generated.dataa[14] -dataa[15] => mult_36t:auto_generated.dataa[15] -datab[0] => mult_36t:auto_generated.datab[0] -datab[1] => mult_36t:auto_generated.datab[1] -datab[2] => mult_36t:auto_generated.datab[2] -datab[3] => mult_36t:auto_generated.datab[3] -datab[4] => mult_36t:auto_generated.datab[4] -datab[5] => mult_36t:auto_generated.datab[5] -datab[6] => mult_36t:auto_generated.datab[6] -datab[7] => mult_36t:auto_generated.datab[7] -datab[8] => mult_36t:auto_generated.datab[8] -datab[9] => mult_36t:auto_generated.datab[9] -datab[10] => mult_36t:auto_generated.datab[10] -datab[11] => mult_36t:auto_generated.datab[11] -datab[12] => mult_36t:auto_generated.datab[12] -datab[13] => mult_36t:auto_generated.datab[13] -datab[14] => mult_36t:auto_generated.datab[14] -datab[15] => mult_36t:auto_generated.datab[15] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_36t:auto_generated.result[0] -result[1] <= mult_36t:auto_generated.result[1] -result[2] <= mult_36t:auto_generated.result[2] -result[3] <= mult_36t:auto_generated.result[3] -result[4] <= mult_36t:auto_generated.result[4] -result[5] <= mult_36t:auto_generated.result[5] -result[6] <= mult_36t:auto_generated.result[6] -result[7] <= mult_36t:auto_generated.result[7] -result[8] <= mult_36t:auto_generated.result[8] -result[9] <= mult_36t:auto_generated.result[9] -result[10] <= mult_36t:auto_generated.result[10] -result[11] <= mult_36t:auto_generated.result[11] -result[12] <= mult_36t:auto_generated.result[12] -result[13] <= mult_36t:auto_generated.result[13] -result[14] <= mult_36t:auto_generated.result[14] -result[15] <= mult_36t:auto_generated.result[15] -result[16] <= mult_36t:auto_generated.result[16] -result[17] <= mult_36t:auto_generated.result[17] -result[18] <= mult_36t:auto_generated.result[18] -result[19] <= mult_36t:auto_generated.result[19] -result[20] <= mult_36t:auto_generated.result[20] -result[21] <= mult_36t:auto_generated.result[21] -result[22] <= mult_36t:auto_generated.result[22] -result[23] <= mult_36t:auto_generated.result[23] -result[24] <= mult_36t:auto_generated.result[24] -result[25] <= mult_36t:auto_generated.result[25] -result[26] <= mult_36t:auto_generated.result[26] -result[27] <= mult_36t:auto_generated.result[27] -result[28] <= mult_36t:auto_generated.result[28] -result[29] <= mult_36t:auto_generated.result[29] -result[30] <= mult_36t:auto_generated.result[30] -result[31] <= mult_36t:auto_generated.result[31] - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -dataa[12] => mac_mult1.DATAA12 -dataa[13] => mac_mult1.DATAA13 -dataa[14] => mac_mult1.DATAA14 -dataa[15] => mac_mult1.DATAA15 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -datab[12] => mac_mult1.DATAB12 -datab[13] => mac_mult1.DATAB13 -datab[14] => mac_mult1.DATAB14 -datab[15] => mac_mult1.DATAB15 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 -result[24] <= mac_out2.DATAOUT24 -result[25] <= mac_out2.DATAOUT25 -result[26] <= mac_out2.DATAOUT26 -result[27] <= mac_out2.DATAOUT27 -result[28] <= mac_out2.DATAOUT28 -result[29] <= mac_out2.DATAOUT29 -result[30] <= mac_out2.DATAOUT30 -result[31] <= mac_out2.DATAOUT31 - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1 -dataa[0] => mult_36t:auto_generated.dataa[0] -dataa[1] => mult_36t:auto_generated.dataa[1] -dataa[2] => mult_36t:auto_generated.dataa[2] -dataa[3] => mult_36t:auto_generated.dataa[3] -dataa[4] => mult_36t:auto_generated.dataa[4] -dataa[5] => mult_36t:auto_generated.dataa[5] -dataa[6] => mult_36t:auto_generated.dataa[6] -dataa[7] => mult_36t:auto_generated.dataa[7] -dataa[8] => mult_36t:auto_generated.dataa[8] -dataa[9] => mult_36t:auto_generated.dataa[9] -dataa[10] => mult_36t:auto_generated.dataa[10] -dataa[11] => mult_36t:auto_generated.dataa[11] -dataa[12] => mult_36t:auto_generated.dataa[12] -dataa[13] => mult_36t:auto_generated.dataa[13] -dataa[14] => mult_36t:auto_generated.dataa[14] -dataa[15] => mult_36t:auto_generated.dataa[15] -datab[0] => mult_36t:auto_generated.datab[0] -datab[1] => mult_36t:auto_generated.datab[1] -datab[2] => mult_36t:auto_generated.datab[2] -datab[3] => mult_36t:auto_generated.datab[3] -datab[4] => mult_36t:auto_generated.datab[4] -datab[5] => mult_36t:auto_generated.datab[5] -datab[6] => mult_36t:auto_generated.datab[6] -datab[7] => mult_36t:auto_generated.datab[7] -datab[8] => mult_36t:auto_generated.datab[8] -datab[9] => mult_36t:auto_generated.datab[9] -datab[10] => mult_36t:auto_generated.datab[10] -datab[11] => mult_36t:auto_generated.datab[11] -datab[12] => mult_36t:auto_generated.datab[12] -datab[13] => mult_36t:auto_generated.datab[13] -datab[14] => mult_36t:auto_generated.datab[14] -datab[15] => mult_36t:auto_generated.datab[15] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_36t:auto_generated.result[0] -result[1] <= mult_36t:auto_generated.result[1] -result[2] <= mult_36t:auto_generated.result[2] -result[3] <= mult_36t:auto_generated.result[3] -result[4] <= mult_36t:auto_generated.result[4] -result[5] <= mult_36t:auto_generated.result[5] -result[6] <= mult_36t:auto_generated.result[6] -result[7] <= mult_36t:auto_generated.result[7] -result[8] <= mult_36t:auto_generated.result[8] -result[9] <= mult_36t:auto_generated.result[9] -result[10] <= mult_36t:auto_generated.result[10] -result[11] <= mult_36t:auto_generated.result[11] -result[12] <= mult_36t:auto_generated.result[12] -result[13] <= mult_36t:auto_generated.result[13] -result[14] <= mult_36t:auto_generated.result[14] -result[15] <= mult_36t:auto_generated.result[15] -result[16] <= mult_36t:auto_generated.result[16] -result[17] <= mult_36t:auto_generated.result[17] -result[18] <= mult_36t:auto_generated.result[18] -result[19] <= mult_36t:auto_generated.result[19] -result[20] <= mult_36t:auto_generated.result[20] -result[21] <= mult_36t:auto_generated.result[21] -result[22] <= mult_36t:auto_generated.result[22] -result[23] <= mult_36t:auto_generated.result[23] -result[24] <= mult_36t:auto_generated.result[24] -result[25] <= mult_36t:auto_generated.result[25] -result[26] <= mult_36t:auto_generated.result[26] -result[27] <= mult_36t:auto_generated.result[27] -result[28] <= mult_36t:auto_generated.result[28] -result[29] <= mult_36t:auto_generated.result[29] -result[30] <= mult_36t:auto_generated.result[30] -result[31] <= mult_36t:auto_generated.result[31] - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -dataa[12] => mac_mult1.DATAA12 -dataa[13] => mac_mult1.DATAA13 -dataa[14] => mac_mult1.DATAA14 -dataa[15] => mac_mult1.DATAA15 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -datab[12] => mac_mult1.DATAB12 -datab[13] => mac_mult1.DATAB13 -datab[14] => mac_mult1.DATAB14 -datab[15] => mac_mult1.DATAB15 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 -result[24] <= mac_out2.DATAOUT24 -result[25] <= mac_out2.DATAOUT25 -result[26] <= mac_out2.DATAOUT26 -result[27] <= mac_out2.DATAOUT27 -result[28] <= mac_out2.DATAOUT28 -result[29] <= mac_out2.DATAOUT29 -result[30] <= mac_out2.DATAOUT30 -result[31] <= mac_out2.DATAOUT31 - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0 -dataa[0] => mult_36t:auto_generated.dataa[0] -dataa[1] => mult_36t:auto_generated.dataa[1] -dataa[2] => mult_36t:auto_generated.dataa[2] -dataa[3] => mult_36t:auto_generated.dataa[3] -dataa[4] => mult_36t:auto_generated.dataa[4] -dataa[5] => mult_36t:auto_generated.dataa[5] -dataa[6] => mult_36t:auto_generated.dataa[6] -dataa[7] => mult_36t:auto_generated.dataa[7] -dataa[8] => mult_36t:auto_generated.dataa[8] -dataa[9] => mult_36t:auto_generated.dataa[9] -dataa[10] => mult_36t:auto_generated.dataa[10] -dataa[11] => mult_36t:auto_generated.dataa[11] -dataa[12] => mult_36t:auto_generated.dataa[12] -dataa[13] => mult_36t:auto_generated.dataa[13] -dataa[14] => mult_36t:auto_generated.dataa[14] -dataa[15] => mult_36t:auto_generated.dataa[15] -datab[0] => mult_36t:auto_generated.datab[0] -datab[1] => mult_36t:auto_generated.datab[1] -datab[2] => mult_36t:auto_generated.datab[2] -datab[3] => mult_36t:auto_generated.datab[3] -datab[4] => mult_36t:auto_generated.datab[4] -datab[5] => mult_36t:auto_generated.datab[5] -datab[6] => mult_36t:auto_generated.datab[6] -datab[7] => mult_36t:auto_generated.datab[7] -datab[8] => mult_36t:auto_generated.datab[8] -datab[9] => mult_36t:auto_generated.datab[9] -datab[10] => mult_36t:auto_generated.datab[10] -datab[11] => mult_36t:auto_generated.datab[11] -datab[12] => mult_36t:auto_generated.datab[12] -datab[13] => mult_36t:auto_generated.datab[13] -datab[14] => mult_36t:auto_generated.datab[14] -datab[15] => mult_36t:auto_generated.datab[15] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_36t:auto_generated.result[0] -result[1] <= mult_36t:auto_generated.result[1] -result[2] <= mult_36t:auto_generated.result[2] -result[3] <= mult_36t:auto_generated.result[3] -result[4] <= mult_36t:auto_generated.result[4] -result[5] <= mult_36t:auto_generated.result[5] -result[6] <= mult_36t:auto_generated.result[6] -result[7] <= mult_36t:auto_generated.result[7] -result[8] <= mult_36t:auto_generated.result[8] -result[9] <= mult_36t:auto_generated.result[9] -result[10] <= mult_36t:auto_generated.result[10] -result[11] <= mult_36t:auto_generated.result[11] -result[12] <= mult_36t:auto_generated.result[12] -result[13] <= mult_36t:auto_generated.result[13] -result[14] <= mult_36t:auto_generated.result[14] -result[15] <= mult_36t:auto_generated.result[15] -result[16] <= mult_36t:auto_generated.result[16] -result[17] <= mult_36t:auto_generated.result[17] -result[18] <= mult_36t:auto_generated.result[18] -result[19] <= mult_36t:auto_generated.result[19] -result[20] <= mult_36t:auto_generated.result[20] -result[21] <= mult_36t:auto_generated.result[21] -result[22] <= mult_36t:auto_generated.result[22] -result[23] <= mult_36t:auto_generated.result[23] -result[24] <= mult_36t:auto_generated.result[24] -result[25] <= mult_36t:auto_generated.result[25] -result[26] <= mult_36t:auto_generated.result[26] -result[27] <= mult_36t:auto_generated.result[27] -result[28] <= mult_36t:auto_generated.result[28] -result[29] <= mult_36t:auto_generated.result[29] -result[30] <= mult_36t:auto_generated.result[30] -result[31] <= mult_36t:auto_generated.result[31] - - -|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -dataa[12] => mac_mult1.DATAA12 -dataa[13] => mac_mult1.DATAA13 -dataa[14] => mac_mult1.DATAA14 -dataa[15] => mac_mult1.DATAA15 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -datab[12] => mac_mult1.DATAB12 -datab[13] => mac_mult1.DATAB13 -datab[14] => mac_mult1.DATAB14 -datab[15] => mac_mult1.DATAB15 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 -result[24] <= mac_out2.DATAOUT24 -result[25] <= mac_out2.DATAOUT25 -result[26] <= mac_out2.DATAOUT26 -result[27] <= mac_out2.DATAOUT27 -result[28] <= mac_out2.DATAOUT28 -result[29] <= mac_out2.DATAOUT29 -result[30] <= mac_out2.DATAOUT30 -result[31] <= mac_out2.DATAOUT31 - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1 -dataa[0] => mult_t5t:auto_generated.dataa[0] -dataa[1] => mult_t5t:auto_generated.dataa[1] -dataa[2] => mult_t5t:auto_generated.dataa[2] -dataa[3] => mult_t5t:auto_generated.dataa[3] -dataa[4] => mult_t5t:auto_generated.dataa[4] -dataa[5] => mult_t5t:auto_generated.dataa[5] -dataa[6] => mult_t5t:auto_generated.dataa[6] -dataa[7] => mult_t5t:auto_generated.dataa[7] -dataa[8] => mult_t5t:auto_generated.dataa[8] -dataa[9] => mult_t5t:auto_generated.dataa[9] -dataa[10] => mult_t5t:auto_generated.dataa[10] -dataa[11] => mult_t5t:auto_generated.dataa[11] -datab[0] => mult_t5t:auto_generated.datab[0] -datab[1] => mult_t5t:auto_generated.datab[1] -datab[2] => mult_t5t:auto_generated.datab[2] -datab[3] => mult_t5t:auto_generated.datab[3] -datab[4] => mult_t5t:auto_generated.datab[4] -datab[5] => mult_t5t:auto_generated.datab[5] -datab[6] => mult_t5t:auto_generated.datab[6] -datab[7] => mult_t5t:auto_generated.datab[7] -datab[8] => mult_t5t:auto_generated.datab[8] -datab[9] => mult_t5t:auto_generated.datab[9] -datab[10] => mult_t5t:auto_generated.datab[10] -datab[11] => mult_t5t:auto_generated.datab[11] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_t5t:auto_generated.result[0] -result[1] <= mult_t5t:auto_generated.result[1] -result[2] <= mult_t5t:auto_generated.result[2] -result[3] <= mult_t5t:auto_generated.result[3] -result[4] <= mult_t5t:auto_generated.result[4] -result[5] <= mult_t5t:auto_generated.result[5] -result[6] <= mult_t5t:auto_generated.result[6] -result[7] <= mult_t5t:auto_generated.result[7] -result[8] <= mult_t5t:auto_generated.result[8] -result[9] <= mult_t5t:auto_generated.result[9] -result[10] <= mult_t5t:auto_generated.result[10] -result[11] <= mult_t5t:auto_generated.result[11] -result[12] <= mult_t5t:auto_generated.result[12] -result[13] <= mult_t5t:auto_generated.result[13] -result[14] <= mult_t5t:auto_generated.result[14] -result[15] <= mult_t5t:auto_generated.result[15] -result[16] <= mult_t5t:auto_generated.result[16] -result[17] <= mult_t5t:auto_generated.result[17] -result[18] <= mult_t5t:auto_generated.result[18] -result[19] <= mult_t5t:auto_generated.result[19] -result[20] <= mult_t5t:auto_generated.result[20] -result[21] <= mult_t5t:auto_generated.result[21] -result[22] <= mult_t5t:auto_generated.result[22] -result[23] <= mult_t5t:auto_generated.result[23] - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0 -dataa[0] => mult_t5t:auto_generated.dataa[0] -dataa[1] => mult_t5t:auto_generated.dataa[1] -dataa[2] => mult_t5t:auto_generated.dataa[2] -dataa[3] => mult_t5t:auto_generated.dataa[3] -dataa[4] => mult_t5t:auto_generated.dataa[4] -dataa[5] => mult_t5t:auto_generated.dataa[5] -dataa[6] => mult_t5t:auto_generated.dataa[6] -dataa[7] => mult_t5t:auto_generated.dataa[7] -dataa[8] => mult_t5t:auto_generated.dataa[8] -dataa[9] => mult_t5t:auto_generated.dataa[9] -dataa[10] => mult_t5t:auto_generated.dataa[10] -dataa[11] => mult_t5t:auto_generated.dataa[11] -datab[0] => mult_t5t:auto_generated.datab[0] -datab[1] => mult_t5t:auto_generated.datab[1] -datab[2] => mult_t5t:auto_generated.datab[2] -datab[3] => mult_t5t:auto_generated.datab[3] -datab[4] => mult_t5t:auto_generated.datab[4] -datab[5] => mult_t5t:auto_generated.datab[5] -datab[6] => mult_t5t:auto_generated.datab[6] -datab[7] => mult_t5t:auto_generated.datab[7] -datab[8] => mult_t5t:auto_generated.datab[8] -datab[9] => mult_t5t:auto_generated.datab[9] -datab[10] => mult_t5t:auto_generated.datab[10] -datab[11] => mult_t5t:auto_generated.datab[11] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_t5t:auto_generated.result[0] -result[1] <= mult_t5t:auto_generated.result[1] -result[2] <= mult_t5t:auto_generated.result[2] -result[3] <= mult_t5t:auto_generated.result[3] -result[4] <= mult_t5t:auto_generated.result[4] -result[5] <= mult_t5t:auto_generated.result[5] -result[6] <= mult_t5t:auto_generated.result[6] -result[7] <= mult_t5t:auto_generated.result[7] -result[8] <= mult_t5t:auto_generated.result[8] -result[9] <= mult_t5t:auto_generated.result[9] -result[10] <= mult_t5t:auto_generated.result[10] -result[11] <= mult_t5t:auto_generated.result[11] -result[12] <= mult_t5t:auto_generated.result[12] -result[13] <= mult_t5t:auto_generated.result[13] -result[14] <= mult_t5t:auto_generated.result[14] -result[15] <= mult_t5t:auto_generated.result[15] -result[16] <= mult_t5t:auto_generated.result[16] -result[17] <= mult_t5t:auto_generated.result[17] -result[18] <= mult_t5t:auto_generated.result[18] -result[19] <= mult_t5t:auto_generated.result[19] -result[20] <= mult_t5t:auto_generated.result[20] -result[21] <= mult_t5t:auto_generated.result[21] -result[22] <= mult_t5t:auto_generated.result[22] -result[23] <= mult_t5t:auto_generated.result[23] - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1 -dataa[0] => mult_t5t:auto_generated.dataa[0] -dataa[1] => mult_t5t:auto_generated.dataa[1] -dataa[2] => mult_t5t:auto_generated.dataa[2] -dataa[3] => mult_t5t:auto_generated.dataa[3] -dataa[4] => mult_t5t:auto_generated.dataa[4] -dataa[5] => mult_t5t:auto_generated.dataa[5] -dataa[6] => mult_t5t:auto_generated.dataa[6] -dataa[7] => mult_t5t:auto_generated.dataa[7] -dataa[8] => mult_t5t:auto_generated.dataa[8] -dataa[9] => mult_t5t:auto_generated.dataa[9] -dataa[10] => mult_t5t:auto_generated.dataa[10] -dataa[11] => mult_t5t:auto_generated.dataa[11] -datab[0] => mult_t5t:auto_generated.datab[0] -datab[1] => mult_t5t:auto_generated.datab[1] -datab[2] => mult_t5t:auto_generated.datab[2] -datab[3] => mult_t5t:auto_generated.datab[3] -datab[4] => mult_t5t:auto_generated.datab[4] -datab[5] => mult_t5t:auto_generated.datab[5] -datab[6] => mult_t5t:auto_generated.datab[6] -datab[7] => mult_t5t:auto_generated.datab[7] -datab[8] => mult_t5t:auto_generated.datab[8] -datab[9] => mult_t5t:auto_generated.datab[9] -datab[10] => mult_t5t:auto_generated.datab[10] -datab[11] => mult_t5t:auto_generated.datab[11] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_t5t:auto_generated.result[0] -result[1] <= mult_t5t:auto_generated.result[1] -result[2] <= mult_t5t:auto_generated.result[2] -result[3] <= mult_t5t:auto_generated.result[3] -result[4] <= mult_t5t:auto_generated.result[4] -result[5] <= mult_t5t:auto_generated.result[5] -result[6] <= mult_t5t:auto_generated.result[6] -result[7] <= mult_t5t:auto_generated.result[7] -result[8] <= mult_t5t:auto_generated.result[8] -result[9] <= mult_t5t:auto_generated.result[9] -result[10] <= mult_t5t:auto_generated.result[10] -result[11] <= mult_t5t:auto_generated.result[11] -result[12] <= mult_t5t:auto_generated.result[12] -result[13] <= mult_t5t:auto_generated.result[13] -result[14] <= mult_t5t:auto_generated.result[14] -result[15] <= mult_t5t:auto_generated.result[15] -result[16] <= mult_t5t:auto_generated.result[16] -result[17] <= mult_t5t:auto_generated.result[17] -result[18] <= mult_t5t:auto_generated.result[18] -result[19] <= mult_t5t:auto_generated.result[19] -result[20] <= mult_t5t:auto_generated.result[20] -result[21] <= mult_t5t:auto_generated.result[21] -result[22] <= mult_t5t:auto_generated.result[22] -result[23] <= mult_t5t:auto_generated.result[23] - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0 -dataa[0] => mult_t5t:auto_generated.dataa[0] -dataa[1] => mult_t5t:auto_generated.dataa[1] -dataa[2] => mult_t5t:auto_generated.dataa[2] -dataa[3] => mult_t5t:auto_generated.dataa[3] -dataa[4] => mult_t5t:auto_generated.dataa[4] -dataa[5] => mult_t5t:auto_generated.dataa[5] -dataa[6] => mult_t5t:auto_generated.dataa[6] -dataa[7] => mult_t5t:auto_generated.dataa[7] -dataa[8] => mult_t5t:auto_generated.dataa[8] -dataa[9] => mult_t5t:auto_generated.dataa[9] -dataa[10] => mult_t5t:auto_generated.dataa[10] -dataa[11] => mult_t5t:auto_generated.dataa[11] -datab[0] => mult_t5t:auto_generated.datab[0] -datab[1] => mult_t5t:auto_generated.datab[1] -datab[2] => mult_t5t:auto_generated.datab[2] -datab[3] => mult_t5t:auto_generated.datab[3] -datab[4] => mult_t5t:auto_generated.datab[4] -datab[5] => mult_t5t:auto_generated.datab[5] -datab[6] => mult_t5t:auto_generated.datab[6] -datab[7] => mult_t5t:auto_generated.datab[7] -datab[8] => mult_t5t:auto_generated.datab[8] -datab[9] => mult_t5t:auto_generated.datab[9] -datab[10] => mult_t5t:auto_generated.datab[10] -datab[11] => mult_t5t:auto_generated.datab[11] -sum[0] => ~NO_FANOUT~ -aclr => ~NO_FANOUT~ -sclr => ~NO_FANOUT~ -clock => ~NO_FANOUT~ -clken => ~NO_FANOUT~ -result[0] <= mult_t5t:auto_generated.result[0] -result[1] <= mult_t5t:auto_generated.result[1] -result[2] <= mult_t5t:auto_generated.result[2] -result[3] <= mult_t5t:auto_generated.result[3] -result[4] <= mult_t5t:auto_generated.result[4] -result[5] <= mult_t5t:auto_generated.result[5] -result[6] <= mult_t5t:auto_generated.result[6] -result[7] <= mult_t5t:auto_generated.result[7] -result[8] <= mult_t5t:auto_generated.result[8] -result[9] <= mult_t5t:auto_generated.result[9] -result[10] <= mult_t5t:auto_generated.result[10] -result[11] <= mult_t5t:auto_generated.result[11] -result[12] <= mult_t5t:auto_generated.result[12] -result[13] <= mult_t5t:auto_generated.result[13] -result[14] <= mult_t5t:auto_generated.result[14] -result[15] <= mult_t5t:auto_generated.result[15] -result[16] <= mult_t5t:auto_generated.result[16] -result[17] <= mult_t5t:auto_generated.result[17] -result[18] <= mult_t5t:auto_generated.result[18] -result[19] <= mult_t5t:auto_generated.result[19] -result[20] <= mult_t5t:auto_generated.result[20] -result[21] <= mult_t5t:auto_generated.result[21] -result[22] <= mult_t5t:auto_generated.result[22] -result[23] <= mult_t5t:auto_generated.result[23] - - -|WOLF-LITE|nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated -dataa[0] => mac_mult1.DATAA -dataa[1] => mac_mult1.DATAA1 -dataa[2] => mac_mult1.DATAA2 -dataa[3] => mac_mult1.DATAA3 -dataa[4] => mac_mult1.DATAA4 -dataa[5] => mac_mult1.DATAA5 -dataa[6] => mac_mult1.DATAA6 -dataa[7] => mac_mult1.DATAA7 -dataa[8] => mac_mult1.DATAA8 -dataa[9] => mac_mult1.DATAA9 -dataa[10] => mac_mult1.DATAA10 -dataa[11] => mac_mult1.DATAA11 -datab[0] => mac_mult1.DATAB -datab[1] => mac_mult1.DATAB1 -datab[2] => mac_mult1.DATAB2 -datab[3] => mac_mult1.DATAB3 -datab[4] => mac_mult1.DATAB4 -datab[5] => mac_mult1.DATAB5 -datab[6] => mac_mult1.DATAB6 -datab[7] => mac_mult1.DATAB7 -datab[8] => mac_mult1.DATAB8 -datab[9] => mac_mult1.DATAB9 -datab[10] => mac_mult1.DATAB10 -datab[11] => mac_mult1.DATAB11 -result[0] <= mac_out2.DATAOUT -result[1] <= mac_out2.DATAOUT1 -result[2] <= mac_out2.DATAOUT2 -result[3] <= mac_out2.DATAOUT3 -result[4] <= mac_out2.DATAOUT4 -result[5] <= mac_out2.DATAOUT5 -result[6] <= mac_out2.DATAOUT6 -result[7] <= mac_out2.DATAOUT7 -result[8] <= mac_out2.DATAOUT8 -result[9] <= mac_out2.DATAOUT9 -result[10] <= mac_out2.DATAOUT10 -result[11] <= mac_out2.DATAOUT11 -result[12] <= mac_out2.DATAOUT12 -result[13] <= mac_out2.DATAOUT13 -result[14] <= mac_out2.DATAOUT14 -result[15] <= mac_out2.DATAOUT15 -result[16] <= mac_out2.DATAOUT16 -result[17] <= mac_out2.DATAOUT17 -result[18] <= mac_out2.DATAOUT18 -result[19] <= mac_out2.DATAOUT19 -result[20] <= mac_out2.DATAOUT20 -result[21] <= mac_out2.DATAOUT21 -result[22] <= mac_out2.DATAOUT22 -result[23] <= mac_out2.DATAOUT23 - - diff --git a/FPGA_61.440/db/WOLF-LITE.hif b/FPGA_61.440/db/WOLF-LITE.hif deleted file mode 100644 index 0a232e0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.hif and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.lpc.html b/FPGA_61.440/db/WOLF-LITE.lpc.html deleted file mode 100644 index 7d2a99a..0000000 --- a/FPGA_61.440/db/WOLF-LITE.lpc.html +++ /dev/null @@ -1,2274 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
DBG_ADC|in_system_sources_probes_012000000000000
DBG_ADC12000000000000
DAC_CORRECTOR410001400000000
DAC_IDLE00001400000000
DAC_MUX|LPM_MUX_component|auto_generated290001400000000
DAC_MUX290001400000000
MAIN_PLL|altpll_component|auto_generated2000500000000
MAIN_PLL1000200000000
RX_MIXER_Q|lpm_mult_component|auto_generated260002400000000
RX_MIXER_Q260002400000000
RX_CIC_Q|cic_ii_0|core43160163916161600000
RX_CIC_Q|cic_ii_0300003600000000
RX_CIC_Q282023622200000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk490204700000000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im0_component|auto_generated280002600000000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im4_component|auto_generated250002300000000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated460003200000000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_134101111100000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13351013211100000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_164101111100000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_154101111100000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute4101111100000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread4101111100000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core43160165516161600000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|intf_ctrl6111611100000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|source532325322200000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|sink400303600000000
RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst407075377700000
RX_CICOMP_Q|rx_ciccomp_inst370004900000000
RX_CICOMP_Q372024922200000
ADC_Latch|LPM_ADD_SUB_component|auto_generated260001200000000
ADC_Latch140001200000000
RX_MIXER_I|lpm_mult_component|auto_generated260002400000000
RX_MIXER_I260002400000000
RX_NCO|nco_ii_0|ux710isdr3000100000000
RX_NCO|nco_ii_0|blk12701101200000000
RX_NCO|nco_ii_0|blk02701101200000000
RX_NCO|nco_ii_0|ux0136480004800000000
RX_NCO|nco_ii_0|m0500002400000000
RX_NCO|nco_ii_0|m1500002400000000
RX_NCO|nco_ii_0|ux0123130001200000000
RX_NCO|nco_ii_0|ux0122130001200000000
RX_NCO|nco_ii_0|ux0220240002400000000
RX_NCO|nco_ii_0|ux008250003300000000
RX_NCO|nco_ii_0|ux000250002200000000
RX_NCO|nco_ii_0250002500000000
RX_NCO250002500000000
RX_CIC_I|cic_ii_0|core43160163916161600000
RX_CIC_I|cic_ii_0300003600000000
RX_CIC_I282023622200000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk490204700000000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im0_component|auto_generated280002600000000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im4_component|auto_generated250002300000000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated460003200000000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_134101111100000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13351013211100000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_164101111100000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_154101111100000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute4101111100000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread4101111100000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core43160165516161600000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|intf_ctrl6111611100000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|source532325322200000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|sink400303600000000
RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst407075377700000
RX_CICCOMP_I|rx_ciccomp_inst370004900000000
RX_CICCOMP_I372024922200000
RX_CICFIR_GAINER1031013411100000
FLASH128082088800000
TX_CIC_Q|cic_ii_0|core37170172317171700000
TX_CIC_Q|cic_ii_0231012011100000
TX_CIC_Q225052055500000
TX_MIXER_Q|lpm_mult_component|auto_generated340003200000000
TX_MIXER_Q340003200000000
TX_NCO|nco_ii_0|ux710isdr3000100000000
TX_NCO|nco_ii_0|blk13501501600000000
TX_NCO|nco_ii_0|blk03501501600000000
TX_NCO|nco_ii_0|ux0136640006400000000
TX_NCO|nco_ii_0|m0660003200000000
TX_NCO|nco_ii_0|m1660003200000000
TX_NCO|nco_ii_0|ux0123130001600000000
TX_NCO|nco_ii_0|ux0122130001600000000
TX_NCO|nco_ii_0|ux0220240003200000000
TX_NCO|nco_ii_0|ux008250003300000000
TX_NCO|nco_ii_0|ux000250002200000000
TX_NCO|nco_ii_0250003300000000
TX_NCO252023322200000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk330203100000000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_accum_p1_of_2_q_17231012011100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_mtree_mult1_0_q_1627210212421212100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_164101111100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_aseq_q_164101111100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_component|auto_generated260002400000000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated300001600000000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_134101111100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13191011611100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_154101111100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_144101111100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute4101111100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread4101111100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core27160163916161600000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|intf_ctrl6111611100000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|source372323722200000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|sink240302000000000
TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst247073777700000
TX_CICCOMP_Q|tx_ciccomp_inst210003300000000
TX_CICCOMP_Q190003300000000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk330203100000000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_accum_p1_of_2_q_17231012011100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_mtree_mult1_0_q_1627210212421212100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_164101111100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_aseq_q_164101111100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_component|auto_generated260002400000000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated300001600000000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_134101111100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13191011611100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_154101111100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_144101111100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute4101111100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread4101111100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core27160163916161600000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|intf_ctrl6111611100000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|source372323722200000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|sink240302000000000
TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst247073777700000
TX_CICCOMP_I|tx_ciccomp_inst210003300000000
TX_CICCOMP_I190003300000000
TX_CICCOMP_GAINER710003400000000
TX_CIC_I|cic_ii_0|core37170172317171700000
TX_CIC_I|cic_ii_0231012011100000
TX_CIC_I225052055500000
TX_MIXER_I|lpm_mult_component|auto_generated340003200000000
TX_MIXER_I340003200000000
SYSCLK_BUFFER|altclkctrl_0|clock_buffer_altclkctrl_0_sub_component5404144400000
SYSCLK_BUFFER|altclkctrl_01000100000000
SYSCLK_BUFFER1000100000000
TX_PLL|altpll_component|auto_generated2000500000000
TX_PLL1000100000000
TX_SUMMATOR|LPM_ADD_SUB_component|auto_generated660003300000000
TX_SUMMATOR660003300000000
STM32_INTERFACE592402415224242480000
diff --git a/FPGA_61.440/db/WOLF-LITE.lpc.rdb b/FPGA_61.440/db/WOLF-LITE.lpc.rdb deleted file mode 100644 index 9194650..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.lpc.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.lpc.txt b/FPGA_61.440/db/WOLF-LITE.lpc.txt deleted file mode 100644 index 3017408..0000000 --- a/FPGA_61.440/db/WOLF-LITE.lpc.txt +++ /dev/null @@ -1,147 +0,0 @@ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+---------------------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+---------------------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; DBG_ADC|in_system_sources_probes_0 ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; DBG_ADC ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; DAC_CORRECTOR ; 41 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; DAC_IDLE ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; DAC_MUX|LPM_MUX_component|auto_generated ; 29 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; DAC_MUX ; 29 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; MAIN_PLL|altpll_component|auto_generated ; 2 ; 0 ; 0 ; 0 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; MAIN_PLL ; 1 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_MIXER_Q|lpm_mult_component|auto_generated ; 26 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_MIXER_Q ; 26 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CIC_Q|cic_ii_0|core ; 43 ; 16 ; 0 ; 16 ; 39 ; 16 ; 16 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CIC_Q|cic_ii_0 ; 30 ; 0 ; 0 ; 0 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CIC_Q ; 28 ; 2 ; 0 ; 2 ; 36 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk ; 49 ; 0 ; 2 ; 0 ; 47 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im0_component|auto_generated ; 28 ; 0 ; 0 ; 0 ; 26 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im4_component|auto_generated ; 25 ; 0 ; 0 ; 0 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated ; 46 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_13 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13 ; 35 ; 1 ; 0 ; 1 ; 32 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_16 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_15 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core ; 43 ; 16 ; 0 ; 16 ; 55 ; 16 ; 16 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|intf_ctrl ; 6 ; 1 ; 1 ; 1 ; 6 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|source ; 53 ; 2 ; 3 ; 2 ; 53 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|sink ; 40 ; 0 ; 3 ; 0 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst ; 40 ; 7 ; 0 ; 7 ; 53 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q|rx_ciccomp_inst ; 37 ; 0 ; 0 ; 0 ; 49 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICOMP_Q ; 37 ; 2 ; 0 ; 2 ; 49 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; ADC_Latch|LPM_ADD_SUB_component|auto_generated ; 26 ; 0 ; 0 ; 0 ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; ADC_Latch ; 14 ; 0 ; 0 ; 0 ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_MIXER_I|lpm_mult_component|auto_generated ; 26 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_MIXER_I ; 26 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|ux710isdr ; 3 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|blk1 ; 27 ; 0 ; 11 ; 0 ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|blk0 ; 27 ; 0 ; 11 ; 0 ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|ux0136 ; 48 ; 0 ; 0 ; 0 ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|m0 ; 50 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|m1 ; 50 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|ux0123 ; 13 ; 0 ; 0 ; 0 ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|ux0122 ; 13 ; 0 ; 0 ; 0 ; 12 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|ux0220 ; 24 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|ux008 ; 25 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0|ux000 ; 25 ; 0 ; 0 ; 0 ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO|nco_ii_0 ; 25 ; 0 ; 0 ; 0 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_NCO ; 25 ; 0 ; 0 ; 0 ; 25 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CIC_I|cic_ii_0|core ; 43 ; 16 ; 0 ; 16 ; 39 ; 16 ; 16 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CIC_I|cic_ii_0 ; 30 ; 0 ; 0 ; 0 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CIC_I ; 28 ; 2 ; 0 ; 2 ; 36 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk ; 49 ; 0 ; 2 ; 0 ; 47 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im0_component|auto_generated ; 28 ; 0 ; 0 ; 0 ; 26 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_im4_component|auto_generated ; 25 ; 0 ; 0 ; 0 ; 23 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated ; 46 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_13 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13 ; 35 ; 1 ; 0 ; 1 ; 32 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_16 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_15 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core ; 43 ; 16 ; 0 ; 16 ; 55 ; 16 ; 16 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|intf_ctrl ; 6 ; 1 ; 1 ; 1 ; 6 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|source ; 53 ; 2 ; 3 ; 2 ; 53 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst|sink ; 40 ; 0 ; 3 ; 0 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst|rx_ciccomp_0002_ast_inst ; 40 ; 7 ; 0 ; 7 ; 53 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I|rx_ciccomp_inst ; 37 ; 0 ; 0 ; 0 ; 49 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICCOMP_I ; 37 ; 2 ; 0 ; 2 ; 49 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RX_CICFIR_GAINER ; 103 ; 1 ; 0 ; 1 ; 34 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; FLASH ; 12 ; 8 ; 0 ; 8 ; 20 ; 8 ; 8 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CIC_Q|cic_ii_0|core ; 37 ; 17 ; 0 ; 17 ; 23 ; 17 ; 17 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CIC_Q|cic_ii_0 ; 23 ; 1 ; 0 ; 1 ; 20 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CIC_Q ; 22 ; 5 ; 0 ; 5 ; 20 ; 5 ; 5 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_MIXER_Q|lpm_mult_component|auto_generated ; 34 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_MIXER_Q ; 34 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|ux710isdr ; 3 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|blk1 ; 35 ; 0 ; 15 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|blk0 ; 35 ; 0 ; 15 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|ux0136 ; 64 ; 0 ; 0 ; 0 ; 64 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|m0 ; 66 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|m1 ; 66 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|ux0123 ; 13 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|ux0122 ; 13 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|ux0220 ; 24 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|ux008 ; 25 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0|ux000 ; 25 ; 0 ; 0 ; 0 ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO|nco_ii_0 ; 25 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_NCO ; 25 ; 2 ; 0 ; 2 ; 33 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk ; 33 ; 0 ; 2 ; 0 ; 31 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_accum_p1_of_2_q_17 ; 23 ; 1 ; 0 ; 1 ; 20 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_mtree_mult1_0_q_16 ; 27 ; 21 ; 0 ; 21 ; 24 ; 21 ; 21 ; 21 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_16 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_aseq_q_16 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_component|auto_generated ; 26 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated ; 30 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_13 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13 ; 19 ; 1 ; 0 ; 1 ; 16 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_15 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_14 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core ; 27 ; 16 ; 0 ; 16 ; 39 ; 16 ; 16 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|intf_ctrl ; 6 ; 1 ; 1 ; 1 ; 6 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|source ; 37 ; 2 ; 3 ; 2 ; 37 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|sink ; 24 ; 0 ; 3 ; 0 ; 20 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst ; 24 ; 7 ; 0 ; 7 ; 37 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q|tx_ciccomp_inst ; 21 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_Q ; 19 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:gen_outp_blk:0:outp_blk ; 33 ; 0 ; 2 ; 0 ; 31 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_accum_p1_of_2_q_17 ; 23 ; 1 ; 0 ; 1 ; 20 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_mtree_mult1_0_q_16 ; 27 ; 21 ; 0 ; 21 ; 24 ; 21 ; 21 ; 21 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_16 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_aseq_q_16 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_mtree_mult1_0_component|auto_generated ; 26 ; 0 ; 0 ; 0 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_wi0_r0_memr0_dmem|auto_generated ; 30 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_in0_m0_wi0_wo0_assign_id1_q_13 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_xIn_0_13 ; 19 ; 1 ; 0 ; 1 ; 16 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_15 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|d_u0_m0_wo0_compute_q_14 ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_compute ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core|u0_m0_wo0_memread ; 4 ; 1 ; 0 ; 1 ; 1 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|\real_passthrough:hpfircore_core ; 27 ; 16 ; 0 ; 16 ; 39 ; 16 ; 16 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|intf_ctrl ; 6 ; 1 ; 1 ; 1 ; 6 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|source ; 37 ; 2 ; 3 ; 2 ; 37 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst|sink ; 24 ; 0 ; 3 ; 0 ; 20 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst|tx_ciccomp_0002_ast_inst ; 24 ; 7 ; 0 ; 7 ; 37 ; 7 ; 7 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I|tx_ciccomp_inst ; 21 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_I ; 19 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CICCOMP_GAINER ; 71 ; 0 ; 0 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CIC_I|cic_ii_0|core ; 37 ; 17 ; 0 ; 17 ; 23 ; 17 ; 17 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CIC_I|cic_ii_0 ; 23 ; 1 ; 0 ; 1 ; 20 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_CIC_I ; 22 ; 5 ; 0 ; 5 ; 20 ; 5 ; 5 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_MIXER_I|lpm_mult_component|auto_generated ; 34 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_MIXER_I ; 34 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; SYSCLK_BUFFER|altclkctrl_0|clock_buffer_altclkctrl_0_sub_component ; 5 ; 4 ; 0 ; 4 ; 1 ; 4 ; 4 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; SYSCLK_BUFFER|altclkctrl_0 ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; SYSCLK_BUFFER ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_PLL|altpll_component|auto_generated ; 2 ; 0 ; 0 ; 0 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_PLL ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_SUMMATOR|LPM_ADD_SUB_component|auto_generated ; 66 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TX_SUMMATOR ; 66 ; 0 ; 0 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; STM32_INTERFACE ; 59 ; 24 ; 0 ; 24 ; 152 ; 24 ; 24 ; 24 ; 8 ; 0 ; 0 ; 0 ; 0 ; -+---------------------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/FPGA_61.440/db/WOLF-LITE.main.hdb b/FPGA_61.440/db/WOLF-LITE.main.hdb deleted file mode 100644 index a2381ab..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.main.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map.ammdb b/FPGA_61.440/db/WOLF-LITE.map.ammdb deleted file mode 100644 index a7850c6..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map.ammdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map.bpm b/FPGA_61.440/db/WOLF-LITE.map.bpm deleted file mode 100644 index d41cd5e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map.bpm and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map.cdb b/FPGA_61.440/db/WOLF-LITE.map.cdb deleted file mode 100644 index cc04457..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map.hdb b/FPGA_61.440/db/WOLF-LITE.map.hdb deleted file mode 100644 index b7c5465..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map.kpt b/FPGA_61.440/db/WOLF-LITE.map.kpt deleted file mode 100644 index be3feac..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map.kpt and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map.logdb b/FPGA_61.440/db/WOLF-LITE.map.logdb deleted file mode 100644 index f672f38..0000000 --- a/FPGA_61.440/db/WOLF-LITE.map.logdb +++ /dev/null @@ -1,3 +0,0 @@ -v1 -PORT_SWAPPING,PORT_SWAP_TYPE_DSPMULT,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1, -PORT_SWAPPING,PORT_SWAP_TYPE_DSPMULT,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1, diff --git a/FPGA_61.440/db/WOLF-LITE.map.qmsg b/FPGA_61.440/db/WOLF-LITE.map.qmsg deleted file mode 100644 index 3e9df9d..0000000 --- a/FPGA_61.440/db/WOLF-LITE.map.qmsg +++ /dev/null @@ -1,631 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1617214480263 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1617214480297 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 31 21:14:39 2021 " "Processing started: Wed Mar 31 21:14:39 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1617214480297 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214480297 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE " "Command: quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214480297 ""} -{ "Info" "IQCU_OPT_MODE_DESCRIPTION" "Aggressive Performance timing performance increased logic area and compilation time " "Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time" { } { } 0 16303 "%1!s! optimization mode selected -- %2!s! will be prioritized at the potential cost of %3!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214482670 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1617214483167 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "clock_buffer.qsys " "Elaborating Platform Designer system entity \"clock_buffer.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214498498 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:06 Progress: Loading FPGA/clock_buffer.qsys " "2021.03.31.22:15:06 Progress: Loading FPGA/clock_buffer.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214506119 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:07 Progress: Reading input file " "2021.03.31.22:15:07 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214507311 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:07 Progress: Adding altclkctrl_0 \[altclkctrl 18.1\] " "2021.03.31.22:15:07 Progress: Adding altclkctrl_0 \[altclkctrl 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214507441 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:08 Progress: Parameterizing module altclkctrl_0 " "2021.03.31.22:15:08 Progress: Parameterizing module altclkctrl_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214508188 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:08 Progress: Building connections " "2021.03.31.22:15:08 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214508192 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:08 Progress: Parameterizing connections " "2021.03.31.22:15:08 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214508193 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:08 Progress: Validating " "2021.03.31.22:15:08 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214508250 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:09 Progress: Done reading input file " "2021.03.31.22:15:09 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214509827 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:10 : clock_buffer.altclkctrl_0: Targeting device family: Cyclone IV E. " "2021.03.31.22:15:10 : clock_buffer.altclkctrl_0: Targeting device family: Cyclone IV E." { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214510438 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:10 : clock_buffer.altclkctrl_0: Global clock network allows a clock signal to reach all parts of the chip with the same amount of skew. Input port 'clkselect' can be used to switch between four clock inputs. " "2021.03.31.22:15:10 : clock_buffer.altclkctrl_0: Global clock network allows a clock signal to reach all parts of the chip with the same amount of skew. Input port 'clkselect' can be used to switch between four clock inputs." { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214510440 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Clock_buffer: Generating clock_buffer \"clock_buffer\" for QUARTUS_SYNTH " "Clock_buffer: Generating clock_buffer \"clock_buffer\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214511446 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Altclkctrl_0: Generating top-level entity clock_buffer_altclkctrl_0. " "Altclkctrl_0: Generating top-level entity clock_buffer_altclkctrl_0." { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214512520 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Altclkctrl_0: \"clock_buffer\" instantiated altclkctrl \"altclkctrl_0\" " "Altclkctrl_0: \"clock_buffer\" instantiated altclkctrl \"altclkctrl_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214512726 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Clock_buffer: Done \"clock_buffer\" with 2 modules, 2 files " "Clock_buffer: Done \"clock_buffer\" with 2 modules, 2 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214512728 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "clock_buffer.qsys " "Finished elaborating Platform Designer system entity \"clock_buffer.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214513524 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "rx_cic.qsys " "Elaborating Platform Designer system entity \"rx_cic.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214513567 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:20 Progress: Loading FPGA/rx_cic.qsys " "2021.03.31.22:15:20 Progress: Loading FPGA/rx_cic.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214521005 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:22 Progress: Reading input file " "2021.03.31.22:15:22 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214522066 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:22 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\] " "2021.03.31.22:15:22 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214522227 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:22 Progress: Parameterizing module cic_ii_0 " "2021.03.31.22:15:22 Progress: Parameterizing module cic_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214522536 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:22 Progress: Building connections " "2021.03.31.22:15:22 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214522551 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:22 Progress: Parameterizing connections " "2021.03.31.22:15:22 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214522551 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:22 Progress: Validating " "2021.03.31.22:15:22 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214522601 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:24 Progress: Done reading input file " "2021.03.31.22:15:24 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214524334 ""} -{ "Warning" "WSGN_EXT_PROC_WARNING_MSG" "Rx_cic.cic_ii_0: Clock Enable Port is deprecated and may be removed in a future release " "Rx_cic.cic_ii_0: Clock Enable Port is deprecated and may be removed in a future release" { } { } 0 12251 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214524891 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Rx_cic: Generating rx_cic \"rx_cic\" for QUARTUS_SYNTH " "Rx_cic: Generating rx_cic \"rx_cic\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214525806 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Cic_ii_0: \"rx_cic\" instantiated altera_cic_ii \"cic_ii_0\" " "Cic_ii_0: \"rx_cic\" instantiated altera_cic_ii \"cic_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214526920 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Rx_cic: Done \"rx_cic\" with 2 modules, 30 files " "Rx_cic: Done \"rx_cic\" with 2 modules, 30 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214526946 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "rx_cic.qsys " "Finished elaborating Platform Designer system entity \"rx_cic.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214527777 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "tx_cic.qsys " "Elaborating Platform Designer system entity \"tx_cic.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214527826 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:35 Progress: Loading FPGA/tx_cic.qsys " "2021.03.31.22:15:35 Progress: Loading FPGA/tx_cic.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214535217 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:36 Progress: Reading input file " "2021.03.31.22:15:36 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214536282 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:36 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\] " "2021.03.31.22:15:36 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214536399 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:36 Progress: Parameterizing module cic_ii_0 " "2021.03.31.22:15:36 Progress: Parameterizing module cic_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214536766 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:36 Progress: Building connections " "2021.03.31.22:15:36 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214536778 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:36 Progress: Parameterizing connections " "2021.03.31.22:15:36 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214536778 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:36 Progress: Validating " "2021.03.31.22:15:36 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214536833 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:38 Progress: Done reading input file " "2021.03.31.22:15:38 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214538546 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_cic: Generating tx_cic \"tx_cic\" for QUARTUS_SYNTH " "Tx_cic: Generating tx_cic \"tx_cic\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214540099 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Cic_ii_0: \"tx_cic\" instantiated altera_cic_ii \"cic_ii_0\" " "Cic_ii_0: \"tx_cic\" instantiated altera_cic_ii \"cic_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214541230 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_cic: Done \"tx_cic\" with 2 modules, 30 files " "Tx_cic: Done \"tx_cic\" with 2 modules, 30 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214541253 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "tx_cic.qsys " "Finished elaborating Platform Designer system entity \"tx_cic.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214542052 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "tx_nco.qsys " "Elaborating Platform Designer system entity \"tx_nco.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214542095 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:49 Progress: Loading FPGA/tx_nco.qsys " "2021.03.31.22:15:49 Progress: Loading FPGA/tx_nco.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214549507 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:50 Progress: Reading input file " "2021.03.31.22:15:50 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214550524 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:50 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\] " "2021.03.31.22:15:50 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214550631 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:50 Progress: Parameterizing module nco_ii_0 " "2021.03.31.22:15:50 Progress: Parameterizing module nco_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214550914 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:50 Progress: Building connections " "2021.03.31.22:15:50 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214550929 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:50 Progress: Parameterizing connections " "2021.03.31.22:15:50 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214550929 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:50 Progress: Validating " "2021.03.31.22:15:50 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214550978 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:15:52 Progress: Done reading input file " "2021.03.31.22:15:52 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214552654 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_nco: Generating tx_nco \"tx_nco\" for QUARTUS_SYNTH " "Tx_nco: Generating tx_nco \"tx_nco\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214555023 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco_ii_0: \"tx_nco\" instantiated altera_nco_ii \"nco_ii_0\" " "Nco_ii_0: \"tx_nco\" instantiated altera_nco_ii \"nco_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214556394 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_nco: Done \"tx_nco\" with 2 modules, 18 files " "Tx_nco: Done \"tx_nco\" with 2 modules, 18 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214556422 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "tx_nco.qsys " "Finished elaborating Platform Designer system entity \"tx_nco.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214557468 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "nco.qsys " "Elaborating Platform Designer system entity \"nco.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214557512 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:11 Progress: Loading FPGA/nco.qsys " "2021.03.31.22:16:11 Progress: Loading FPGA/nco.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214571499 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:13 Progress: Reading input file " "2021.03.31.22:16:13 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214573822 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:14 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\] " "2021.03.31.22:16:14 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214574014 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:14 Progress: Parameterizing module nco_ii_0 " "2021.03.31.22:16:14 Progress: Parameterizing module nco_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214574761 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:14 Progress: Building connections " "2021.03.31.22:16:14 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214574775 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:14 Progress: Parameterizing connections " "2021.03.31.22:16:14 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214574775 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:14 Progress: Validating " "2021.03.31.22:16:14 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214574838 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:16 Progress: Done reading input file " "2021.03.31.22:16:16 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214576791 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco: Generating nco \"nco\" for QUARTUS_SYNTH " "Nco: Generating nco \"nco\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214578795 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco_ii_0: \"nco\" instantiated altera_nco_ii \"nco_ii_0\" " "Nco_ii_0: \"nco\" instantiated altera_nco_ii \"nco_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214580190 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco: Done \"nco\" with 2 modules, 18 files " "Nco: Done \"nco\" with 2 modules, 18 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214580211 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "nco.qsys " "Finished elaborating Platform Designer system entity \"nco.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214581264 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "DEBUG.qsys " "Elaborating Platform Designer system entity \"DEBUG.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214581302 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:29 Progress: Loading FPGA/DEBUG.qsys " "2021.03.31.22:16:29 Progress: Loading FPGA/DEBUG.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214589145 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:30 Progress: Reading input file " "2021.03.31.22:16:30 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214590209 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:30 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\] " "2021.03.31.22:16:30 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214590329 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:30 Progress: Parameterizing module in_system_sources_probes_0 " "2021.03.31.22:16:30 Progress: Parameterizing module in_system_sources_probes_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214590583 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:30 Progress: Building connections " "2021.03.31.22:16:30 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214590589 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:30 Progress: Parameterizing connections " "2021.03.31.22:16:30 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214590589 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:30 Progress: Validating " "2021.03.31.22:16:30 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214590640 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:32 Progress: Done reading input file " "2021.03.31.22:16:32 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214592153 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG: Generating DEBUG \"DEBUG\" for QUARTUS_SYNTH " "DEBUG: Generating DEBUG \"DEBUG\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214593632 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "In_system_sources_probes_0: \"DEBUG\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\" " "In_system_sources_probes_0: \"DEBUG\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214594659 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG: Done \"DEBUG\" with 2 modules, 2 files " "DEBUG: Done \"DEBUG\" with 2 modules, 2 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214594661 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "DEBUG.qsys " "Finished elaborating Platform Designer system entity \"DEBUG.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214595505 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "DEBUG2.qsys " "Elaborating Platform Designer system entity \"DEBUG2.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214595544 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:43 Progress: Loading FPGA/DEBUG2.qsys " "2021.03.31.22:16:43 Progress: Loading FPGA/DEBUG2.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214603368 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:44 Progress: Reading input file " "2021.03.31.22:16:44 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214604366 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:44 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\] " "2021.03.31.22:16:44 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214604470 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:44 Progress: Parameterizing module in_system_sources_probes_0 " "2021.03.31.22:16:44 Progress: Parameterizing module in_system_sources_probes_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214604725 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:44 Progress: Building connections " "2021.03.31.22:16:44 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214604729 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:44 Progress: Parameterizing connections " "2021.03.31.22:16:44 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214604729 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:44 Progress: Validating " "2021.03.31.22:16:44 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214604776 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.31.22:16:46 Progress: Done reading input file " "2021.03.31.22:16:46 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214606156 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG2: Generating DEBUG2 \"DEBUG2\" for QUARTUS_SYNTH " "DEBUG2: Generating DEBUG2 \"DEBUG2\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214607599 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "In_system_sources_probes_0: \"DEBUG2\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\" " "In_system_sources_probes_0: \"DEBUG2\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214608538 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG2: Done \"DEBUG2\" with 2 modules, 2 files " "DEBUG2: Done \"DEBUG2\" with 2 modules, 2 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214608539 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "DEBUG2.qsys " "Finished elaborating Platform Designer system entity \"DEBUG2.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214609386 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "wolf-lite.bdf 1 1 " "Found 1 design units, including 1 entities, in source file wolf-lite.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 WOLF-LITE " "Found entity 1: WOLF-LITE" { } { { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611101 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611101 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "dac_corrector.v 1 1 " "Found 1 design units, including 1 entities, in source file dac_corrector.v" { { "Info" "ISGN_ENTITY_NAME" "1 DAC_corrector " "Found entity 1: DAC_corrector" { } { { "DAC_corrector.v" "" { Text "C:/FPGA/DAC_corrector.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611148 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611148 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "spi_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file spi_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 spi_interface " "Found entity 1: spi_interface" { } { { "spi_interface.v" "" { Text "C:/FPGA/spi_interface.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611171 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611171 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "stm32_interface.v(93) " "Verilog HDL warning at stm32_interface.v(93): extended using \"x\" or \"z\"" { } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1617214611184 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "stm32_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file stm32_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 stm32_interface " "Found entity 1: stm32_interface" { } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611196 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611196 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "data_shifter.v 1 1 " "Found 1 design units, including 1 entities, in source file data_shifter.v" { { "Info" "ISGN_ENTITY_NAME" "1 data_shifter " "Found entity 1: data_shifter" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611214 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611214 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vcxo_controller.v 1 1 " "Found 1 design units, including 1 entities, in source file vcxo_controller.v" { { "Info" "ISGN_ENTITY_NAME" "1 vcxo_controller " "Found entity 1: vcxo_controller" { } { { "vcxo_controller.v" "" { Text "C:/FPGA/vcxo_controller.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611237 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611237 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mixer.v 1 1 " "Found 1 design units, including 1 entities, in source file mixer.v" { { "Info" "ISGN_ENTITY_NAME" "1 mixer " "Found entity 1: mixer" { } { { "mixer.v" "" { Text "C:/FPGA/mixer.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611257 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611257 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mux16.v 1 1 " "Found 1 design units, including 1 entities, in source file mux16.v" { { "Info" "ISGN_ENTITY_NAME" "1 mux16 " "Found entity 1: mux16" { } { { "mux16.v" "" { Text "C:/FPGA/mux16.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611279 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611279 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "main_pll.v 1 1 " "Found 1 design units, including 1 entities, in source file main_pll.v" { { "Info" "ISGN_ENTITY_NAME" "1 MAIN_PLL " "Found entity 1: MAIN_PLL" { } { { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611309 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611309 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mux14.v 1 1 " "Found 1 design units, including 1 entities, in source file mux14.v" { { "Info" "ISGN_ENTITY_NAME" "1 mux14 " "Found entity 1: mux14" { } { { "mux14.v" "" { Text "C:/FPGA/mux14.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611336 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611336 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mux1.v 1 1 " "Found 1 design units, including 1 entities, in source file mux1.v" { { "Info" "ISGN_ENTITY_NAME" "1 mux1 " "Found entity 1: mux1" { } { { "mux1.v" "" { Text "C:/FPGA/mux1.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611361 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611361 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_mixer.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_mixer.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_mixer " "Found entity 1: tx_mixer" { } { { "tx_mixer.v" "" { Text "C:/FPGA/tx_mixer.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611386 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611386 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_summator.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_summator.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_summator " "Found entity 1: tx_summator" { } { { "tx_summator.v" "" { Text "C:/FPGA/tx_summator.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611406 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611406 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adc_latch.v 1 1 " "Found 1 design units, including 1 entities, in source file adc_latch.v" { { "Info" "ISGN_ENTITY_NAME" "1 ADC_Latch " "Found entity 1: ADC_Latch" { } { { "ADC_Latch.v" "" { Text "C:/FPGA/ADC_Latch.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611428 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611428 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "dac_null.v 1 1 " "Found 1 design units, including 1 entities, in source file dac_null.v" { { "Info" "ISGN_ENTITY_NAME" "1 dac_null " "Found entity 1: dac_null" { } { { "dac_null.v" "" { Text "C:/FPGA/dac_null.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611453 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611453 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp.v 1 1 " "Found 1 design units, including 1 entities, in source file rx_ciccomp.v" { { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp " "Found entity 1: rx_ciccomp" { } { { "rx_ciccomp.v" "" { Text "C:/FPGA/rx_ciccomp.v" 8 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214611467 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214611467 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/dspba_library_package.vhd 1 0 " "Found 1 design units, including 0 entities, in source file rx_ciccomp/dspba_library_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_library_package (rx_ciccomp) " "Found design unit 1: dspba_library_package (rx_ciccomp)" { } { { "rx_ciccomp/dspba_library_package.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library_package.vhd" 17 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613136 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613136 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/dspba_library.vhd 6 3 " "Found 6 design units, including 3 entities, in source file rx_ciccomp/dspba_library.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_delay-delay " "Found design unit 1: dspba_delay-delay" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 34 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613146 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 dspba_sync_reg-sync_reg " "Found design unit 2: dspba_sync_reg-sync_reg" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 117 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613146 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 dspba_pipe-rtl " "Found design unit 3: dspba_pipe-rtl" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 356 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613146 ""} { "Info" "ISGN_ENTITY_NAME" "1 dspba_delay " "Found entity 1: dspba_delay" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613146 ""} { "Info" "ISGN_ENTITY_NAME" "2 dspba_sync_reg " "Found entity 2: dspba_sync_reg" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 93 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613146 ""} { "Info" "ISGN_ENTITY_NAME" "3 dspba_pipe " "Found entity 3: dspba_pipe" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 343 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613146 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613146 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd 2 0 " "Found 2 design units, including 0 entities, in source file rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg_hpfir (rx_ciccomp) " "Found design unit 1: auk_dspip_math_pkg_hpfir (rx_ciccomp)" { } { { "rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 54 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613157 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg_hpfir-body " "Found design unit 2: auk_dspip_math_pkg_hpfir-body" { } { { "rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 131 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613157 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613157 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd 1 0 " "Found 1 design units, including 0 entities, in source file rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg_hpfir (rx_ciccomp) " "Found design unit 1: auk_dspip_lib_pkg_hpfir (rx_ciccomp)" { } { { "rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613166 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613166 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller_hpfir-struct" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613175 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir " "Found entity 1: auk_dspip_avalon_streaming_controller_hpfir" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613175 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613175 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink_hpfir-rtl" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 106 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613185 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir " "Found entity 1: auk_dspip_avalon_streaming_sink_hpfir" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613185 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613185 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source_hpfir-rtl" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 109 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613196 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source_hpfir " "Found entity 1: auk_dspip_avalon_streaming_source_hpfir" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 70 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613196 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613196 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_roundsat_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat_hpfir-beh " "Found design unit 1: auk_dspip_roundsat_hpfir-beh" { } { { "rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613206 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat_hpfir " "Found entity 1: auk_dspip_roundsat_hpfir" { } { { "rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613206 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613206 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/altera_avalon_sc_fifo.v 1 1 " "Found 1 design units, including 1 entities, in source file rx_ciccomp/altera_avalon_sc_fifo.v" { { "Info" "ISGN_ENTITY_NAME" "1 altera_avalon_sc_fifo " "Found entity 1: altera_avalon_sc_fifo" { } { { "rx_ciccomp/altera_avalon_sc_fifo.v" "" { Text "C:/FPGA/rx_ciccomp/altera_avalon_sc_fifo.v" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613222 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613222 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 rx_ciccomp_0002_rtl_core-normal " "Found design unit 1: rx_ciccomp_0002_rtl_core-normal" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613233 ""} { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp_0002_rtl_core " "Found entity 1: rx_ciccomp_0002_rtl_core" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 34 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613233 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613233 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/rx_ciccomp_0002_ast.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002_ast.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 rx_ciccomp_0002_ast-struct " "Found design unit 1: rx_ciccomp_0002_ast-struct" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613241 ""} { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp_0002_ast " "Found entity 1: rx_ciccomp_0002_ast" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613241 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613241 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/rx_ciccomp_0002.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 rx_ciccomp_0002-syn " "Found design unit 1: rx_ciccomp_0002-syn" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 33 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613249 ""} { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp_0002 " "Found entity 1: rx_ciccomp_0002" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613249 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613249 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_ciccomp.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp " "Found entity 1: tx_ciccomp" { } { { "tx_ciccomp.v" "" { Text "C:/FPGA/tx_ciccomp.v" 8 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613266 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613266 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/dspba_library_package.vhd 1 0 " "Found 1 design units, including 0 entities, in source file tx_ciccomp/dspba_library_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_library_package (tx_ciccomp) " "Found design unit 1: dspba_library_package (tx_ciccomp)" { } { { "tx_ciccomp/dspba_library_package.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library_package.vhd" 17 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613274 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613274 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/dspba_library.vhd 6 3 " "Found 6 design units, including 3 entities, in source file tx_ciccomp/dspba_library.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_delay-delay " "Found design unit 1: dspba_delay-delay" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 34 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613286 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 dspba_sync_reg-sync_reg " "Found design unit 2: dspba_sync_reg-sync_reg" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 117 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613286 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 dspba_pipe-rtl " "Found design unit 3: dspba_pipe-rtl" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 356 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613286 ""} { "Info" "ISGN_ENTITY_NAME" "1 dspba_delay " "Found entity 1: dspba_delay" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613286 ""} { "Info" "ISGN_ENTITY_NAME" "2 dspba_sync_reg " "Found entity 2: dspba_sync_reg" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 93 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613286 ""} { "Info" "ISGN_ENTITY_NAME" "3 dspba_pipe " "Found entity 3: dspba_pipe" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 343 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613286 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613286 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd 2 0 " "Found 2 design units, including 0 entities, in source file tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg_hpfir (tx_ciccomp) " "Found design unit 1: auk_dspip_math_pkg_hpfir (tx_ciccomp)" { } { { "tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 54 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613296 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg_hpfir-body " "Found design unit 2: auk_dspip_math_pkg_hpfir-body" { } { { "tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 131 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613296 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613296 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd 1 0 " "Found 1 design units, including 0 entities, in source file tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg_hpfir (tx_ciccomp) " "Found design unit 1: auk_dspip_lib_pkg_hpfir (tx_ciccomp)" { } { { "tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613305 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613305 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller_hpfir-struct" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613315 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir " "Found entity 1: auk_dspip_avalon_streaming_controller_hpfir" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613315 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613315 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink_hpfir-rtl" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 106 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613325 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir " "Found entity 1: auk_dspip_avalon_streaming_sink_hpfir" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613325 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613325 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source_hpfir-rtl" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 109 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613337 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source_hpfir " "Found entity 1: auk_dspip_avalon_streaming_source_hpfir" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 70 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613337 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613337 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_roundsat_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat_hpfir-beh " "Found design unit 1: auk_dspip_roundsat_hpfir-beh" { } { { "tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613346 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat_hpfir " "Found entity 1: auk_dspip_roundsat_hpfir" { } { { "tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613346 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613346 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/altera_avalon_sc_fifo.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_ciccomp/altera_avalon_sc_fifo.v" { { "Info" "ISGN_ENTITY_NAME" "1 altera_avalon_sc_fifo " "Found entity 1: altera_avalon_sc_fifo" { } { { "tx_ciccomp/altera_avalon_sc_fifo.v" "" { Text "C:/FPGA/tx_ciccomp/altera_avalon_sc_fifo.v" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613363 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613363 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 tx_ciccomp_0002_rtl_core-normal " "Found design unit 1: tx_ciccomp_0002_rtl_core-normal" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613373 ""} { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp_0002_rtl_core " "Found entity 1: tx_ciccomp_0002_rtl_core" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 34 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613373 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613373 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/tx_ciccomp_0002_ast.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002_ast.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 tx_ciccomp_0002_ast-struct " "Found design unit 1: tx_ciccomp_0002_ast-struct" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613386 ""} { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp_0002_ast " "Found entity 1: tx_ciccomp_0002_ast" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613386 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613386 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/tx_ciccomp_0002.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 tx_ciccomp_0002-syn " "Found design unit 1: tx_ciccomp_0002-syn" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 33 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613395 ""} { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp_0002 " "Found entity 1: tx_ciccomp_0002" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613395 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613395 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "diffclock_buff.v 2 2 " "Found 2 design units, including 2 entities, in source file diffclock_buff.v" { { "Info" "ISGN_ENTITY_NAME" "1 diffclock_buff_iobuf_in_k0j " "Found entity 1: diffclock_buff_iobuf_in_k0j" { } { { "diffclock_buff.v" "" { Text "C:/FPGA/diffclock_buff.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613515 ""} { "Info" "ISGN_ENTITY_NAME" "2 diffclock_buff " "Found entity 2: diffclock_buff" { } { { "diffclock_buff.v" "" { Text "C:/FPGA/diffclock_buff.v" 82 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613515 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613515 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "dcdc_pll.v 1 1 " "Found 1 design units, including 1 entities, in source file dcdc_pll.v" { { "Info" "ISGN_ENTITY_NAME" "1 dcdc_pll " "Found entity 1: dcdc_pll" { } { { "dcdc_pll.v" "" { Text "C:/FPGA/dcdc_pll.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613545 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613545 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_pll.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_pll.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_pll " "Found entity 1: tx_pll" { } { { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613569 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613569 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/clock_buffer/clock_buffer.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/clock_buffer/clock_buffer.v" { { "Info" "ISGN_ENTITY_NAME" "1 clock_buffer " "Found entity 1: clock_buffer" { } { { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613582 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613582 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v 2 2 " "Found 2 design units, including 2 entities, in source file db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" { { "Info" "ISGN_ENTITY_NAME" "1 clock_buffer_altclkctrl_0_sub " "Found entity 1: clock_buffer_altclkctrl_0_sub" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 28 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613684 ""} { "Info" "ISGN_ENTITY_NAME" "2 clock_buffer_altclkctrl_0 " "Found entity 2: clock_buffer_altclkctrl_0" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 89 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613684 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613684 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/rx_cic.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/rx_cic.v" { { "Info" "ISGN_ENTITY_NAME" "1 rx_cic " "Found entity 1: rx_cic" { } { { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214613709 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214613709 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_core.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_core.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_core " "Found entity 1: alt_cic_core" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214614914 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214614914 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_dec_miso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_dec_miso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_miso " "Found entity 1: alt_cic_dec_miso" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_miso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_miso.sv" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214615158 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214615158 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_siso " "Found entity 1: alt_cic_dec_siso" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214615415 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214615415 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_int_simo.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_int_simo.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_simo " "Found entity 1: alt_cic_int_simo" { } { { "db/ip/rx_cic/submodules/alt_cic_int_simo.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_int_simo.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214615685 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214615685 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_int_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_int_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_siso " "Found entity 1: alt_cic_int_siso" { } { { "db/ip/rx_cic/submodules/alt_cic_int_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_int_siso.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214615940 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214615940 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 alt_dsp_cic_common_pkg (SystemVerilog) (rx_cic) " "Found design unit 1: alt_dsp_cic_common_pkg (SystemVerilog) (rx_cic)" { } { { "db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv" 14 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616154 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214616154 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller-struct" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 53 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616395 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller " "Found entity 1: auk_dspip_avalon_streaming_controller" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616395 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214616395 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616608 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink " "Found entity 1: auk_dspip_avalon_streaming_sink" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616608 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214616608 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_small_fifo-arch " "Found design unit 1: auk_dspip_avalon_streaming_small_fifo-arch" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 50 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616803 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_small_fifo " "Found entity 1: auk_dspip_avalon_streaming_small_fifo" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616803 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214616803 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616990 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source " "Found entity 1: auk_dspip_avalon_streaming_source" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214616990 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214616990 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_channel_buffer-SYN " "Found design unit 1: auk_dspip_channel_buffer-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617181 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_channel_buffer " "Found entity 1: auk_dspip_channel_buffer" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617181 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214617181 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_cic_lib_pkg (rx_cic) " "Found design unit 1: auk_dspip_cic_lib_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" 23 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617383 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214617383 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_delay.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_delay.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_delay-rtl " "Found design unit 1: auk_dspip_delay-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_delay.vhd" 79 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617402 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_delay " "Found entity 1: auk_dspip_delay" { } { { "db/ip/rx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_delay.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617402 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214617402 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_differentiator-SYN " "Found design unit 1: auk_dspip_differentiator-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617604 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_differentiator " "Found entity 1: auk_dspip_differentiator" { } { { "db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617604 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214617604 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_downsample " "Found entity 1: auk_dspip_downsample" { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617801 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214617801 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastadd-beh " "Found design unit 1: auk_dspip_fastadd-beh" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" 36 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617809 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastadd " "Found entity 1: auk_dspip_fastadd" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617809 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214617809 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastaddsub-beh " "Found design unit 1: auk_dspip_fastaddsub-beh" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" 87 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617827 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastaddsub " "Found entity 1: auk_dspip_fastaddsub" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" 69 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214617827 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214617827 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_integrator-SYN " "Found design unit 1: auk_dspip_integrator-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618038 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_integrator " "Found entity 1: auk_dspip_integrator" { } { { "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" 53 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618038 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618038 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg (rx_cic) " "Found design unit 1: auk_dspip_lib_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd" 28 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618056 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618056 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg (rx_cic) " "Found design unit 1: auk_dspip_math_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" 51 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618077 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg-body " "Found design unit 2: auk_dspip_math_pkg-body" { } { { "db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" 128 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618077 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618077 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_pipelined_adder-rtl " "Found design unit 1: auk_dspip_pipelined_adder-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618093 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_pipelined_adder " "Found entity 1: auk_dspip_pipelined_adder" { } { { "db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618093 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618093 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat-beh " "Found design unit 1: auk_dspip_roundsat-beh" { } { { "db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618113 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat " "Found entity 1: auk_dspip_roundsat" { } { { "db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618113 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618113 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_text_pkg (rx_cic) " "Found design unit 1: auk_dspip_text_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" 60 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618130 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_text_pkg-body " "Found design unit 2: auk_dspip_text_pkg-body" { } { { "db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" 76 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618130 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618130 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_upsample.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_upsample-SYN " "Found design unit 1: auk_dspip_upsample-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" 59 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618367 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_upsample " "Found entity 1: auk_dspip_upsample" { } { { "db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618367 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618367 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_variable_downsample " "Found entity 1: auk_dspip_variable_downsample" { } { { "db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618552 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618552 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/counter_module.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/counter_module.sv" { { "Info" "ISGN_ENTITY_NAME" "1 counter_module " "Found entity 1: counter_module" { } { { "db/ip/rx_cic/submodules/counter_module.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/counter_module.sv" 17 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618761 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618761 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/hyper_pipeline_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/hyper_pipeline_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 hyper_pipeline_interface " "Found entity 1: hyper_pipeline_interface" { } { { "db/ip/rx_cic/submodules/hyper_pipeline_interface.v" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/hyper_pipeline_interface.v" 20 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618965 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618965 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" { { "Info" "ISGN_ENTITY_NAME" "1 rx_cic_cic_ii_0 " "Found entity 1: rx_cic_cic_ii_0" { } { { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214618992 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214618992 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_core.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_core.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_core " "Found entity 1: alt_cic_core" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214619255 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214619255 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_dec_miso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_dec_miso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_miso " "Found entity 1: alt_cic_dec_miso" { } { { "db/ip/tx_cic/submodules/alt_cic_dec_miso.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_dec_miso.sv" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214619576 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214619576 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_dec_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_dec_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_siso " "Found entity 1: alt_cic_dec_siso" { } { { "db/ip/tx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_dec_siso.sv" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214619852 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214619852 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_int_simo.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_int_simo.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_simo " "Found entity 1: alt_cic_int_simo" { } { { "db/ip/tx_cic/submodules/alt_cic_int_simo.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_simo.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214620131 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214620131 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_int_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_int_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_siso " "Found entity 1: alt_cic_int_siso" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214620365 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214620365 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 alt_dsp_cic_common_pkg (SystemVerilog) (tx_cic) " "Found design unit 1: alt_dsp_cic_common_pkg (SystemVerilog) (tx_cic)" { } { { "db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv" 14 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214620579 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214620579 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller-struct" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 53 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214620799 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller " "Found entity 1: auk_dspip_avalon_streaming_controller" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214620799 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214620799 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621012 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink " "Found entity 1: auk_dspip_avalon_streaming_sink" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621012 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214621012 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_small_fifo-arch " "Found design unit 1: auk_dspip_avalon_streaming_small_fifo-arch" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 50 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621247 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_small_fifo " "Found entity 1: auk_dspip_avalon_streaming_small_fifo" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621247 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214621247 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621487 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source " "Found entity 1: auk_dspip_avalon_streaming_source" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621487 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214621487 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_channel_buffer-SYN " "Found design unit 1: auk_dspip_channel_buffer-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621681 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_channel_buffer " "Found entity 1: auk_dspip_channel_buffer" { } { { "db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621681 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214621681 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_cic_lib_pkg (tx_cic) " "Found design unit 1: auk_dspip_cic_lib_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" 23 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621876 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214621876 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_delay.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_delay.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_delay-rtl " "Found design unit 1: auk_dspip_delay-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_delay.vhd" 79 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621897 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_delay " "Found entity 1: auk_dspip_delay" { } { { "db/ip/tx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_delay.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214621897 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214621897 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_differentiator-SYN " "Found design unit 1: auk_dspip_differentiator-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622102 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_differentiator " "Found entity 1: auk_dspip_differentiator" { } { { "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622102 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622102 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_downsample " "Found entity 1: auk_dspip_downsample" { } { { "db/ip/tx_cic/submodules/auk_dspip_downsample.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622300 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622300 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastadd-beh " "Found design unit 1: auk_dspip_fastadd-beh" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" 36 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622309 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastadd " "Found entity 1: auk_dspip_fastadd" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622309 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622309 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastaddsub-beh " "Found design unit 1: auk_dspip_fastaddsub-beh" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" 87 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622317 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastaddsub " "Found entity 1: auk_dspip_fastaddsub" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" 69 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622317 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622317 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_integrator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_integrator-SYN " "Found design unit 1: auk_dspip_integrator-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622503 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_integrator " "Found entity 1: auk_dspip_integrator" { } { { "db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" 53 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622503 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622503 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg (tx_cic) " "Found design unit 1: auk_dspip_lib_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd" 28 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622521 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622521 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg (tx_cic) " "Found design unit 1: auk_dspip_math_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" 51 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622538 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg-body " "Found design unit 2: auk_dspip_math_pkg-body" { } { { "db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" 128 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622538 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622538 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_pipelined_adder-rtl " "Found design unit 1: auk_dspip_pipelined_adder-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622556 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_pipelined_adder " "Found entity 1: auk_dspip_pipelined_adder" { } { { "db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622556 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622556 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat-beh " "Found design unit 1: auk_dspip_roundsat-beh" { } { { "db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622571 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat " "Found entity 1: auk_dspip_roundsat" { } { { "db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622571 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622571 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_text_pkg (tx_cic) " "Found design unit 1: auk_dspip_text_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" 60 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622579 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_text_pkg-body " "Found design unit 2: auk_dspip_text_pkg-body" { } { { "db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" 76 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622579 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622579 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_upsample.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_upsample-SYN " "Found design unit 1: auk_dspip_upsample-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" 59 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622762 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_upsample " "Found entity 1: auk_dspip_upsample" { } { { "db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622762 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622762 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_variable_downsample " "Found entity 1: auk_dspip_variable_downsample" { } { { "db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214622956 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214622956 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/counter_module.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/counter_module.sv" { { "Info" "ISGN_ENTITY_NAME" "1 counter_module " "Found entity 1: counter_module" { } { { "db/ip/tx_cic/submodules/counter_module.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/counter_module.sv" 17 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214623197 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214623197 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/hyper_pipeline_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/hyper_pipeline_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 hyper_pipeline_interface " "Found entity 1: hyper_pipeline_interface" { } { { "db/ip/tx_cic/submodules/hyper_pipeline_interface.v" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/hyper_pipeline_interface.v" 20 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214623407 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214623407 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" { { "Info" "ISGN_ENTITY_NAME" "1 tx_cic_cic_ii_0 " "Found entity 1: tx_cic_cic_ii_0" { } { { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214623444 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214623444 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/tx_cic.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/tx_cic.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_cic " "Found entity 1: tx_cic" { } { { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214623465 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214623465 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_altqmcpipe.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_altqmcpipe.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_altqmcpipe " "Found entity 1: asj_altqmcpipe" { } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 28 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214624487 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214624487 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_gam_dp.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_gam_dp.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_gam_dp " "Found entity 1: asj_gam_dp" { } { { "db/ip/tx_nco/submodules/asj_gam_dp.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_gam_dp.v" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214624710 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214624710 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_cen " "Found entity 1: asj_nco_as_m_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214624926 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214624926 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_dp_cen " "Found entity 1: asj_nco_as_m_dp_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 63 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214625175 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214625175 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_derot.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_derot.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_derot " "Found entity 1: asj_nco_derot" { } { { "db/ip/tx_nco/submodules/asj_nco_derot.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_derot.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214625369 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214625369 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_isdr.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_isdr.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_isdr " "Found entity 1: asj_nco_isdr" { } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214625589 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214625589 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_madx_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_madx_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_madx_cen " "Found entity 1: asj_nco_madx_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214625776 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214625776 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_mady_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_mady_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mady_cen " "Found entity 1: asj_nco_mady_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214625983 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214625983 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_mob_w.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_mob_w.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mob_w " "Found entity 1: asj_nco_mob_w" { } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214626177 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214626177 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_nco_nco_ii_0 " "Found entity 1: tx_nco_nco_ii_0" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 23 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214626213 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214626213 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/tx_nco.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/tx_nco.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_nco " "Found entity 1: tx_nco" { } { { "db/ip/tx_nco/tx_nco.v" "" { Text "C:/FPGA/db/ip/tx_nco/tx_nco.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214626231 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214626231 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/nco.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/nco.v" { { "Info" "ISGN_ENTITY_NAME" "1 nco " "Found entity 1: nco" { } { { "db/ip/nco/nco.v" "" { Text "C:/FPGA/db/ip/nco/nco.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214626271 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214626271 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_altqmcpipe.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_altqmcpipe.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_altqmcpipe " "Found entity 1: asj_altqmcpipe" { } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 28 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214626460 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214626460 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_gam_dp.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_gam_dp.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_gam_dp " "Found entity 1: asj_gam_dp" { } { { "db/ip/nco/submodules/asj_gam_dp.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_gam_dp.v" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214626682 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214626682 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_as_m_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_as_m_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_cen " "Found entity 1: asj_nco_as_m_cen" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214626906 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214626906 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_dp_cen " "Found entity 1: asj_nco_as_m_dp_cen" { } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 63 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214627132 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214627132 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_derot.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_derot.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_derot " "Found entity 1: asj_nco_derot" { } { { "db/ip/nco/submodules/asj_nco_derot.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_derot.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214627329 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214627329 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_isdr.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_isdr.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_isdr " "Found entity 1: asj_nco_isdr" { } { { "db/ip/nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214627531 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214627531 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_madx_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_madx_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_madx_cen " "Found entity 1: asj_nco_madx_cen" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214627734 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214627734 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_mady_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_mady_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mady_cen " "Found entity 1: asj_nco_mady_cen" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214627937 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214627937 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_mob_w.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_mob_w.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mob_w " "Found entity 1: asj_nco_mob_w" { } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214628138 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214628138 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/nco_nco_ii_0.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/nco_nco_ii_0.v" { { "Info" "ISGN_ENTITY_NAME" "1 nco_nco_ii_0 " "Found entity 1: nco_nco_ii_0" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 23 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214628172 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214628172 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug/debug.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug/debug.v" { { "Info" "ISGN_ENTITY_NAME" "1 DEBUG " "Found entity 1: DEBUG" { } { { "db/ip/debug/debug.v" "" { Text "C:/FPGA/db/ip/debug/debug.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214628190 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214628190 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug/submodules/altsource_probe_top.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug/submodules/altsource_probe_top.v" { { "Info" "ISGN_ENTITY_NAME" "1 altsource_probe_top " "Found entity 1: altsource_probe_top" { } { { "db/ip/debug/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214628209 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214628209 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug2/debug2.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug2/debug2.v" { { "Info" "ISGN_ENTITY_NAME" "1 DEBUG2 " "Found entity 1: DEBUG2" { } { { "db/ip/debug2/debug2.v" "" { Text "C:/FPGA/db/ip/debug2/debug2.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214628229 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214628229 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug2/submodules/altsource_probe_top.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug2/submodules/altsource_probe_top.v" { { "Info" "ISGN_ENTITY_NAME" "1 altsource_probe_top " "Found entity 1: altsource_probe_top" { } { { "db/ip/debug2/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug2/submodules/altsource_probe_top.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214628252 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214628252 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "WOLF-LITE " "Elaborating entity \"WOLF-LITE\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1617214632573 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "stm32_interface stm32_interface:STM32_INTERFACE " "Elaborating entity \"stm32_interface\" for hierarchy \"stm32_interface:STM32_INTERFACE\"" { } { { "WOLF-LITE.bdf" "STM32_INTERFACE" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 144 3088 3376 704 "STM32_INTERFACE" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214632695 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_summator tx_summator:TX_SUMMATOR " "Elaborating entity \"tx_summator\" for hierarchy \"tx_summator:TX_SUMMATOR\"" { } { { "WOLF-LITE.bdf" "TX_SUMMATOR" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 5544 5704 280 "TX_SUMMATOR" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214633192 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "tx_summator.v" "LPM_ADD_SUB_component" { Text "C:/FPGA/tx_summator.v" 73 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214633566 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborated megafunction instantiation \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "tx_summator.v" "" { Text "C:/FPGA/tx_summator.v" 73 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214633626 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component " "Instantiated megafunction \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214633627 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214633627 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214633627 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214633627 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214633627 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 32 " "Parameter \"lpm_width\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214633627 ""} } { { "tx_summator.v" "" { Text "C:/FPGA/tx_summator.v" 73 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214633627 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_1vk.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_1vk.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_1vk " "Found entity 1: add_sub_1vk" { } { { "db/add_sub_1vk.tdf" "" { Text "C:/FPGA/db/add_sub_1vk.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214633821 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214633821 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_1vk tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_1vk:auto_generated " "Elaborating entity \"add_sub_1vk\" for hierarchy \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_1vk:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214633838 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_pll tx_pll:TX_PLL " "Elaborating entity \"tx_pll\" for hierarchy \"tx_pll:TX_PLL\"" { } { { "WOLF-LITE.bdf" "TX_PLL" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634005 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll tx_pll:TX_PLL\|altpll:altpll_component " "Elaborating entity \"altpll\" for hierarchy \"tx_pll:TX_PLL\|altpll:altpll_component\"" { } { { "tx_pll.v" "altpll_component" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634379 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_pll:TX_PLL\|altpll:altpll_component " "Elaborated megafunction instantiation \"tx_pll:TX_PLL\|altpll:altpll_component\"" { } { { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634431 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_pll:TX_PLL\|altpll:altpll_component " "Instantiated megafunction \"tx_pll:TX_PLL\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Parameter \"bandwidth_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 2 " "Parameter \"clk0_divide_by\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Parameter \"clk0_duty_cycle\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 5 " "Parameter \"clk0_multiply_by\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Parameter \"clk0_phase_shift\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Parameter \"compensate_clock\" = \"CLK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 16276 " "Parameter \"inclk0_input_frequency\" = \"16276\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint CBX_MODULE_PREFIX=tx_pll " "Parameter \"lpm_hint\" = \"CBX_MODULE_PREFIX=tx_pll\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Parameter \"lpm_type\" = \"altpll\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Parameter \"operation_mode\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Parameter \"pll_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_activeclock PORT_UNUSED " "Parameter \"port_activeclock\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_areset PORT_UNUSED " "Parameter \"port_areset\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad0 PORT_UNUSED " "Parameter \"port_clkbad0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad1 PORT_UNUSED " "Parameter \"port_clkbad1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkloss PORT_UNUSED " "Parameter \"port_clkloss\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkswitch PORT_UNUSED " "Parameter \"port_clkswitch\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_configupdate PORT_UNUSED " "Parameter \"port_configupdate\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_fbin PORT_UNUSED " "Parameter \"port_fbin\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk0 PORT_USED " "Parameter \"port_inclk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk1 PORT_UNUSED " "Parameter \"port_inclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_locked PORT_UNUSED " "Parameter \"port_locked\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pfdena PORT_UNUSED " "Parameter \"port_pfdena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasecounterselect PORT_UNUSED " "Parameter \"port_phasecounterselect\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasedone PORT_UNUSED " "Parameter \"port_phasedone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasestep PORT_UNUSED " "Parameter \"port_phasestep\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phaseupdown PORT_UNUSED " "Parameter \"port_phaseupdown\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pllena PORT_UNUSED " "Parameter \"port_pllena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanaclr PORT_UNUSED " "Parameter \"port_scanaclr\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclk PORT_UNUSED " "Parameter \"port_scanclk\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclkena PORT_UNUSED " "Parameter \"port_scanclkena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandata PORT_UNUSED " "Parameter \"port_scandata\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandataout PORT_UNUSED " "Parameter \"port_scandataout\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandone PORT_UNUSED " "Parameter \"port_scandone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanread PORT_UNUSED " "Parameter \"port_scanread\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanwrite PORT_UNUSED " "Parameter \"port_scanwrite\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk0 PORT_USED " "Parameter \"port_clk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk1 PORT_UNUSED " "Parameter \"port_clk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk2 PORT_UNUSED " "Parameter \"port_clk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk3 PORT_UNUSED " "Parameter \"port_clk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk4 PORT_UNUSED " "Parameter \"port_clk4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk5 PORT_UNUSED " "Parameter \"port_clk5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena0 PORT_UNUSED " "Parameter \"port_clkena0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena1 PORT_UNUSED " "Parameter \"port_clkena1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena2 PORT_UNUSED " "Parameter \"port_clkena2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena3 PORT_UNUSED " "Parameter \"port_clkena3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena4 PORT_UNUSED " "Parameter \"port_clkena4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena5 PORT_UNUSED " "Parameter \"port_clkena5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk0 PORT_UNUSED " "Parameter \"port_extclk0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk1 PORT_UNUSED " "Parameter \"port_extclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk2 PORT_UNUSED " "Parameter \"port_extclk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk3 PORT_UNUSED " "Parameter \"port_extclk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_clock 5 " "Parameter \"width_clock\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214634432 ""} } { { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214634432 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/tx_pll_altpll.v 1 1 " "Found 1 design units, including 1 entities, in source file db/tx_pll_altpll.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_pll_altpll " "Found entity 1: tx_pll_altpll" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 29 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214634623 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214634623 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_pll_altpll tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated " "Elaborating entity \"tx_pll_altpll\" for hierarchy \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\"" { } { { "altpll.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634643 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "clock_buffer clock_buffer:SYSCLK_BUFFER " "Elaborating entity \"clock_buffer\" for hierarchy \"clock_buffer:SYSCLK_BUFFER\"" { } { { "WOLF-LITE.bdf" "SYSCLK_BUFFER" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634770 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "clock_buffer_altclkctrl_0 clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0 " "Elaborating entity \"clock_buffer_altclkctrl_0\" for hierarchy \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\"" { } { { "db/ip/clock_buffer/clock_buffer.v" "altclkctrl_0" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634822 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "clock_buffer_altclkctrl_0_sub clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component " "Elaborating entity \"clock_buffer_altclkctrl_0_sub\" for hierarchy \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\"" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "clock_buffer_altclkctrl_0_sub_component" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634869 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_mixer tx_mixer:TX_MIXER_I " "Elaborating entity \"tx_mixer\" for hierarchy \"tx_mixer:TX_MIXER_I\"" { } { { "WOLF-LITE.bdf" "TX_MIXER_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 56 5272 5440 184 "TX_MIXER_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214634934 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborating entity \"lpm_mult\" for hierarchy \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "tx_mixer.v" "lpm_mult_component" { Text "C:/FPGA/tx_mixer.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214635309 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborated megafunction instantiation \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "tx_mixer.v" "" { Text "C:/FPGA/tx_mixer.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214635351 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component " "Instantiated megafunction \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9 " "Parameter \"lpm_hint\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214635352 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214635352 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214635352 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_MULT " "Parameter \"lpm_type\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214635352 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widtha 16 " "Parameter \"lpm_widtha\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214635352 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthb 16 " "Parameter \"lpm_widthb\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214635352 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthp 32 " "Parameter \"lpm_widthp\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214635352 ""} } { { "tx_mixer.v" "" { Text "C:/FPGA/tx_mixer.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214635352 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_abt.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_abt.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_abt " "Found entity 1: mult_abt" { } { { "db/mult_abt.tdf" "" { Text "C:/FPGA/db/mult_abt.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214635531 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214635531 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_abt tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated " "Elaborating entity \"mult_abt\" for hierarchy \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214635549 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_cic tx_cic:TX_CIC_I " "Elaborating entity \"tx_cic\" for hierarchy \"tx_cic:TX_CIC_I\"" { } { { "WOLF-LITE.bdf" "TX_CIC_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214635675 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_cic_cic_ii_0 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0 " "Elaborating entity \"tx_cic_cic_ii_0\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\"" { } { { "db/ip/tx_cic/tx_cic.v" "cic_ii_0" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214635739 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_core tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core " "Elaborating entity \"alt_cic_core\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\"" { } { { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "core" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214635906 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "input_sink" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214636170 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "sink_FIFO" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214637018 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborated megafunction instantiation \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214637045 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Instantiated megafunction \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 4 " "Parameter \"almost_empty_value\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 0 " "Parameter \"almost_full_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 8 " "Parameter \"lpm_numwords\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 18 " "Parameter \"lpm_width\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 3 " "Parameter \"lpm_widthu\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214637045 ""} } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214637045 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_gf71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_gf71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_gf71 " "Found entity 1: scfifo_gf71" { } { { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214637258 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214637258 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_gf71 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated " "Elaborating entity \"scfifo_gf71\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214637283 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_1lv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_1lv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_1lv " "Found entity 1: a_dpfifo_1lv" { } { { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214637395 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214637395 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_1lv tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo " "Elaborating entity \"a_dpfifo_1lv\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\"" { } { { "db/scfifo_gf71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214637431 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_l7h1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_l7h1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_l7h1 " "Found entity 1: altsyncram_l7h1" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214637638 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214637638 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_l7h1 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram " "Elaborating entity \"altsyncram_l7h1\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\"" { } { { "db/a_dpfifo_1lv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214637683 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_gs8.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_gs8.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_gs8 " "Found entity 1: cmpr_gs8" { } { { "db/cmpr_gs8.tdf" "" { Text "C:/FPGA/db/cmpr_gs8.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214637856 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214637856 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_gs8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:almost_full_comparer " "Elaborating entity \"cmpr_gs8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:almost_full_comparer\"" { } { { "db/a_dpfifo_1lv.tdf" "almost_full_comparer" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 52 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214637902 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_gs8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:two_comparison " "Elaborating entity \"cmpr_gs8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:two_comparison\"" { } { { "db/a_dpfifo_1lv.tdf" "two_comparison" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 53 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214637991 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_r9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_r9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_r9b " "Found entity 1: cntr_r9b" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214638164 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214638164 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_r9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_r9b:rd_ptr_msb " "Elaborating entity \"cntr_r9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_r9b:rd_ptr_msb\"" { } { { "db/a_dpfifo_1lv.tdf" "rd_ptr_msb" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 54 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214638213 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_8a7.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_8a7.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_8a7 " "Found entity 1: cntr_8a7" { } { { "db/cntr_8a7.tdf" "" { Text "C:/FPGA/db/cntr_8a7.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214638372 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214638372 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_8a7 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_8a7:usedw_counter " "Elaborating entity \"cntr_8a7\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_8a7:usedw_counter\"" { } { { "db/a_dpfifo_1lv.tdf" "usedw_counter" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 55 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214638416 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_s9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_s9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_s9b " "Found entity 1: cntr_s9b" { } { { "db/cntr_s9b.tdf" "" { Text "C:/FPGA/db/cntr_s9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214638574 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214638574 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_s9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_s9b:wr_ptr " "Elaborating entity \"cntr_s9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_s9b:wr_ptr\"" { } { { "db/a_dpfifo_1lv.tdf" "wr_ptr" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 56 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214638622 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0 " "Elaborating entity \"auk_dspip_avalon_streaming_source\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "output_source_0" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 358 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214638849 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "source_FIFO" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214639320 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborated megafunction instantiation \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214639354 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Instantiated megafunction \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 0 " "Parameter \"almost_empty_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 13 " "Parameter \"almost_full_value\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 21 " "Parameter \"lpm_numwords\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 17 " "Parameter \"lpm_width\" = \"17\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 5 " "Parameter \"lpm_widthu\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214639355 ""} } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214639355 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_ci71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_ci71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_ci71 " "Found entity 1: scfifo_ci71" { } { { "db/scfifo_ci71.tdf" "" { Text "C:/FPGA/db/scfifo_ci71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214639550 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214639550 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_ci71 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated " "Elaborating entity \"scfifo_ci71\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214639574 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_9qv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_9qv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_9qv " "Found entity 1: a_dpfifo_9qv" { } { { "db/a_dpfifo_9qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214639679 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214639679 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_9qv tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo " "Elaborating entity \"a_dpfifo_9qv\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\"" { } { { "db/scfifo_ci71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_ci71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214639711 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_hah1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_hah1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_hah1 " "Found entity 1: altsyncram_hah1" { } { { "db/altsyncram_hah1.tdf" "" { Text "C:/FPGA/db/altsyncram_hah1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214639869 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214639869 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_hah1 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram " "Elaborating entity \"altsyncram_hah1\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\"" { } { { "db/a_dpfifo_9qv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214639918 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_is8.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_is8.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_is8 " "Found entity 1: cmpr_is8" { } { { "db/cmpr_is8.tdf" "" { Text "C:/FPGA/db/cmpr_is8.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214640090 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214640090 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_is8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:almost_full_comparer " "Elaborating entity \"cmpr_is8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:almost_full_comparer\"" { } { { "db/a_dpfifo_9qv.tdf" "almost_full_comparer" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 52 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214640139 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_is8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:two_comparison " "Elaborating entity \"cmpr_is8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:two_comparison\"" { } { { "db/a_dpfifo_9qv.tdf" "two_comparison" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 53 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214640230 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_t9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_t9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_t9b " "Found entity 1: cntr_t9b" { } { { "db/cntr_t9b.tdf" "" { Text "C:/FPGA/db/cntr_t9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214640401 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214640401 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_t9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_t9b:rd_ptr_msb " "Elaborating entity \"cntr_t9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_t9b:rd_ptr_msb\"" { } { { "db/a_dpfifo_9qv.tdf" "rd_ptr_msb" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 54 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214640453 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_aa7.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_aa7.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_aa7 " "Found entity 1: cntr_aa7" { } { { "db/cntr_aa7.tdf" "" { Text "C:/FPGA/db/cntr_aa7.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214640604 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214640604 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_aa7 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_aa7:usedw_counter " "Elaborating entity \"cntr_aa7\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_aa7:usedw_counter\"" { } { { "db/a_dpfifo_9qv.tdf" "usedw_counter" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 55 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214640654 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_u9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_u9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_u9b " "Found entity 1: cntr_u9b" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214640817 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214640817 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_u9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_u9b:wr_ptr " "Elaborating entity \"cntr_u9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_u9b:wr_ptr\"" { } { { "db/a_dpfifo_9qv.tdf" "wr_ptr" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 56 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214640858 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller " "Elaborating entity \"auk_dspip_avalon_streaming_controller\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "avalon_controller" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 408 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214641093 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_small_fifo tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO " "Elaborating entity \"auk_dspip_avalon_streaming_small_fifo\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "ready_FIFO" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 196 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214641332 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_int_siso tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one " "Elaborating entity \"alt_cic_int_siso\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "int_one" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 540 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214641632 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:latency_cnt_inst " "Elaborating entity \"counter_module\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:latency_cnt_inst\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 270 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214641962 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_fs_inst " "Elaborating entity \"counter_module\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_fs_inst\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 298 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214642228 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_ch_inst " "Elaborating entity \"counter_module\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_ch_inst\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 313 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214642396 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_differentiator tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff " "Elaborating entity \"auk_dspip_differentiator\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "COMB_LOOP\[0\].auk_dsp_diff" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 361 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214642558 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_delay tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff\|auk_dspip_delay:\\glogic:u0 " "Elaborating entity \"auk_dspip_delay\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff\|auk_dspip_delay:\\glogic:u0\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" "\\glogic:u0" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" 135 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214642726 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_upsample tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_upsample:first_upsample " "Elaborating entity \"auk_dspip_upsample\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_upsample:first_upsample\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "first_upsample" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 379 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214643696 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_integrator tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_integrator:integrator_loop\[0\].auK_integrator " "Elaborating entity \"auk_dspip_integrator\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_integrator:integrator_loop\[0\].auK_integrator\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "integrator_loop\[0\].auK_integrator" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 408 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214643912 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "data_shifter data_shifter:TX_CICCOMP_GAINER " "Elaborating entity \"data_shifter\" for hierarchy \"data_shifter:TX_CICCOMP_GAINER\"" { } { { "WOLF-LITE.bdf" "TX_CICCOMP_GAINER" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 224 4464 4768 368 "TX_CICCOMP_GAINER" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214644985 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(18) " "Verilog HDL assignment warning at data_shifter.v(18): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 18 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214644987 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(19) " "Verilog HDL assignment warning at data_shifter.v(19): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 19 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214644987 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(20) " "Verilog HDL assignment warning at data_shifter.v(20): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214644988 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(21) " "Verilog HDL assignment warning at data_shifter.v(21): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 21 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214644988 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp tx_ciccomp:TX_CICCOMP_I " "Elaborating entity \"tx_ciccomp\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\"" { } { { "WOLF-LITE.bdf" "TX_CICCOMP_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 24 3968 4352 240 "TX_CICCOMP_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645026 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp_0002 tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst " "Elaborating entity \"tx_ciccomp_0002\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\"" { } { { "tx_ciccomp.v" "tx_ciccomp_inst" { Text "C:/FPGA/tx_ciccomp.v" 28 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645068 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "coeff_in_read_sig tx_ciccomp_0002.vhd(54) " "Verilog HDL or VHDL warning at tx_ciccomp_0002.vhd(54): object \"coeff_in_read_sig\" assigned a value but never read" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 54 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 1 0 "Analysis & Synthesis" 0 -1 1617214645069 "|WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp_0002_ast tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst " "Elaborating entity \"tx_ciccomp_0002_ast\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\"" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "tx_ciccomp_0002_ast_inst" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 62 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645120 ""} -{ "Warning" "WVRFX_VHDL_USED_IMPLICIT_DEFAULT_VALUE" "core_channel_out_core tx_ciccomp_0002_ast.vhd(208) " "VHDL Signal Declaration warning at tx_ciccomp_0002_ast.vhd(208): used implicit default value for signal \"core_channel_out_core\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 208 0 0 } } } 0 10541 "VHDL Signal Declaration warning at %2!s!: used implicit default value for signal \"%1!s!\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." 1 0 "Analysis & Synthesis" 0 -1 1617214645128 "|WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "sink" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 89 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645184 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source " "Elaborating entity \"auk_dspip_avalon_streaming_source_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "source" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645250 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl " "Elaborating entity \"auk_dspip_avalon_streaming_controller_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "intf_ctrl" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 137 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645313 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp_0002_rtl_core tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core " "Elaborating entity \"tx_ciccomp_0002_rtl_core\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "\\real_passthrough:hpfircore_core" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 218 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645349 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_memread" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 175 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645452 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_compute" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 180 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645499 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_xIn_0_13" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 286 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645573 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_in0_m0_wi0_wo0_assign_id1_q_13" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 291 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214645627 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborating entity \"altsyncram\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_wi0_r0_memr0_dmem" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 313 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214646122 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborated megafunction instantiation \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 313 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214646164 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Instantiated megafunction \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a UNUSED " "Parameter \"address_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byte_size 8 " "Parameter \"byte_size\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_a UNUSED " "Parameter \"byteena_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_b NONE " "Parameter \"byteena_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_reg_b CLOCK0 " "Parameter \"byteena_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_a USE_INPUT_CLKEN " "Parameter \"clock_enable_core_a\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_b USE_INPUT_CLKEN " "Parameter \"clock_enable_core_b\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a NORMAL " "Parameter \"clock_enable_input_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b NORMAL " "Parameter \"clock_enable_input_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a NORMAL " "Parameter \"clock_enable_output_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b NORMAL " "Parameter \"clock_enable_output_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ecc_pipeline_stage_enabled FALSE " "Parameter \"ecc_pipeline_stage_enabled\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_ecc FALSE " "Parameter \"enable_ecc\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "implement_in_les OFF " "Parameter \"implement_in_les\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a UNUSED " "Parameter \"indata_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file UNUSED " "Parameter \"init_file\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file_layout PORT_A " "Parameter \"init_file_layout\" = \"PORT_A\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "maximum_depth 0 " "Parameter \"maximum_depth\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 64 " "Parameter \"numwords_a\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 64 " "Parameter \"numwords_b\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode DUAL_PORT " "Parameter \"operation_mode\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a UNREGISTERED " "Parameter \"outdata_reg_a\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type M9K " "Parameter \"ram_block_type\" = \"M9K\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_aclr_b NONE " "Parameter \"rdcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_reg_b CLOCK0 " "Parameter \"rdcontrol_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_a NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_a\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_b NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_b\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "stratixiv_m144k_allow_dual_clocks ON " "Parameter \"stratixiv_m144k_allow_dual_clocks\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 16 " "Parameter \"width_b\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_eccstatus 3 " "Parameter \"width_eccstatus\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 6 " "Parameter \"widthad_a\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 6 " "Parameter \"widthad_b\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a UNUSED " "Parameter \"wrcontrol_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646165 ""} } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 313 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214646165 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_0mn3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_0mn3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_0mn3 " "Found entity 1: altsyncram_0mn3" { } { { "db/altsyncram_0mn3.tdf" "" { Text "C:/FPGA/db/altsyncram_0mn3.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214646395 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214646395 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_0mn3 tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_0mn3:auto_generated " "Elaborating entity \"altsyncram_0mn3\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_0mn3:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214646417 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component " "Elaborating entity \"lpm_mult\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_mtree_mult1_0_component" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 446 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214646630 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component " "Elaborated megafunction instantiation \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 446 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214646659 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component " "Instantiated megafunction \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 8 " "Parameter \"LPM_WIDTHA\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 16 " "Parameter \"LPM_WIDTHB\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 24 " "Parameter \"LPM_WIDTHP\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 2 " "Parameter \"LPM_PIPELINE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MULT " "Parameter \"LPM_TYPE\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5 " "Parameter \"LPM_HINT\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214646659 ""} } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 446 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214646659 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_ncu.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_ncu.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_ncu " "Found entity 1: mult_ncu" { } { { "db/mult_ncu.tdf" "" { Text "C:/FPGA/db/mult_ncu.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214646825 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214646825 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_ncu tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\|mult_ncu:auto_generated " "Elaborating entity \"mult_ncu\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\|mult_ncu:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214646841 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_u0_m0_wo0_mtree_mult1_0_q_16" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 502 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214646979 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_u0_m0_wo0_accum_p1_of_2_q_17" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 537 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214647028 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_roundsat_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk " "Elaborating entity \"auk_dspip_roundsat_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "\\real_passthrough:gen_outp_blk:0:outp_blk" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 244 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214647088 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_nco tx_nco:TX_NCO " "Elaborating entity \"tx_nco\" for hierarchy \"tx_nco:TX_NCO\"" { } { { "WOLF-LITE.bdf" "TX_NCO" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 632 3792 4048 832 "TX_NCO" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214647806 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_nco_nco_ii_0 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0 " "Elaborating entity \"tx_nco_nco_ii_0\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\"" { } { { "db/ip/tx_nco/tx_nco.v" "nco_ii_0" { Text "C:/FPGA/db/ip/tx_nco/tx_nco.v" 24 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214647879 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_altqmcpipe tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000 " "Elaborating entity \"asj_altqmcpipe\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux000" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 304 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214648061 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborating entity \"lpm_add_sub\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "acc" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214648274 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214648317 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214648317 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 22 " "Parameter \"lpm_width\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214648317 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214648317 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation UNSIGNED " "Parameter \"lpm_representation\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214648317 ""} } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214648317 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_u4i.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_u4i.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_u4i " "Found entity 1: add_sub_u4i" { } { { "db/add_sub_u4i.tdf" "" { Text "C:/FPGA/db/add_sub_u4i.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214648506 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214648506 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_u4i tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\|add_sub_u4i:auto_generated " "Elaborating entity \"add_sub_u4i\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\|add_sub_u4i:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214648532 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_gam_dp tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008 " "Elaborating entity \"asj_gam_dp\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux008" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214648770 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_dp_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220 " "Elaborating entity \"asj_nco_as_m_dp_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0220" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 338 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214649108 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "altsyncram_component" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214649314 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214649360 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode BIDIR_DUAL_PORT " "Parameter \"operation_mode\" = \"BIDIR_DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 16 " "Parameter \"width_b\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 11 " "Parameter \"widthad_b\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 2048 " "Parameter \"numwords_b\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a NONE " "Parameter \"indata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a NONE " "Parameter \"wrcontrol_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file tx_nco_nco_ii_0_sin_c.hex " "Parameter \"init_file\" = \"tx_nco_nco_ii_0_sin_c.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214649361 ""} } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214649361 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_4k82.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_4k82.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_4k82 " "Found entity 1: altsyncram_4k82" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214649596 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214649596 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_4k82 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated " "Elaborating entity \"altsyncram_4k82\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214649624 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0122" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 350 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214650592 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214650796 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214650836 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file tx_nco_nco_ii_0_sin_f.hex " "Parameter \"init_file\" = \"tx_nco_nco_ii_0_sin_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214650836 ""} } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214650836 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u8a1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_u8a1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u8a1 " "Found entity 1: altsyncram_u8a1" { } { { "db/altsyncram_u8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_u8a1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214651070 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214651070 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_u8a1 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated " "Elaborating entity \"altsyncram_u8a1\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214651101 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0123" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 362 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214651991 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214652116 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214652158 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file tx_nco_nco_ii_0_cos_f.hex " "Parameter \"init_file\" = \"tx_nco_nco_ii_0_cos_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214652159 ""} } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214652159 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_p8a1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_p8a1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_p8a1 " "Found entity 1: altsyncram_p8a1" { } { { "db/altsyncram_p8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_p8a1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214652385 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214652385 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_p8a1 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_p8a1:auto_generated " "Elaborating entity \"altsyncram_p8a1\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_p8a1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214652415 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_madx_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1 " "Elaborating entity \"asj_nco_madx_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "m1" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 377 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214653323 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mady_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0 " "Elaborating entity \"asj_nco_mady_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "m0" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 389 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214653649 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_derot tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136 " "Elaborating entity \"asj_nco_derot\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0136" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 402 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214653959 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mob_w tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0 " "Elaborating entity \"asj_nco_mob_w\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "blk0" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 410 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214654228 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "lpm_add_sub_component" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214654426 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214654464 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 16 " "Parameter \"lpm_width\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214654464 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214654464 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214654464 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214654464 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214654464 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214654464 ""} } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214654464 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_jpk.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_jpk.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_jpk " "Found entity 1: add_sub_jpk" { } { { "db/add_sub_jpk.tdf" "" { Text "C:/FPGA/db/add_sub_jpk.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214654681 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214654681 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_jpk tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_jpk:auto_generated " "Elaborating entity \"add_sub_jpk\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_jpk:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214654709 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_isdr tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr " "Elaborating entity \"asj_nco_isdr\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux710isdr" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 428 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214655238 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_counter tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborating entity \"lpm_counter\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "lpm_counter_component" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214655830 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214655866 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 4 " "Parameter \"lpm_width\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214655866 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_COUNTER " "Parameter \"lpm_type\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214655866 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction UP " "Parameter \"lpm_direction\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214655866 ""} } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214655866 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_asi.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_asi.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_asi " "Found entity 1: cntr_asi" { } { { "db/cntr_asi.tdf" "" { Text "C:/FPGA/db/cntr_asi.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214656089 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214656089 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_asi tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\|cntr_asi:auto_generated " "Elaborating entity \"cntr_asi\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\|cntr_asi:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214656112 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "spi_interface spi_interface:FLASH " "Elaborating entity \"spi_interface\" for hierarchy \"spi_interface:FLASH\"" { } { { "WOLF-LITE.bdf" "FLASH" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 912 3936 4152 1056 "FLASH" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661120 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "data_shifter data_shifter:RX_CICFIR_GAINER " "Elaborating entity \"data_shifter\" for hierarchy \"data_shifter:RX_CICFIR_GAINER\"" { } { { "WOLF-LITE.bdf" "RX_CICFIR_GAINER" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 160 2248 2552 304 "RX_CICFIR_GAINER" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661157 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(18) " "Verilog HDL assignment warning at data_shifter.v(18): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 18 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214661158 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(19) " "Verilog HDL assignment warning at data_shifter.v(19): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 19 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214661158 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(20) " "Verilog HDL assignment warning at data_shifter.v(20): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214661159 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(21) " "Verilog HDL assignment warning at data_shifter.v(21): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 21 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1617214661160 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp rx_ciccomp:RX_CICCOMP_I " "Elaborating entity \"rx_ciccomp\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\"" { } { { "WOLF-LITE.bdf" "RX_CICCOMP_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 8 1768 2152 224 "RX_CICCOMP_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661195 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp_0002 rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst " "Elaborating entity \"rx_ciccomp_0002\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\"" { } { { "rx_ciccomp.v" "rx_ciccomp_inst" { Text "C:/FPGA/rx_ciccomp.v" 28 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661231 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "coeff_in_read_sig rx_ciccomp_0002.vhd(54) " "Verilog HDL or VHDL warning at rx_ciccomp_0002.vhd(54): object \"coeff_in_read_sig\" assigned a value but never read" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 54 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 1 0 "Analysis & Synthesis" 0 -1 1617214661232 "|WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp_0002_ast rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst " "Elaborating entity \"rx_ciccomp_0002_ast\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\"" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "rx_ciccomp_0002_ast_inst" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 62 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661268 ""} -{ "Warning" "WVRFX_VHDL_USED_IMPLICIT_DEFAULT_VALUE" "core_channel_out_core rx_ciccomp_0002_ast.vhd(208) " "VHDL Signal Declaration warning at rx_ciccomp_0002_ast.vhd(208): used implicit default value for signal \"core_channel_out_core\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 208 0 0 } } } 0 10541 "VHDL Signal Declaration warning at %2!s!: used implicit default value for signal \"%1!s!\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." 1 0 "Analysis & Synthesis" 0 -1 1617214661273 "|WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "sink" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 89 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661320 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source " "Elaborating entity \"auk_dspip_avalon_streaming_source_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "source" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661374 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl " "Elaborating entity \"auk_dspip_avalon_streaming_controller_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "intf_ctrl" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 137 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661456 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp_0002_rtl_core rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core " "Elaborating entity \"rx_ciccomp_0002_rtl_core\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "\\real_passthrough:hpfircore_core" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 218 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661488 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_memread" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 173 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661575 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_compute" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 178 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661615 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_compute_q_15 " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_compute_q_15\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "d_u0_m0_wo0_compute_q_15" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 183 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661648 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13 " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "d_xIn_0_13" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 375 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661693 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborating entity \"altsyncram\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_wi0_r0_memr0_dmem" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 402 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661784 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborated megafunction instantiation \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 402 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214661825 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Instantiated megafunction \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a UNUSED " "Parameter \"address_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byte_size 8 " "Parameter \"byte_size\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_a UNUSED " "Parameter \"byteena_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_b NONE " "Parameter \"byteena_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_reg_b CLOCK0 " "Parameter \"byteena_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_a USE_INPUT_CLKEN " "Parameter \"clock_enable_core_a\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_b USE_INPUT_CLKEN " "Parameter \"clock_enable_core_b\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a NORMAL " "Parameter \"clock_enable_input_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b NORMAL " "Parameter \"clock_enable_input_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a NORMAL " "Parameter \"clock_enable_output_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b NORMAL " "Parameter \"clock_enable_output_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ecc_pipeline_stage_enabled FALSE " "Parameter \"ecc_pipeline_stage_enabled\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_ecc FALSE " "Parameter \"enable_ecc\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "implement_in_les OFF " "Parameter \"implement_in_les\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a UNUSED " "Parameter \"indata_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file UNUSED " "Parameter \"init_file\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file_layout PORT_A " "Parameter \"init_file_layout\" = \"PORT_A\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "maximum_depth 0 " "Parameter \"maximum_depth\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 64 " "Parameter \"numwords_a\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 64 " "Parameter \"numwords_b\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode DUAL_PORT " "Parameter \"operation_mode\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a UNREGISTERED " "Parameter \"outdata_reg_a\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type M9K " "Parameter \"ram_block_type\" = \"M9K\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_aclr_b NONE " "Parameter \"rdcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_reg_b CLOCK0 " "Parameter \"rdcontrol_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_a NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_a\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_b NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_b\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "stratixiv_m144k_allow_dual_clocks ON " "Parameter \"stratixiv_m144k_allow_dual_clocks\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 32 " "Parameter \"width_a\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 32 " "Parameter \"width_b\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_eccstatus 3 " "Parameter \"width_eccstatus\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 6 " "Parameter \"widthad_a\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 6 " "Parameter \"widthad_b\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a UNUSED " "Parameter \"wrcontrol_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214661826 ""} } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 402 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214661826 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_sln3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_sln3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_sln3 " "Found entity 1: altsyncram_sln3" { } { { "db/altsyncram_sln3.tdf" "" { Text "C:/FPGA/db/altsyncram_sln3.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214662041 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214662041 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_sln3 rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_sln3:auto_generated " "Elaborating entity \"altsyncram_sln3\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_sln3:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662059 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component " "Elaborating entity \"lpm_mult\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_mtree_mult1_0_im4_component" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 448 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662246 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component " "Elaborated megafunction instantiation \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 448 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662278 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component " "Instantiated megafunction \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 15 " "Parameter \"LPM_WIDTHA\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 8 " "Parameter \"LPM_WIDTHB\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 23 " "Parameter \"LPM_WIDTHP\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 2 " "Parameter \"LPM_PIPELINE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MULT " "Parameter \"LPM_TYPE\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5 " "Parameter \"LPM_HINT\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662278 ""} } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 448 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214662278 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_lcu.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_lcu.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_lcu " "Found entity 1: mult_lcu" { } { { "db/mult_lcu.tdf" "" { Text "C:/FPGA/db/mult_lcu.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214662453 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214662453 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_lcu rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\|mult_lcu:auto_generated " "Elaborating entity \"mult_lcu\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\|mult_lcu:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662476 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component " "Elaborating entity \"lpm_mult\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_mtree_mult1_0_im0_component" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 480 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662607 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component " "Elaborated megafunction instantiation \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 480 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662641 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component " "Instantiated megafunction \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 18 " "Parameter \"LPM_WIDTHA\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 8 " "Parameter \"LPM_WIDTHB\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 26 " "Parameter \"LPM_WIDTHP\" = \"26\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 2 " "Parameter \"LPM_PIPELINE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MULT " "Parameter \"LPM_TYPE\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5 " "Parameter \"LPM_HINT\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214662641 ""} } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 480 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214662641 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_rcu.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_rcu.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_rcu " "Found entity 1: mult_rcu" { } { { "db/mult_rcu.tdf" "" { Text "C:/FPGA/db/mult_rcu.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214662802 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214662802 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_rcu rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\|mult_rcu:auto_generated " "Elaborating entity \"mult_rcu\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\|mult_rcu:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662819 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_roundsat_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk " "Elaborating entity \"auk_dspip_roundsat_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "\\real_passthrough:gen_outp_blk:0:outp_blk" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 244 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662919 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_cic rx_cic:RX_CIC_I " "Elaborating entity \"rx_cic\" for hierarchy \"rx_cic:RX_CIC_I\"" { } { { "WOLF-LITE.bdf" "RX_CIC_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214662968 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_cic_cic_ii_0 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0 " "Elaborating entity \"rx_cic_cic_ii_0\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\"" { } { { "db/ip/rx_cic/rx_cic.v" "cic_ii_0" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214663024 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_core rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core " "Elaborating entity \"alt_cic_core\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\"" { } { { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "core" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214663165 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "input_sink" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214663384 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "sink_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214663798 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214663827 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Instantiated megafunction \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 4 " "Parameter \"almost_empty_value\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 0 " "Parameter \"almost_full_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 8 " "Parameter \"lpm_numwords\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 25 " "Parameter \"lpm_width\" = \"25\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 3 " "Parameter \"lpm_widthu\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214663827 ""} } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214663827 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_ef71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_ef71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_ef71 " "Found entity 1: scfifo_ef71" { } { { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214664000 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214664000 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_ef71 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated " "Elaborating entity \"scfifo_ef71\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214664023 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_vkv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_vkv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_vkv " "Found entity 1: a_dpfifo_vkv" { } { { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214664138 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214664138 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_vkv rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo " "Elaborating entity \"a_dpfifo_vkv\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\"" { } { { "db/scfifo_ef71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214664172 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_h7h1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_h7h1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_h7h1 " "Found entity 1: altsyncram_h7h1" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214664346 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214664346 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_h7h1 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram " "Elaborating entity \"altsyncram_h7h1\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\"" { } { { "db/a_dpfifo_vkv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214664409 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0 " "Elaborating entity \"auk_dspip_avalon_streaming_source\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "output_source_0" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 358 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214665028 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "source_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214665715 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214665758 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Instantiated megafunction \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 0 " "Parameter \"almost_empty_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 13 " "Parameter \"almost_full_value\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 21 " "Parameter \"lpm_numwords\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 33 " "Parameter \"lpm_width\" = \"33\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 5 " "Parameter \"lpm_widthu\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214665759 ""} } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214665759 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_ai71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_ai71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_ai71 " "Found entity 1: scfifo_ai71" { } { { "db/scfifo_ai71.tdf" "" { Text "C:/FPGA/db/scfifo_ai71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214666011 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214666011 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_ai71 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated " "Elaborating entity \"scfifo_ai71\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214666034 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_7qv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_7qv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_7qv " "Found entity 1: a_dpfifo_7qv" { } { { "db/a_dpfifo_7qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214666175 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214666175 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_7qv rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo " "Elaborating entity \"a_dpfifo_7qv\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\"" { } { { "db/scfifo_ai71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_ai71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214666213 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_dah1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_dah1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_dah1 " "Found entity 1: altsyncram_dah1" { } { { "db/altsyncram_dah1.tdf" "" { Text "C:/FPGA/db/altsyncram_dah1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214666396 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214666396 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_dah1 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram " "Elaborating entity \"altsyncram_dah1\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\"" { } { { "db/a_dpfifo_7qv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214666447 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller " "Elaborating entity \"auk_dspip_avalon_streaming_controller\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "avalon_controller" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 408 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214667036 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_small_fifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO " "Elaborating entity \"auk_dspip_avalon_streaming_small_fifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "ready_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 196 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214667254 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_dec_siso rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one " "Elaborating entity \"alt_cic_dec_siso\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "dec_one" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214667500 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_integrator rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration " "Elaborating entity \"auk_dspip_integrator\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "integrator\[0\].integration" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 275 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214667817 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_delay rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration\|auk_dspip_delay:\\glogic:integrator_pipeline_0_generate:u1 " "Elaborating entity \"auk_dspip_delay\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration\|auk_dspip_delay:\\glogic:integrator_pipeline_0_generate:u1\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" "\\glogic:integrator_pipeline_0_generate:u1" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" 97 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214667957 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_downsample rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample " "Elaborating entity \"auk_dspip_downsample\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "vrc_en_0.first_dsample" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 330 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214668734 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_fs_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_fs_inst\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 50 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214668974 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_ch_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_ch_inst\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 79 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214669207 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_channel_buffer rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator " "Elaborating entity \"auk_dspip_channel_buffer\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "fifo_regulator" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214669372 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "buffer_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214670056 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214670089 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO " "Instantiated megafunction \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 0 " "Parameter \"almost_empty_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 0 " "Parameter \"almost_full_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 3 " "Parameter \"lpm_numwords\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 85 " "Parameter \"lpm_width\" = \"85\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 2 " "Parameter \"lpm_widthu\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214670089 ""} } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214670089 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_pm51.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_pm51.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_pm51 " "Found entity 1: scfifo_pm51" { } { { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214670296 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214670296 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_pm51 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated " "Elaborating entity \"scfifo_pm51\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214670319 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_4ku.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_4ku.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_4ku " "Found entity 1: a_dpfifo_4ku" { } { { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214670432 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214670432 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_4ku rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo " "Elaborating entity \"a_dpfifo_4ku\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\"" { } { { "db/scfifo_pm51.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214670467 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_j7h1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_j7h1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_j7h1 " "Found entity 1: altsyncram_j7h1" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214670658 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214670658 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_j7h1 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram " "Elaborating entity \"altsyncram_j7h1\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\"" { } { { "db/a_dpfifo_4ku.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214670704 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_fs8.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_fs8.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_fs8 " "Found entity 1: cmpr_fs8" { } { { "db/cmpr_fs8.tdf" "" { Text "C:/FPGA/db/cmpr_fs8.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214670917 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214670917 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_fs8 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cmpr_fs8:almost_full_comparer " "Elaborating entity \"cmpr_fs8\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cmpr_fs8:almost_full_comparer\"" { } { { "db/a_dpfifo_4ku.tdf" "almost_full_comparer" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 50 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214670972 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_fs8 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cmpr_fs8:two_comparison " "Elaborating entity \"cmpr_fs8\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cmpr_fs8:two_comparison\"" { } { { "db/a_dpfifo_4ku.tdf" "two_comparison" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 51 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214671062 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_q9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_q9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_q9b " "Found entity 1: cntr_q9b" { } { { "db/cntr_q9b.tdf" "" { Text "C:/FPGA/db/cntr_q9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214671229 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214671229 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_q9b rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_q9b:rd_ptr_msb " "Elaborating entity \"cntr_q9b\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_q9b:rd_ptr_msb\"" { } { { "db/a_dpfifo_4ku.tdf" "rd_ptr_msb" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 52 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214671276 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_7a7.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_7a7.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_7a7 " "Found entity 1: cntr_7a7" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214671443 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214671443 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_7a7 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_7a7:usedw_counter " "Elaborating entity \"cntr_7a7\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|cntr_7a7:usedw_counter\"" { } { { "db/a_dpfifo_4ku.tdf" "usedw_counter" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 53 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214671492 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:latency_cnt_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:latency_cnt_inst\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 419 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214671780 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:channel_out_int_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:channel_out_int_inst\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "channel_out_int_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 432 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214671915 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_differentiator rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_differentiator:differentiate_stages\[0\].auk_dsp_diff " "Elaborating entity \"auk_dspip_differentiator\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_differentiator:differentiate_stages\[0\].auk_dsp_diff\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "differentiate_stages\[0\].auk_dsp_diff" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 658 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214672187 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "nco nco:RX_NCO " "Elaborating entity \"nco\" for hierarchy \"nco:RX_NCO\"" { } { { "WOLF-LITE.bdf" "RX_NCO" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 152 480 736 352 "RX_NCO" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214673096 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "nco_nco_ii_0 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0 " "Elaborating entity \"nco_nco_ii_0\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\"" { } { { "db/ip/nco/nco.v" "nco_ii_0" { Text "C:/FPGA/db/ip/nco/nco.v" 24 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214673197 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_altqmcpipe nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000 " "Elaborating entity \"asj_altqmcpipe\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux000" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 304 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214673380 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborating entity \"lpm_add_sub\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "acc" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214673581 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214673614 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214673614 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 22 " "Parameter \"lpm_width\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214673614 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214673614 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation UNSIGNED " "Parameter \"lpm_representation\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214673614 ""} } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214673614 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_gam_dp nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008 " "Elaborating entity \"asj_gam_dp\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux008" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214673905 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_dp_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220 " "Elaborating entity \"asj_nco_as_m_dp_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0220" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 338 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214674205 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "altsyncram_component" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214674397 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214674430 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode BIDIR_DUAL_PORT " "Parameter \"operation_mode\" = \"BIDIR_DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 12 " "Parameter \"width_a\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 12 " "Parameter \"width_b\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 11 " "Parameter \"widthad_b\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 2048 " "Parameter \"numwords_b\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a NONE " "Parameter \"indata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a NONE " "Parameter \"wrcontrol_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file nco_nco_ii_0_sin_c.hex " "Parameter \"init_file\" = \"nco_nco_ii_0_sin_c.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214674430 ""} } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214674430 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_h982.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_h982.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_h982 " "Found entity 1: altsyncram_h982" { } { { "db/altsyncram_h982.tdf" "" { Text "C:/FPGA/db/altsyncram_h982.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214674650 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214674650 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_h982 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_h982:auto_generated " "Elaborating entity \"altsyncram_h982\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_h982:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214674676 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0122" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 350 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214675411 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214675621 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214675662 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 12 " "Parameter \"width_a\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file nco_nco_ii_0_sin_f.hex " "Parameter \"init_file\" = \"nco_nco_ii_0_sin_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214675662 ""} } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214675662 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_fu91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_fu91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_fu91 " "Found entity 1: altsyncram_fu91" { } { { "db/altsyncram_fu91.tdf" "" { Text "C:/FPGA/db/altsyncram_fu91.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214675946 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214675946 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_fu91 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_fu91:auto_generated " "Elaborating entity \"altsyncram_fu91\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_fu91:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214675974 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0123" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 362 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214676739 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214676854 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214676892 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 12 " "Parameter \"width_a\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file nco_nco_ii_0_cos_f.hex " "Parameter \"init_file\" = \"nco_nco_ii_0_cos_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214676892 ""} } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214676892 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_au91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_au91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_au91 " "Found entity 1: altsyncram_au91" { } { { "db/altsyncram_au91.tdf" "" { Text "C:/FPGA/db/altsyncram_au91.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214677111 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214677111 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_au91 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_au91:auto_generated " "Elaborating entity \"altsyncram_au91\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_au91:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214677138 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_madx_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1 " "Elaborating entity \"asj_nco_madx_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "m1" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 377 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214677860 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mady_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0 " "Elaborating entity \"asj_nco_mady_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "m0" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 389 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214678146 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_derot nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136 " "Elaborating entity \"asj_nco_derot\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0136" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 402 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214678465 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mob_w nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0 " "Elaborating entity \"asj_nco_mob_w\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "blk0" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 410 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214678753 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "lpm_add_sub_component" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214678950 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214678986 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 12 " "Parameter \"lpm_width\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214678986 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214678986 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214678986 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214678986 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214678986 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214678986 ""} } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214678986 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_fpk.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_fpk.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_fpk " "Found entity 1: add_sub_fpk" { } { { "db/add_sub_fpk.tdf" "" { Text "C:/FPGA/db/add_sub_fpk.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214679157 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214679157 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_fpk nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_fpk:auto_generated " "Elaborating entity \"add_sub_fpk\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_fpk:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214679187 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_isdr nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr " "Elaborating entity \"asj_nco_isdr\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux710isdr" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 428 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214679690 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_counter nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborating entity \"lpm_counter\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/nco/submodules/asj_nco_isdr.v" "lpm_counter_component" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214679897 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214679926 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 4 " "Parameter \"lpm_width\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214679927 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_COUNTER " "Parameter \"lpm_type\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214679927 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction UP " "Parameter \"lpm_direction\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214679927 ""} } { { "db/ip/nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214679927 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mixer mixer:RX_MIXER_I " "Elaborating entity \"mixer\" for hierarchy \"mixer:RX_MIXER_I\"" { } { { "WOLF-LITE.bdf" "RX_MIXER_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -24 528 696 104 "RX_MIXER_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214680348 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborating entity \"lpm_mult\" for hierarchy \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "mixer.v" "lpm_mult_component" { Text "C:/FPGA/mixer.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214680408 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborated megafunction instantiation \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "mixer.v" "" { Text "C:/FPGA/mixer.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214680441 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component " "Instantiated megafunction \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint MAXIMIZE_SPEED=5 " "Parameter \"lpm_hint\" = \"MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_MULT " "Parameter \"lpm_type\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widtha 12 " "Parameter \"lpm_widtha\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthb 12 " "Parameter \"lpm_widthb\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680441 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthp 24 " "Parameter \"lpm_widthp\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680441 ""} } { { "mixer.v" "" { Text "C:/FPGA/mixer.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214680441 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_jnp.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_jnp.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_jnp " "Found entity 1: mult_jnp" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214680608 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214680608 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_jnp mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated " "Elaborating entity \"mult_jnp\" for hierarchy \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214680625 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ADC_Latch ADC_Latch:ADC_Latch " "Elaborating entity \"ADC_Latch\" for hierarchy \"ADC_Latch:ADC_Latch\"" { } { { "WOLF-LITE.bdf" "ADC_Latch" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -88 160 320 24 "ADC_Latch" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214680736 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "ADC_Latch.v" "LPM_ADD_SUB_component" { Text "C:/FPGA/ADC_Latch.v" 69 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214680793 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborated megafunction instantiation \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "ADC_Latch.v" "" { Text "C:/FPGA/ADC_Latch.v" 69 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214680822 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component " "Instantiated megafunction \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680822 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680822 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680822 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680822 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680822 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 12 " "Parameter \"lpm_width\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214680822 ""} } { { "ADC_Latch.v" "" { Text "C:/FPGA/ADC_Latch.v" 69 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214680822 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_b2k.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_b2k.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_b2k " "Found entity 1: add_sub_b2k" { } { { "db/add_sub_b2k.tdf" "" { Text "C:/FPGA/db/add_sub_b2k.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214681014 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214681014 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_b2k ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_b2k:auto_generated " "Elaborating entity \"add_sub_b2k\" for hierarchy \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_b2k:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214681037 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "MAIN_PLL MAIN_PLL:MAIN_PLL " "Elaborating entity \"MAIN_PLL\" for hierarchy \"MAIN_PLL:MAIN_PLL\"" { } { { "WOLF-LITE.bdf" "MAIN_PLL" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214687408 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll MAIN_PLL:MAIN_PLL\|altpll:altpll_component " "Elaborating entity \"altpll\" for hierarchy \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\"" { } { { "MAIN_PLL.v" "altpll_component" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214687512 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component " "Elaborated megafunction instantiation \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\"" { } { { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214687551 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component " "Instantiated megafunction \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Parameter \"bandwidth_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 5 " "Parameter \"clk0_divide_by\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Parameter \"clk0_duty_cycle\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 1 " "Parameter \"clk0_multiply_by\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Parameter \"clk0_phase_shift\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_divide_by 1280 " "Parameter \"clk1_divide_by\" = \"1280\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_duty_cycle 50 " "Parameter \"clk1_duty_cycle\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_multiply_by 1 " "Parameter \"clk1_multiply_by\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_phase_shift 0 " "Parameter \"clk1_phase_shift\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Parameter \"compensate_clock\" = \"CLK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 16276 " "Parameter \"inclk0_input_frequency\" = \"16276\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint CBX_MODULE_PREFIX=MAIN_PLL " "Parameter \"lpm_hint\" = \"CBX_MODULE_PREFIX=MAIN_PLL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Parameter \"lpm_type\" = \"altpll\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Parameter \"operation_mode\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Parameter \"pll_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_activeclock PORT_UNUSED " "Parameter \"port_activeclock\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_areset PORT_UNUSED " "Parameter \"port_areset\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad0 PORT_UNUSED " "Parameter \"port_clkbad0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad1 PORT_UNUSED " "Parameter \"port_clkbad1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkloss PORT_UNUSED " "Parameter \"port_clkloss\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkswitch PORT_UNUSED " "Parameter \"port_clkswitch\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_configupdate PORT_UNUSED " "Parameter \"port_configupdate\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_fbin PORT_UNUSED " "Parameter \"port_fbin\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk0 PORT_USED " "Parameter \"port_inclk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk1 PORT_UNUSED " "Parameter \"port_inclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_locked PORT_UNUSED " "Parameter \"port_locked\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pfdena PORT_UNUSED " "Parameter \"port_pfdena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasecounterselect PORT_UNUSED " "Parameter \"port_phasecounterselect\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasedone PORT_UNUSED " "Parameter \"port_phasedone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasestep PORT_UNUSED " "Parameter \"port_phasestep\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phaseupdown PORT_UNUSED " "Parameter \"port_phaseupdown\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pllena PORT_UNUSED " "Parameter \"port_pllena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanaclr PORT_UNUSED " "Parameter \"port_scanaclr\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclk PORT_UNUSED " "Parameter \"port_scanclk\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclkena PORT_UNUSED " "Parameter \"port_scanclkena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandata PORT_UNUSED " "Parameter \"port_scandata\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandataout PORT_UNUSED " "Parameter \"port_scandataout\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandone PORT_UNUSED " "Parameter \"port_scandone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanread PORT_UNUSED " "Parameter \"port_scanread\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanwrite PORT_UNUSED " "Parameter \"port_scanwrite\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk0 PORT_USED " "Parameter \"port_clk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk1 PORT_USED " "Parameter \"port_clk1\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk2 PORT_UNUSED " "Parameter \"port_clk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk3 PORT_UNUSED " "Parameter \"port_clk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk4 PORT_UNUSED " "Parameter \"port_clk4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk5 PORT_UNUSED " "Parameter \"port_clk5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena0 PORT_UNUSED " "Parameter \"port_clkena0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena1 PORT_UNUSED " "Parameter \"port_clkena1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena2 PORT_UNUSED " "Parameter \"port_clkena2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena3 PORT_UNUSED " "Parameter \"port_clkena3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena4 PORT_UNUSED " "Parameter \"port_clkena4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena5 PORT_UNUSED " "Parameter \"port_clkena5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk0 PORT_UNUSED " "Parameter \"port_extclk0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk1 PORT_UNUSED " "Parameter \"port_extclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk2 PORT_UNUSED " "Parameter \"port_extclk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk3 PORT_UNUSED " "Parameter \"port_extclk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_clock 5 " "Parameter \"width_clock\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214687552 ""} } { { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214687552 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/main_pll_altpll.v 1 1 " "Found 1 design units, including 1 entities, in source file db/main_pll_altpll.v" { { "Info" "ISGN_ENTITY_NAME" "1 MAIN_PLL_altpll " "Found entity 1: MAIN_PLL_altpll" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 29 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214687739 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214687739 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "MAIN_PLL_altpll MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated " "Elaborating entity \"MAIN_PLL_altpll\" for hierarchy \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\"" { } { { "altpll.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214687758 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux14 mux14:DAC_MUX " "Elaborating entity \"mux14\" for hierarchy \"mux14:DAC_MUX\"" { } { { "WOLF-LITE.bdf" "DAC_MUX" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 6040 6184 248 "DAC_MUX" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214687871 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mux mux14:DAC_MUX\|lpm_mux:LPM_MUX_component " "Elaborating entity \"lpm_mux\" for hierarchy \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\"" { } { { "mux14.v" "LPM_MUX_component" { Text "C:/FPGA/mux14.v" 68 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688190 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "mux14:DAC_MUX\|lpm_mux:LPM_MUX_component " "Elaborated megafunction instantiation \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\"" { } { { "mux14.v" "" { Text "C:/FPGA/mux14.v" 68 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688217 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "mux14:DAC_MUX\|lpm_mux:LPM_MUX_component " "Instantiated megafunction \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_size 2 " "Parameter \"lpm_size\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_MUX " "Parameter \"lpm_type\" = \"LPM_MUX\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 14 " "Parameter \"lpm_width\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widths 1 " "Parameter \"lpm_widths\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688217 ""} } { { "mux14.v" "" { Text "C:/FPGA/mux14.v" 68 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214688217 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_rsc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_rsc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_rsc " "Found entity 1: mux_rsc" { } { { "db/mux_rsc.tdf" "" { Text "C:/FPGA/db/mux_rsc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214688403 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214688403 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_rsc mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\|mux_rsc:auto_generated " "Elaborating entity \"mux_rsc\" for hierarchy \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\|mux_rsc:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688419 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dac_null dac_null:DAC_IDLE " "Elaborating entity \"dac_null\" for hierarchy \"dac_null:DAC_IDLE\"" { } { { "WOLF-LITE.bdf" "DAC_IDLE" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 296 5896 6008 344 "DAC_IDLE" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688532 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component " "Elaborating entity \"lpm_constant\" for hierarchy \"dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component\"" { } { { "dac_null.v" "LPM_CONSTANT_component" { Text "C:/FPGA/dac_null.v" 48 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688712 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component " "Elaborated megafunction instantiation \"dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component\"" { } { { "dac_null.v" "" { Text "C:/FPGA/dac_null.v" 48 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688733 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component " "Instantiated megafunction \"dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_cvalue 8192 " "Parameter \"lpm_cvalue\" = \"8192\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688733 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ENABLE_RUNTIME_MOD=NO " "Parameter \"lpm_hint\" = \"ENABLE_RUNTIME_MOD=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688733 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_CONSTANT " "Parameter \"lpm_type\" = \"LPM_CONSTANT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688733 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 14 " "Parameter \"lpm_width\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214688733 ""} } { { "dac_null.v" "" { Text "C:/FPGA/dac_null.v" 48 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214688733 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "DAC_corrector DAC_corrector:DAC_CORRECTOR " "Elaborating entity \"DAC_corrector\" for hierarchy \"DAC_corrector:DAC_CORRECTOR\"" { } { { "WOLF-LITE.bdf" "DAC_CORRECTOR" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 176 5760 6008 288 "DAC_CORRECTOR" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688767 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "DEBUG DEBUG:DBG_ADC " "Elaborating entity \"DEBUG\" for hierarchy \"DEBUG:DBG_ADC\"" { } { { "WOLF-LITE.bdf" "DBG_ADC" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -176 520 696 -72 "DBG_ADC" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688808 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe_top DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0 " "Elaborating entity \"altsource_probe_top\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\"" { } { { "db/ip/debug/debug.v" "in_system_sources_probes_0" { Text "C:/FPGA/db/ip/debug/debug.v" 19 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214688899 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl " "Elaborating entity \"altsource_probe\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\"" { } { { "db/ip/debug/submodules/altsource_probe_top.v" "issp_impl" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 55 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214689122 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl " "Elaborated megafunction instantiation \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\"" { } { { "db/ip/debug/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 55 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214689146 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl " "Instantiated megafunction \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsource_probe " "Parameter \"lpm_type\" = \"altsource_probe\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "sld_auto_instance_index YES " "Parameter \"sld_auto_instance_index\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "sld_instance_index 0 " "Parameter \"sld_instance_index\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "SLD_NODE_INFO 4746752 " "Parameter \"SLD_NODE_INFO\" = \"4746752\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "sld_ir_width 4 " "Parameter \"sld_ir_width\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "instance_id ADC " "Parameter \"instance_id\" = \"ADC\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "probe_width 12 " "Parameter \"probe_width\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "source_width 0 " "Parameter \"source_width\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "source_initial_value 0 " "Parameter \"source_initial_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_metastability NO " "Parameter \"enable_metastability\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1617214689147 ""} } { { "db/ip/debug/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 55 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1617214689147 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sld_jtag_endpoint_adapter DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter " "Elaborating entity \"sld_jtag_endpoint_adapter\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter\"" { } { { "altsource_probe.v" "jtag_signal_adapter" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe.v" 168 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214689445 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sld_jtag_endpoint_adapter_impl DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter\|sld_jtag_endpoint_adapter_impl:sld_jtag_endpoint_adapter_impl_inst " "Elaborating entity \"sld_jtag_endpoint_adapter_impl\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter\|sld_jtag_endpoint_adapter_impl:sld_jtag_endpoint_adapter_impl_inst\"" { } { { "sld_jtag_endpoint_adapter.vhd" "sld_jtag_endpoint_adapter_impl_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_endpoint_adapter.vhd" 232 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214689887 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe_body DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst " "Elaborating entity \"altsource_probe_body\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\"" { } { { "altsource_probe.v" "altsource_probe_body_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe.v" 280 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214690175 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe_impl DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst " "Elaborating entity \"altsource_probe_impl\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\"" { } { { "altsource_probe_body.vhd" "\\wider_probe_gen:wider_probe_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe_body.vhd" 507 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214690272 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sld_rom_sr DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\|sld_rom_sr:\\instance_id_gen:rom_info_inst " "Elaborating entity \"sld_rom_sr\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\|sld_rom_sr:\\instance_id_gen:rom_info_inst\"" { } { { "altsource_probe_body.vhd" "\\instance_id_gen:rom_info_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe_body.vhd" 755 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1617214690641 ""} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max rate_cnt_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"rate_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "rate_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 486 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691936 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:rate_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max channel_out_int_inst 32 2 " "Port \"counter_max\" on the entity instantiation of \"channel_out_int_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "channel_out_int_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 432 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691937 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:channel_out_int_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 419 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691937 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 79 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691941 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 50 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691942 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max rate_cnt_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"rate_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "rate_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 486 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691987 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:rate_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max channel_out_int_inst 32 2 " "Port \"counter_max\" on the entity instantiation of \"channel_out_int_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "channel_out_int_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 432 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691988 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:channel_out_int_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 419 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691988 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 79 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691995 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 50 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214691995 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 313 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214692038 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 12 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 298 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214692039 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 270 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214692039 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:latency_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 313 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214692096 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 12 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 298 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214692096 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 270 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1617214692097 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:latency_cnt_inst"} -{ "Info" "ISCI_START_SUPER_FABRIC_GEN" "alt_sld_fab " "Starting IP generation for the debug fabric: alt_sld_fab." { } { } 0 11170 "Starting IP generation for the debug fabric: %1!s!." 0 0 "Analysis & Synthesis" 0 -1 1617214692858 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "2021.03.31.22:18:19 Progress: Loading sld0b974a4e/alt_sld_fab_wrapper_hw.tcl " "2021.03.31.22:18:19 Progress: Loading sld0b974a4e/alt_sld_fab_wrapper_hw.tcl" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214699365 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab.alt_sld_fab: SLD fabric agents which did not specify prefer_host were connected to JTAG " "Alt_sld_fab.alt_sld_fab: SLD fabric agents which did not specify prefer_host were connected to JTAG" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214709160 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab: Generating alt_sld_fab \"alt_sld_fab\" for QUARTUS_SYNTH " "Alt_sld_fab: Generating alt_sld_fab \"alt_sld_fab\" for QUARTUS_SYNTH" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214709399 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab: \"alt_sld_fab\" instantiated alt_sld_fab \"alt_sld_fab\" " "Alt_sld_fab: \"alt_sld_fab\" instantiated alt_sld_fab \"alt_sld_fab\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214715552 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Presplit: \"alt_sld_fab\" instantiated altera_super_splitter \"presplit\" " "Presplit: \"alt_sld_fab\" instantiated altera_super_splitter \"presplit\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214716471 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Splitter: \"alt_sld_fab\" instantiated altera_sld_splitter \"splitter\" " "Splitter: \"alt_sld_fab\" instantiated altera_sld_splitter \"splitter\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214717379 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Sldfabric: \"alt_sld_fab\" instantiated altera_sld_jtag_hub \"sldfabric\" " "Sldfabric: \"alt_sld_fab\" instantiated altera_sld_jtag_hub \"sldfabric\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214718324 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Ident: \"alt_sld_fab\" instantiated altera_connection_identification_hub \"ident\" " "Ident: \"alt_sld_fab\" instantiated altera_connection_identification_hub \"ident\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214718350 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab: Done \"alt_sld_fab\" with 6 modules, 6 files " "Alt_sld_fab: Done \"alt_sld_fab\" with 6 modules, 6 files" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214718351 ""} -{ "Info" "ISCI_END_SUPER_FABRIC_GEN" "alt_sld_fab " "Finished IP generation for the debug fabric: alt_sld_fab." { } { } 0 11171 "Finished IP generation for the debug fabric: %1!s!." 0 0 "Analysis & Synthesis" 0 -1 1617214719144 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/alt_sld_fab.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/alt_sld_fab.v" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab " "Found entity 1: alt_sld_fab" { } { { "db/ip/sld0b974a4e/alt_sld_fab.v" "" { Text "C:/FPGA/db/ip/sld0b974a4e/alt_sld_fab.v" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214719932 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214719932 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab " "Found entity 1: alt_sld_fab_alt_sld_fab" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214720215 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214720215 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_ident " "Found entity 1: alt_sld_fab_alt_sld_fab_ident" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv" 33 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214720306 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214720306 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_presplit " "Found entity 1: alt_sld_fab_alt_sld_fab_presplit" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv" 3 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214720509 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214720509 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 alt_sld_fab_alt_sld_fab_sldfabric-rtl " "Found design unit 1: alt_sld_fab_alt_sld_fab_sldfabric-rtl" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" 102 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214720748 ""} { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_sldfabric " "Found entity 1: alt_sld_fab_alt_sld_fab_sldfabric" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" 11 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214720748 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214720748 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_splitter " "Found entity 1: alt_sld_fab_alt_sld_fab_splitter" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv" 3 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1617214720976 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214720976 ""} -{ "Info" "ISGN_QIC_SYNTHESIS_TOP_SEVERAL" "2 " "2 design partitions require synthesis" { { "Info" "ISGN_QIC_SYNTHESIS_REASON_FILE_CHANGE" "Top " "Partition \"Top\" requires synthesis because there were changes to its dependent source files" { } { } 0 12211 "Partition \"%1!s!\" requires synthesis because there were changes to its dependent source files" 0 0 "Design Software" 0 -1 1617214724230 ""} { "Info" "ISGN_QIC_SYNTHESIS_REASON_FILE_CHANGE" "sld_hub:auto_hub " "Partition \"sld_hub:auto_hub\" requires synthesis because there were changes to its dependent source files" { } { } 0 12211 "Partition \"%1!s!\" requires synthesis because there were changes to its dependent source files" 0 0 "Design Software" 0 -1 1617214724230 ""} } { } 0 12206 "%1!d! design partitions require synthesis" 0 0 "Analysis & Synthesis" 0 -1 1617214724230 ""} -{ "Info" "ISGN_QIC_NO_SYNTHESIS_TOP_ZERO" "" "No design partitions will skip synthesis in the current incremental compilation" { } { } 0 12209 "No design partitions will skip synthesis in the current incremental compilation" 0 0 "Analysis & Synthesis" 0 -1 1617214724231 ""} -{ "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_HDR" "" "Synthesized away the following node(s):" { { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_SUB_HDR" "RAM " "Synthesized away the following RAM node(s):" { { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\] " "Synthesized away node \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\]\"" { } { { "db/altsyncram_dah1.tdf" "" { Text "C:/FPGA/db/altsyncram_dah1.tdf" 1063 2 0 } } { "db/a_dpfifo_7qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 44 2 0 } } { "db/scfifo_ai71.tdf" "" { Text "C:/FPGA/db/scfifo_ai71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ai71:auto_generated|a_dpfifo_7qv:dpfifo|altsyncram_dah1:FIFOram|ram_block1a32"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\] " "Synthesized away node \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 775 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a23"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\] " "Synthesized away node \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 807 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a24"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\] " "Synthesized away node \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\]\"" { } { { "db/altsyncram_dah1.tdf" "" { Text "C:/FPGA/db/altsyncram_dah1.tdf" 1063 2 0 } } { "db/a_dpfifo_7qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 44 2 0 } } { "db/scfifo_ai71.tdf" "" { Text "C:/FPGA/db/scfifo_ai71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ai71:auto_generated|a_dpfifo_7qv:dpfifo|altsyncram_dah1:FIFOram|ram_block1a32"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\] " "Synthesized away node \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 775 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a23"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\] " "Synthesized away node \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 807 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a24"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_hah1.tdf" "" { Text "C:/FPGA/db/altsyncram_hah1.tdf" 551 2 0 } } { "db/a_dpfifo_9qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 44 2 0 } } { "db/scfifo_ci71.tdf" "" { Text "C:/FPGA/db/scfifo_ci71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 248 4928 5184 480 "TX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 551 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 248 4928 5184 480 "TX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\] " "Synthesized away node \"tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 583 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 248 4928 5184 480 "TX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a17"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_hah1.tdf" "" { Text "C:/FPGA/db/altsyncram_hah1.tdf" 551 2 0 } } { "db/a_dpfifo_9qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 44 2 0 } } { "db/scfifo_ci71.tdf" "" { Text "C:/FPGA/db/scfifo_ci71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 551 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\] " "Synthesized away node \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 583 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1617214725061 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a17"} } { } 0 14285 "Synthesized away the following %1!s! node(s):" 0 0 "Design Software" 0 -1 1617214725061 ""} } { } 0 14284 "Synthesized away the following node(s):" 1 0 "Analysis & Synthesis" 0 -1 1617214725061 ""} -{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "2 " "2 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1617214726599 ""} -{ "Info" "IQSYN_PARALLEL_SYNTHESIS" "8 2 " "Using 8 processors to synthesize 2 partitions in parallel" { } { } 0 281037 "Using %1!d! processors to synthesize %2!d! partitions in parallel" 0 0 "Analysis & Synthesis" 0 -1 1617214726905 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 0 1617214728639 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 0 1617214728651 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 31 21:18:47 2021 " "Processing started: Wed Mar 31 21:18:47 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 0 1617214728651 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214728651 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --parallel=1 --helper=0 --helper_type=user_partition --partition=Top WOLF-LITE -c WOLF-LITE " "Command: quartus_map --parallel=1 --helper=0 --helper_type=user_partition --partition=Top WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214728651 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "8 " "Inferred 8 megafunctions from design logic" { { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "Mult1" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "Mult0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 50 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "Mult1" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 52 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "Mult0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "Mult1" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "Mult0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 50 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "Mult1" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 52 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0\"" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "Mult0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 0 1617214747284 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214747698 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 16 " "Parameter \"LPM_WIDTHA\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 16 " "Parameter \"LPM_WIDTHB\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 32 " "Parameter \"LPM_WIDTHP\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 32 " "Parameter \"LPM_WIDTHR\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1617214747700 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_36t.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_36t.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_36t " "Found entity 1: mult_36t" { } { { "db/mult_36t.tdf" "" { Text "C:/FPGA/db/mult_36t.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214747875 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214747875 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214748396 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 12 " "Parameter \"LPM_WIDTHA\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 12 " "Parameter \"LPM_WIDTHB\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 24 " "Parameter \"LPM_WIDTHP\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 24 " "Parameter \"LPM_WIDTHR\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 6 " "Parameter \"MAXIMIZE_SPEED\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1617214748396 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_t5t.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_t5t.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_t5t " "Found entity 1: mult_t5t" { } { { "db/mult_t5t.tdf" "" { Text "C:/FPGA/db/mult_t5t.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214748595 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214748595 ""} -{ "Info" "IBAL_BAL_CONVERTED_RAM_SLICES_TO_LCELLS_TOP_MSG" "2 " "Converted the following 2 logical RAM block slices to logic cells" { { "Info" "IBAL_BAL_CONVERTED_LOGICAL_RAM_GROUP_TO_LCELLS" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM " "Converted the following logical RAM block \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM\" slices to logic cells" { { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1735 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1767 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1799 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1831 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1863 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1895 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1927 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1959 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1991 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2023 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2055 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2087 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2119 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2151 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2183 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2215 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2247 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2279 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2311 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2343 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2375 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2407 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2439 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2471 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2503 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2535 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2567 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2599 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2631 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2663 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2695 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2727 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1703 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1671 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1639 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1607 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1575 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1543 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1511 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1479 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1447 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1415 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1383 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1351 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1319 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1287 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1255 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1223 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1191 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1159 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1127 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1095 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1063 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1031 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 999 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 967 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 935 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 903 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 871 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 839 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 807 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 775 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 743 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 711 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 679 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 647 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 615 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 583 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 551 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 519 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 487 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 455 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 423 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 391 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 359 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 327 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 295 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 263 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 231 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 199 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 167 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 135 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 103 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 71 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} } { } 0 270022 "Converted the following logical RAM block \"%1!s!\" slices to logic cells" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_CONVERTED_LOGICAL_RAM_GROUP_TO_LCELLS" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM " "Converted the following logical RAM block \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM\" slices to logic cells" { { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1735 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1767 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1799 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1831 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1863 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1895 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1927 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1959 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1991 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2023 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2055 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2087 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2119 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2151 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2183 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2215 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2247 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2279 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2311 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2343 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2375 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2407 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2439 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2471 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2503 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2535 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2567 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2599 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2631 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2663 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2695 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2727 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1703 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1671 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1639 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1607 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1575 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1543 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1511 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1479 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1447 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1415 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1383 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1351 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1319 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1287 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1255 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1223 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1191 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1159 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1127 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1095 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1063 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1031 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 999 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 967 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 935 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 903 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 871 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 839 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 807 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 775 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 743 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 711 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 679 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 647 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 615 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 583 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 551 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 519 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 487 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 455 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 423 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 391 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 359 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 327 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 295 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 263 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 231 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 199 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 167 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 135 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 103 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 71 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} } { } 0 270022 "Converted the following logical RAM block \"%1!s!\" slices to logic cells" 0 0 "Design Software" 0 0 1617214749566 ""} } { } 0 270023 "Converted the following %1!d! logical RAM block slices to logic cells" 0 0 "Analysis & Synthesis" 0 0 1617214749566 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0 " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214750267 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0 " "Instantiated megafunction \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE altsyncram " "Parameter \"LPM_TYPE\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 85 " "Parameter \"WIDTH_A\" = \"85\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 2 " "Parameter \"WIDTHAD_A\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 4 " "Parameter \"NUMWORDS_A\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_A NONE " "Parameter \"OUTDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_ACLR_A NONE " "Parameter \"BYTEENA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_INPUT_A BYPASS " "Parameter \"CLOCK_ENABLE_INPUT_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_OUTPUT_A BYPASS " "Parameter \"CLOCK_ENABLE_OUTPUT_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 85 " "Parameter \"WIDTH_B\" = \"85\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 2 " "Parameter \"WIDTHAD_B\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 4 " "Parameter \"NUMWORDS_B\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_REG_B UNUSED " "Parameter \"INDATA_REG_B\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_WRADDRESS_REG_B CLOCK1 " "Parameter \"WRCONTROL_WRADDRESS_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK1 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK1 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_REG_B UNUSED " "Parameter \"BYTEENA_REG_B\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B CLOCK1 " "Parameter \"OUTDATA_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_B NONE " "Parameter \"INDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_B NONE " "Parameter \"WRCONTROL_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_ACLR_B NONE " "Parameter \"RDCONTROL_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_ACLR_B NONE " "Parameter \"BYTEENA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_INPUT_B BYPASS " "Parameter \"CLOCK_ENABLE_INPUT_B\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_OUTPUT_B NORMAL " "Parameter \"CLOCK_ENABLE_OUTPUT_B\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_BYTEENA_A 1 " "Parameter \"WIDTH_BYTEENA_A\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_BYTEENA_B 1 " "Parameter \"WIDTH_BYTEENA_B\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTE_SIZE 8 " "Parameter \"BYTE_SIZE\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS DONT_CARE " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE " "Parameter \"INIT_FILE\" = \"\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE_LAYOUT PORT_B " "Parameter \"INIT_FILE_LAYOUT\" = \"PORT_B\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMUM_DEPTH 4 " "Parameter \"MAXIMUM_DEPTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ENABLE_RUNTIME_MOD NO " "Parameter \"ENABLE_RUNTIME_MOD\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INSTANCE_NAME UNUSED " "Parameter \"INSTANCE_NAME\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ENABLE_ECC FALSE " "Parameter \"ENABLE_ECC\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ECCSTATUS_REG UNREGISTERED " "Parameter \"ECCSTATUS_REG\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_CORE_A BYPASS " "Parameter \"CLOCK_ENABLE_CORE_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_CORE_B BYPASS " "Parameter \"CLOCK_ENABLE_CORE_B\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_PORT_A NEW_DATA_WITH_NBE_READ " "Parameter \"READ_DURING_WRITE_MODE_PORT_A\" = \"NEW_DATA_WITH_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_PORT_B NEW_DATA_WITH_NBE_READ " "Parameter \"READ_DURING_WRITE_MODE_PORT_B\" = \"NEW_DATA_WITH_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_ECC_STATUS NORMAL " "Parameter \"CLOCK_ENABLE_ECC_STATUS\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "IMPLEMENT_IN_LES ON " "Parameter \"IMPLEMENT_IN_LES\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1617214750267 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_lci3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_lci3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_lci3 " "Found entity 1: altsyncram_lci3" { } { { "db/altsyncram_lci3.tdf" "" { Text "C:/FPGA/db/altsyncram_lci3.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214750512 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214750512 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_msa.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_msa.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_msa " "Found entity 1: decode_msa" { } { { "db/decode_msa.tdf" "" { Text "C:/FPGA/db/decode_msa.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214750778 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214750778 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_rob.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_rob.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_rob " "Found entity 1: mux_rob" { } { { "db/mux_rob.tdf" "" { Text "C:/FPGA/db/mux_rob.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214751033 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214751033 ""} -{ "Info" "IQSYN_SYNTHESIZE_TOP_PARTITION" "" "Starting Logic Optimization and Technology Mapping for Top Partition" { } { } 0 281020 "Starting Logic Optimization and Technology Mapping for Top Partition" 0 0 "Analysis & Synthesis" 0 0 1617214753213 ""} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 speed 102 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"speed\" technology mapper which leaves 102 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 0 1617214753685 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 388 -1 0 } } { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 299 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 0 1617214754076 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Analysis & Synthesis" 0 0 1617214754076 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING_ON_PARTITION" "Top " "Timing-Driven Synthesis is running on partition \"Top\"" { } { } 0 286031 "Timing-Driven Synthesis is running on partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214761017 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "37 " "37 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 0 1617214771659 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214772323 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Analysis & Synthesis" 0 0 1617214774011 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 5 clocks " "Found 5 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 16.276 clk_sys " " 16.276 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} } { } 0 332111 "%1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214774011 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_START" "combinational resynthesis using boolean division " "Starting physical synthesis algorithm combinational resynthesis using boolean division" { } { } 0 128002 "Starting physical synthesis algorithm %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214775099 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_END_SLACK" "combinational resynthesis using boolean division 0 " "Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps" { } { } 0 128003 "Physical synthesis algorithm %1!s! complete: estimated slack improvement of %2!d! ps" 0 0 "Analysis & Synthesis" 0 0 1617214775450 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:03 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:03" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Analysis & Synthesis" 0 0 1617214775476 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "10680 " "Implemented 10680 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "33 " "Implemented 33 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_OPINS" "41 " "Implemented 41 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10205 " "Implemented 10205 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_RAMS" "354 " "Implemented 354 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_PLLS" "2 " "Implemented 2 PLLs" { } { } 0 21065 "Implemented %1!d! PLLs" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "36 " "Implemented 36 DSP elements" { } { } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Design Software" 0 0 1617214775615 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 0 1617214775615 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 0 1617214776316 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4914 " "Peak virtual memory: 4914 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 0 1617214776663 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 31 21:19:36 2021 " "Processing ended: Wed Mar 31 21:19:36 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 0 1617214776663 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:49 " "Elapsed time: 00:00:49" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 0 1617214776663 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:56 " "Total CPU time (on all processors): 00:00:56" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 0 1617214776663 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 0 1617214776663 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 1 1617214728618 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 1 1617214728631 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 31 21:18:47 2021 " "Processing started: Wed Mar 31 21:18:47 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 1 1617214728631 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214728631 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --parallel=1 --helper=1 --helper_type=user_partition --partition=sld_hub:auto_hub WOLF-LITE -c WOLF-LITE " "Command: quartus_map --parallel=1 --helper=1 --helper_type=user_partition --partition=sld_hub:auto_hub WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214728631 ""} -{ "Info" "IQSYN_SYNTHESIZE_PARTITION" "sld_hub:auto_hub " "Starting Logic Optimization and Technology Mapping for Partition sld_hub:auto_hub" { } { } 0 281019 "Starting Logic Optimization and Technology Mapping for Partition %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214732400 ""} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 speed 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"speed\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 1 1617214732892 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_jtag_hub.vhd" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 386 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 1 1617214732913 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Analysis & Synthesis" 0 1 1617214732913 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING_ON_PARTITION" "sld_hub:auto_hub " "Timing-Driven Synthesis is running on partition \"sld_hub:auto_hub\"" { } { } 0 286031 "Timing-Driven Synthesis is running on partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 1 1617214733211 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214734699 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Analysis & Synthesis" 0 1 1617214735119 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 5 clocks " "Found 5 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 16.276 clk_sys " " 16.276 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1617214735121 ""} } { } 0 332111 "%1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735121 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_START" "combinational resynthesis using boolean division " "Starting physical synthesis algorithm combinational resynthesis using boolean division" { } { } 0 128002 "Starting physical synthesis algorithm %1!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735145 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_END_SLACK" "combinational resynthesis using boolean division 0 " "Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps" { } { } 0 128003 "Physical synthesis algorithm %1!s! complete: estimated slack improvement of %2!d! ps" 0 0 "Analysis & Synthesis" 0 1 1617214735298 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:01 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:01" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735301 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "239 " "Implemented 239 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "39 " "Implemented 39 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 1 1617214735405 ""} { "Info" "ICUT_CUT_TM_OPINS" "56 " "Implemented 56 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 1 1617214735405 ""} { "Info" "ICUT_CUT_TM_LCELLS" "144 " "Implemented 144 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 1 1617214735405 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 1 1617214735405 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 1 1617214735438 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4817 " "Peak virtual memory: 4817 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 1 1617214735530 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 31 21:18:55 2021 " "Processing ended: Wed Mar 31 21:18:55 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 1 1617214735530 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 1 1617214735530 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 1 1617214735530 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 1 1617214735530 ""} -{ "Info" "IQSYN_PARALLEL_SYNTHESIS_SUCCESS" "" "Finished parallel synthesis of all partitions" { } { } 0 281038 "Finished parallel synthesis of all partitions" 0 0 "Analysis & Synthesis" 0 -1 1617214777497 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1617214777602 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.map.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214782129 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4976 " "Peak virtual memory: 4976 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1617214790232 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 31 21:19:50 2021 " "Processing ended: Wed Mar 31 21:19:50 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1617214790232 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:05:11 " "Elapsed time: 00:05:11" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1617214790232 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:07:52 " "Total CPU time (on all processors): 00:07:52" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1617214790232 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1617214790232 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.map.rcfdb b/FPGA_61.440/db/WOLF-LITE.map.rcfdb deleted file mode 100644 index fab7039..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map.rcfdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map.rdb b/FPGA_61.440/db/WOLF-LITE.map.rdb deleted file mode 100644 index 77748a0..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map_bb.cdb b/FPGA_61.440/db/WOLF-LITE.map_bb.cdb deleted file mode 100644 index fbc15e3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map_bb.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map_bb.hdb b/FPGA_61.440/db/WOLF-LITE.map_bb.hdb deleted file mode 100644 index d20b698..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.map_bb.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.map_bb.logdb b/FPGA_61.440/db/WOLF-LITE.map_bb.logdb deleted file mode 100644 index f32998d..0000000 --- a/FPGA_61.440/db/WOLF-LITE.map_bb.logdb +++ /dev/null @@ -1,19 +0,0 @@ -v1 -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_mixer:TX_MIXER_Q|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_mixer:TX_MIXER_I|lpm_mult:lpm_mult_component|mult_abt:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component|mult_rcu:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component|mult_lcu:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,mixer:RX_MIXER_Q|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,mixer:RX_MIXER_I|lpm_mult:lpm_mult_component|mult_jnp:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_t5t:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,nco:RX_NCO|nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_t5t:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_mady_cen:m0|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult0|mult_36t:auto_generated|mac_out2, -DSP_BALANCING_IMPLEMENTATION,DSP_BLOCKS,tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_madx_cen:m1|lpm_mult:Mult1|mult_36t:auto_generated|mac_out2, diff --git a/FPGA_61.440/db/WOLF-LITE.merge.qmsg b/FPGA_61.440/db/WOLF-LITE.merge.qmsg deleted file mode 100644 index cc6c8fc..0000000 --- a/FPGA_61.440/db/WOLF-LITE.merge.qmsg +++ /dev/null @@ -1,9 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1620759450304 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Partition Merge Quartus Prime " "Running Quartus Prime Partition Merge" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1620759450330 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue May 11 21:57:28 2021 " "Processing started: Tue May 11 21:57:28 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1620759450330 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1620759450330 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_cdb --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on " "Command: quartus_cdb --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1620759450330 ""} -{ "Info" "IAMERGE_PARTITION_SOURCE_POST_FIT" "Top " "Using previously generated Fitter netlist for partition \"Top\"" { } { } 0 35006 "Using previously generated Fitter netlist for partition \"%1!s!\"" 0 0 "Design Software" 0 -1 1620759453104 ""} -{ "Info" "IAMERGE_PARTITION_SOURCE_SOURCE" "sld_hub:auto_hub " "Using synthesis netlist for partition \"sld_hub:auto_hub\"" { } { } 0 35007 "Using synthesis netlist for partition \"%1!s!\"" 0 0 "Design Software" 0 -1 1620759455605 ""} -{ "Info" "IAMERGE_ATOM_BLACKBOX_RESOLVED" "2 " "Resolved and merged 2 partition(s)" { } { } 0 35002 "Resolved and merged %1!d! partition(s)" 0 0 "Design Software" 0 -1 1620759457769 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "2 0 2 0 0 " "Adding 2 node(s), including 0 DDIO, 2 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1620759457982 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Design Software" 0 -1 1620759457982 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "10515 " "Implemented 10515 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "20 " "Implemented 20 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1620759459462 ""} { "Info" "ICUT_CUT_TM_OPINS" "37 " "Implemented 37 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1620759459462 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1620759459462 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10374 " "Implemented 10374 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1620759459462 ""} { "Info" "ICUT_CUT_TM_RAMS" "33 " "Implemented 33 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 -1 1620759459462 ""} { "Info" "ICUT_CUT_TM_PLLS" "2 " "Implemented 2 PLLs" { } { } 0 21065 "Implemented %1!d! PLLs" 0 0 "Design Software" 0 -1 1620759459462 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "36 " "Implemented 36 DSP elements" { } { } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Design Software" 0 -1 1620759459462 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Design Software" 0 -1 1620759459462 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Partition Merge 0 s 0 s Quartus Prime " "Quartus Prime Partition Merge was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4775 " "Peak virtual memory: 4775 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1620759461727 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue May 11 21:57:41 2021 " "Processing ended: Tue May 11 21:57:41 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1620759461727 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:13 " "Elapsed time: 00:00:13" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1620759461727 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1620759461727 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1620759461727 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.pow.qmsg b/FPGA_61.440/db/WOLF-LITE.pow.qmsg deleted file mode 100644 index 6cceea5..0000000 --- a/FPGA_61.440/db/WOLF-LITE.pow.qmsg +++ /dev/null @@ -1,41 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1620759540225 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Power Analyzer Quartus Prime " "Running Quartus Prime Power Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1620759540244 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue May 11 21:58:59 2021 " "Processing started: Tue May 11 21:58:59 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1620759540244 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Power Analyzer" 0 -1 1620759540244 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE " "Command: quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Power Analyzer" 0 -1 1620759540244 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Power Analyzer" 0 -1 1620759541123 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Power Analyzer" 0 -1 1620759541123 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_hub " "Entity sld_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1620759542572 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1620759542572 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Design Software" 0 -1 1620759542572 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Power Analyzer" 0 -1 1620759542572 ""} -{ "Info" "ISTA_SDC_FOUND" "SDC.sdc " "Reading SDC File: 'SDC.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Power Analyzer" 0 -1 1620759542689 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 5 rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid port or pin or register or keeper or net or combinational node or node " "Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542692 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "create_clock SDC.sdc 5 Argument is not an object ID " "Ignored create_clock at SDC.sdc(5): Argument is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\} " "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\}" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542694 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542694 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 clock_sys clock " "Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542694 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 iq_valid clock " "Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542695 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_CALL_IS_DELAYED" "" "Clock uncertainty is not calculated until you update the timing netlist." { } { } 0 332151 "Clock uncertainty is not calculated until you update the timing netlist." 0 0 "Power Analyzer" 0 -1 1620759542695 ""} -{ "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "Deriving PLL clocks " "Deriving PLL clocks" { { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759542697 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 5 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 5 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759542697 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1280 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1280 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759542697 ""} } { } 0 332110 "%1!s!" 0 0 "Power Analyzer" 0 -1 1620759542697 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 13 clock_crystal clock " "Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542698 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 13 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542698 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542698 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 14 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542698 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542698 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 18 clock_adc clock " "Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542699 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 18 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542699 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542699 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 19 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542700 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542700 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 20 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542700 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542700 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 21 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542700 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542700 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 27 iq_valid clock " "Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542701 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 27 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542701 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542701 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 28 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542702 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542702 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 29 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542702 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542702 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 30 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542703 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542703 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 31 clock_sys clock " "Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542703 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 31 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542703 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542703 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 32 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759542704 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1620759542704 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1620759542755 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Power Analyzer" 0 -1 1620759542755 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Power Analyzer" 0 -1 1620759542882 ""} -{ "Info" "IPVA_PVA_START_CALCULATION" "" "Starting Vectorless Power Activity Estimation" { } { } 0 223000 "Starting Vectorless Power Activity Estimation" 0 0 "Power Analyzer" 0 -1 1620759543185 ""} -{ "Info" "IPVA_PVA_END_CALCULATION" "" "Completed Vectorless Power Activity Estimation" { } { } 0 223001 "Completed Vectorless Power Activity Estimation" 0 0 "Power Analyzer" 0 -1 1620759543642 ""} -{ "Info" "IPUTIL_EXTERNAL_PUTIL_SAF_WRITTEN" "output_files/signal_activity.saf " "Created Signal Activity File output_files/signal_activity.saf" { } { } 0 221012 "Created Signal Activity File %1!s!" 0 0 "Power Analyzer" 0 -1 1620759543985 ""} -{ "Info" "IPATFAM_USING_ADVANCED_IO_POWER" "" "Using Advanced I/O Power to simulate I/O buffers with the specified board trace model" { } { } 0 218000 "Using Advanced I/O Power to simulate I/O buffers with the specified board trace model" 0 0 "Power Analyzer" 0 -1 1620759544185 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Power Analyzer" 0 -1 1620759544406 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Power Analyzer" 0 -1 1620759546322 ""} -{ "Info" "IPAN_AVG_TOGGLE_RATE_PER_DESIGN" "9.375 millions of transitions / sec " "Average toggle rate for this design is 9.375 millions of transitions / sec" { } { } 0 215049 "Average toggle rate for this design is %1!s!" 0 0 "Power Analyzer" 0 -1 1620759552349 ""} -{ "Info" "IPAN_PAN_TOTAL_POWER_ESTIMATION" "289.60 mW " "Total thermal power estimate for the design is 289.60 mW" { } { { "c:/intelfpga/18.1/quartus/bin64/Report_Window_01.qrpt" "" { Report "c:/intelfpga/18.1/quartus/bin64/Report_Window_01.qrpt" "Compiler" "" "" "" "" { } "PowerPlay Power Analyzer Summary" } } } 0 215031 "Total thermal power estimate for the design is %1!s!" 0 0 "Power Analyzer" 0 -1 1620759553377 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.pow.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.pow.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Power Analyzer" 0 -1 1620759553503 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Power Analyzer 0 s 20 s Quartus Prime " "Quartus Prime Power Analyzer was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4933 " "Peak virtual memory: 4933 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1620759554322 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue May 11 21:59:14 2021 " "Processing ended: Tue May 11 21:59:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1620759554322 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:15 " "Elapsed time: 00:00:15" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1620759554322 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Total CPU time (on all processors): 00:00:18" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1620759554322 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Power Analyzer" 0 -1 1620759554322 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.pre_map.hdb b/FPGA_61.440/db/WOLF-LITE.pre_map.hdb deleted file mode 100644 index 20fffd4..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.pre_map.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.quartus_db.empty-vpr.rcfdb b/FPGA_61.440/db/WOLF-LITE.quartus_db.empty-vpr.rcfdb deleted file mode 100644 index 8a40ff2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.quartus_db.empty-vpr.rcfdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.quartus_db.retry.rcfdb b/FPGA_61.440/db/WOLF-LITE.quartus_db.retry.rcfdb deleted file mode 100644 index 3fd1548..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.quartus_db.retry.rcfdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.root_partition.map.reg_db.cdb b/FPGA_61.440/db/WOLF-LITE.root_partition.map.reg_db.cdb deleted file mode 100644 index 22f325e..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.root_partition.map.reg_db.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.root_partition.qmsg b/FPGA_61.440/db/WOLF-LITE.root_partition.qmsg deleted file mode 100644 index b5407c5..0000000 --- a/FPGA_61.440/db/WOLF-LITE.root_partition.qmsg +++ /dev/null @@ -1,28 +0,0 @@ -{ "Info" "ILPMS_INFERENCING_SUMMARY" "8 " "Inferred 8 megafunctions from design logic" { { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "Mult1" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "Mult0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 50 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "Mult1" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 52 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "Mult0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "Mult1" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "Mult0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 50 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "Mult1" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 52 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0\"" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "Mult0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1617214747284 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 0 1617214747284 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214747698 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 16 " "Parameter \"LPM_WIDTHA\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 16 " "Parameter \"LPM_WIDTHB\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 32 " "Parameter \"LPM_WIDTHP\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 32 " "Parameter \"LPM_WIDTHR\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214747700 ""} } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1617214747700 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_36t.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_36t.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_36t " "Found entity 1: mult_36t" { } { { "db/mult_36t.tdf" "" { Text "C:/FPGA/db/mult_36t.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214747875 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214747875 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214748396 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 12 " "Parameter \"LPM_WIDTHA\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 12 " "Parameter \"LPM_WIDTHB\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 24 " "Parameter \"LPM_WIDTHP\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 24 " "Parameter \"LPM_WIDTHR\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 6 " "Parameter \"MAXIMIZE_SPEED\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214748396 ""} } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1617214748396 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_t5t.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_t5t.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_t5t " "Found entity 1: mult_t5t" { } { { "db/mult_t5t.tdf" "" { Text "C:/FPGA/db/mult_t5t.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214748595 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214748595 ""} -{ "Info" "IBAL_BAL_CONVERTED_RAM_SLICES_TO_LCELLS_TOP_MSG" "2 " "Converted the following 2 logical RAM block slices to logic cells" { { "Info" "IBAL_BAL_CONVERTED_LOGICAL_RAM_GROUP_TO_LCELLS" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM " "Converted the following logical RAM block \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM\" slices to logic cells" { { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1735 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1767 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1799 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1831 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1863 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1895 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1927 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1959 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1991 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2023 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2055 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2087 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2119 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2151 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2183 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2215 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2247 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2279 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2311 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2343 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2375 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2407 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2439 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2471 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2503 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2535 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2567 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2599 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2631 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2663 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2695 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2727 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1703 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1671 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1639 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1607 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1575 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1543 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1511 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1479 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1447 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1415 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1383 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1351 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1319 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1287 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1255 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1223 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1191 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1159 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1127 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1095 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1063 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1031 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 999 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 967 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 935 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 903 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 871 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 839 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 807 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 775 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 743 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 711 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 679 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 647 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 615 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 583 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 551 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 519 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 487 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 455 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 423 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 391 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 359 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 327 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 295 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 263 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 231 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 199 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 167 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 135 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 103 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 71 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} } { } 0 270022 "Converted the following logical RAM block \"%1!s!\" slices to logic cells" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_CONVERTED_LOGICAL_RAM_GROUP_TO_LCELLS" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM " "Converted the following logical RAM block \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ALTSYNCRAM\" slices to logic cells" { { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a53\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1735 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a54\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1767 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a55\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1799 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a56\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1831 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a57\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1863 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a58\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1895 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a59\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1927 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a60\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1959 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a61\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1991 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a62\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2023 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a63\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2055 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a64\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2087 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a65\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2119 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a66\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2151 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a67\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2183 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a68\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2215 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a69\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2247 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a70\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2279 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a71\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2311 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a72\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2343 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a73\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2375 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a74\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2407 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a75\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2439 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a76\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2471 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a77\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2503 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a78\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2535 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a79\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2567 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a80\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2599 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a81\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2631 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a82\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2663 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a83\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2695 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a84\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 2727 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a52\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1703 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a51\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1671 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a50\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1639 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a49\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1607 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a48\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1575 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a47\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1543 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a46\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1511 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a45\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1479 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a44\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1447 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a43\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1415 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a42\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1383 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a41\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1351 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a40\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1319 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a39\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1287 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a38\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1255 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a37\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1223 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a36\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1191 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a35\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1159 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a34\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1127 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a33\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1095 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a32\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1063 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a31\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 1031 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a30\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 999 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a29\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 967 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a28\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 935 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a27\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 903 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a26\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 871 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a25\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 839 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a24\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 807 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a23\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 775 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a22\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 743 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a21\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 711 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a20\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 679 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a19\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 647 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a18\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 615 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a17\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 583 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a16\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 551 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a15\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 519 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a14\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 487 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a13\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 455 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a12\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 423 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a11\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 391 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a10\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 359 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a9\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 327 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a8\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 295 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a7\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 263 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a6\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 231 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a5\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 199 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a4\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 167 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a3\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 135 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a2\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 103 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a1\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 71 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|ram_block1a0\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } { "db/a_dpfifo_4ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_4ku.tdf" 42 2 0 } } { "db/scfifo_pm51.tdf" "" { Text "C:/FPGA/db/scfifo_pm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1617214749566 ""} } { } 0 270022 "Converted the following logical RAM block \"%1!s!\" slices to logic cells" 0 0 "Design Software" 0 0 1617214749566 ""} } { } 0 270023 "Converted the following %1!d! logical RAM block slices to logic cells" 0 0 "Analysis & Synthesis" 0 0 1617214749566 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0 " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0\"" { } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214750267 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0 " "Instantiated megafunction \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_pm51:auto_generated\|a_dpfifo_4ku:dpfifo\|altsyncram_j7h1:FIFOram\|altsyncram:ram_block1a0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE altsyncram " "Parameter \"LPM_TYPE\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 85 " "Parameter \"WIDTH_A\" = \"85\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 2 " "Parameter \"WIDTHAD_A\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 4 " "Parameter \"NUMWORDS_A\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_A NONE " "Parameter \"OUTDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_ACLR_A NONE " "Parameter \"BYTEENA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_INPUT_A BYPASS " "Parameter \"CLOCK_ENABLE_INPUT_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_OUTPUT_A BYPASS " "Parameter \"CLOCK_ENABLE_OUTPUT_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 85 " "Parameter \"WIDTH_B\" = \"85\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 2 " "Parameter \"WIDTHAD_B\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 4 " "Parameter \"NUMWORDS_B\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_REG_B UNUSED " "Parameter \"INDATA_REG_B\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_WRADDRESS_REG_B CLOCK1 " "Parameter \"WRCONTROL_WRADDRESS_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK1 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK1 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_REG_B UNUSED " "Parameter \"BYTEENA_REG_B\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B CLOCK1 " "Parameter \"OUTDATA_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_B NONE " "Parameter \"INDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_B NONE " "Parameter \"WRCONTROL_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_ACLR_B NONE " "Parameter \"RDCONTROL_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_ACLR_B NONE " "Parameter \"BYTEENA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_INPUT_B BYPASS " "Parameter \"CLOCK_ENABLE_INPUT_B\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_OUTPUT_B NORMAL " "Parameter \"CLOCK_ENABLE_OUTPUT_B\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_BYTEENA_A 1 " "Parameter \"WIDTH_BYTEENA_A\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_BYTEENA_B 1 " "Parameter \"WIDTH_BYTEENA_B\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTE_SIZE 8 " "Parameter \"BYTE_SIZE\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS DONT_CARE " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE " "Parameter \"INIT_FILE\" = \"\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE_LAYOUT PORT_B " "Parameter \"INIT_FILE_LAYOUT\" = \"PORT_B\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMUM_DEPTH 4 " "Parameter \"MAXIMUM_DEPTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ENABLE_RUNTIME_MOD NO " "Parameter \"ENABLE_RUNTIME_MOD\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INSTANCE_NAME UNUSED " "Parameter \"INSTANCE_NAME\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ENABLE_ECC FALSE " "Parameter \"ENABLE_ECC\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ECCSTATUS_REG UNREGISTERED " "Parameter \"ECCSTATUS_REG\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_CORE_A BYPASS " "Parameter \"CLOCK_ENABLE_CORE_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_CORE_B BYPASS " "Parameter \"CLOCK_ENABLE_CORE_B\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_PORT_A NEW_DATA_WITH_NBE_READ " "Parameter \"READ_DURING_WRITE_MODE_PORT_A\" = \"NEW_DATA_WITH_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_PORT_B NEW_DATA_WITH_NBE_READ " "Parameter \"READ_DURING_WRITE_MODE_PORT_B\" = \"NEW_DATA_WITH_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_ECC_STATUS NORMAL " "Parameter \"CLOCK_ENABLE_ECC_STATUS\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "IMPLEMENT_IN_LES ON " "Parameter \"IMPLEMENT_IN_LES\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1617214750267 ""} } { { "db/altsyncram_j7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_j7h1.tdf" 39 2 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1617214750267 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_lci3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_lci3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_lci3 " "Found entity 1: altsyncram_lci3" { } { { "db/altsyncram_lci3.tdf" "" { Text "C:/FPGA/db/altsyncram_lci3.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214750512 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214750512 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_msa.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_msa.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_msa " "Found entity 1: decode_msa" { } { { "db/decode_msa.tdf" "" { Text "C:/FPGA/db/decode_msa.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214750778 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214750778 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_rob.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_rob.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_rob " "Found entity 1: mux_rob" { } { { "db/mux_rob.tdf" "" { Text "C:/FPGA/db/mux_rob.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1617214751033 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214751033 ""} -{ "Info" "IQSYN_SYNTHESIZE_TOP_PARTITION" "" "Starting Logic Optimization and Technology Mapping for Top Partition" { } { } 0 281020 "Starting Logic Optimization and Technology Mapping for Top Partition" 0 0 "Analysis & Synthesis" 0 0 1617214753213 ""} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 speed 102 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"speed\" technology mapper which leaves 102 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 0 1617214753685 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 388 -1 0 } } { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 299 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 0 1617214754076 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Analysis & Synthesis" 0 0 1617214754076 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING_ON_PARTITION" "Top " "Timing-Driven Synthesis is running on partition \"Top\"" { } { } 0 286031 "Timing-Driven Synthesis is running on partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1617214761017 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "37 " "37 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 0 1617214771659 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214772323 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Analysis & Synthesis" 0 0 1617214774011 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 5 clocks " "Found 5 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 16.276 clk_sys " " 16.276 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.380 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20833.280 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.510 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1617214774011 ""} } { } 0 332111 "%1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214774011 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_START" "combinational resynthesis using boolean division " "Starting physical synthesis algorithm combinational resynthesis using boolean division" { } { } 0 128002 "Starting physical synthesis algorithm %1!s!" 0 0 "Analysis & Synthesis" 0 0 1617214775099 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_END_SLACK" "combinational resynthesis using boolean division 0 " "Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps" { } { } 0 128003 "Physical synthesis algorithm %1!s! complete: estimated slack improvement of %2!d! ps" 0 0 "Analysis & Synthesis" 0 0 1617214775450 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:03 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:03" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Analysis & Synthesis" 0 0 1617214775476 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "10680 " "Implemented 10680 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "33 " "Implemented 33 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_OPINS" "41 " "Implemented 41 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10205 " "Implemented 10205 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_RAMS" "354 " "Implemented 354 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_PLLS" "2 " "Implemented 2 PLLs" { } { } 0 21065 "Implemented %1!d! PLLs" 0 0 "Design Software" 0 0 1617214775615 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "36 " "Implemented 36 DSP elements" { } { } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Design Software" 0 0 1617214775615 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 0 1617214775615 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 0 1617214776316 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4914 " "Peak virtual memory: 4914 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 0 1617214776663 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 31 21:19:36 2021 " "Processing ended: Wed Mar 31 21:19:36 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 0 1617214776663 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:49 " "Elapsed time: 00:00:49" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 0 1617214776663 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:56 " "Total CPU time (on all processors): 00:00:56" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 0 1617214776663 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 0 1617214776663 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.routing.rdb b/FPGA_61.440/db/WOLF-LITE.routing.rdb deleted file mode 100644 index 6142a7b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.routing.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.rtlv.hdb b/FPGA_61.440/db/WOLF-LITE.rtlv.hdb deleted file mode 100644 index 6d5b9a2..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.rtlv.hdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.rtlv_sg.cdb b/FPGA_61.440/db/WOLF-LITE.rtlv_sg.cdb deleted file mode 100644 index 35eda8c..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.rtlv_sg.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.rtlv_sg_swap.cdb b/FPGA_61.440/db/WOLF-LITE.rtlv_sg_swap.cdb deleted file mode 100644 index 9b3c010..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.rtlv_sg_swap.cdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.sld_design_entry.sci b/FPGA_61.440/db/WOLF-LITE.sld_design_entry.sci deleted file mode 100644 index 0cf4f27..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.sld_design_entry.sci and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.sld_design_entry_dsc.sci b/FPGA_61.440/db/WOLF-LITE.sld_design_entry_dsc.sci deleted file mode 100644 index 0e9bd88..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.sld_design_entry_dsc.sci and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.smart_action.txt b/FPGA_61.440/db/WOLF-LITE.smart_action.txt deleted file mode 100644 index c8e8a13..0000000 --- a/FPGA_61.440/db/WOLF-LITE.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/FPGA_61.440/db/WOLF-LITE.smp_dump.txt b/FPGA_61.440/db/WOLF-LITE.smp_dump.txt deleted file mode 100644 index 00d17b3..0000000 --- a/FPGA_61.440/db/WOLF-LITE.smp_dump.txt +++ /dev/null @@ -1,32 +0,0 @@ - -State Machine - |WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink|sink_state -Name sink_state.end1 sink_state.st_err sink_state.run1 sink_state.stall sink_state.start -sink_state.start 0 0 0 0 0 -sink_state.stall 0 0 0 1 1 -sink_state.run1 0 0 1 0 1 -sink_state.st_err 0 1 0 0 1 -sink_state.end1 1 0 0 0 1 - -State Machine - |WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink|sink_state -Name sink_state.end1 sink_state.st_err sink_state.run1 sink_state.stall sink_state.start -sink_state.start 0 0 0 0 0 -sink_state.stall 0 0 0 1 1 -sink_state.run1 0 0 1 0 1 -sink_state.st_err 0 1 0 0 1 -sink_state.end1 1 0 0 0 1 - -State Machine - |WOLF-LITE|tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink|sink_state -Name sink_state.end1 sink_state.st_err sink_state.run1 sink_state.stall sink_state.start -sink_state.start 0 0 0 0 0 -sink_state.stall 0 0 0 1 1 -sink_state.run1 0 0 1 0 1 -sink_state.st_err 0 1 0 0 1 -sink_state.end1 1 0 0 0 1 - -State Machine - |WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_sink_hpfir:sink|sink_state -Name sink_state.end1 sink_state.st_err sink_state.run1 sink_state.stall sink_state.start -sink_state.start 0 0 0 0 0 -sink_state.stall 0 0 0 1 1 -sink_state.run1 0 0 1 0 1 -sink_state.st_err 0 1 0 0 1 -sink_state.end1 1 0 0 0 1 diff --git a/FPGA_61.440/db/WOLF-LITE.sta.qmsg b/FPGA_61.440/db/WOLF-LITE.sta.qmsg deleted file mode 100644 index c286865..0000000 --- a/FPGA_61.440/db/WOLF-LITE.sta.qmsg +++ /dev/null @@ -1,67 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1620759557639 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1620759557656 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue May 11 21:59:16 2021 " "Processing started: Tue May 11 21:59:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1620759557656 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1620759557656 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta WOLF-LITE -c WOLF-LITE " "Command: quartus_sta WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1620759557657 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1620759557952 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1620759560450 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759560521 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759560522 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_hub " "Entity sld_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1620759561543 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1620759561543 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Design Software" 0 -1 1620759561543 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Timing Analyzer" 0 -1 1620759561543 ""} -{ "Info" "ISTA_SDC_FOUND" "SDC.sdc " "Reading SDC File: 'SDC.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1620759561658 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 5 rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid port or pin or register or keeper or net or combinational node or node " "Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561661 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "create_clock SDC.sdc 5 Argument is not an object ID " "Ignored create_clock at SDC.sdc(5): Argument is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\} " "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\}" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561661 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561661 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 clock_sys clock " "Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561662 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 iq_valid clock " "Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561662 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_CALL_IS_DELAYED" "" "Clock uncertainty is not calculated until you update the timing netlist." { } { } 0 332151 "Clock uncertainty is not calculated until you update the timing netlist." 0 0 "Timing Analyzer" 0 -1 1620759561662 ""} -{ "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "Deriving PLL clocks " "Deriving PLL clocks" { { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759561665 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 5 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 5 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759561665 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1280 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1280 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1620759561665 ""} } { } 0 332110 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1620759561665 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 13 clock_crystal clock " "Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561665 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 13 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561665 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561665 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 14 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561666 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561666 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 18 clock_adc clock " "Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561666 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 18 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561666 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561666 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 19 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561667 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561667 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 20 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561667 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561667 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 21 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561668 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561668 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 27 iq_valid clock " "Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561668 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 27 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561668 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561668 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 28 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561669 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561669 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 29 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561669 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561669 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 30 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561669 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561669 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 31 clock_sys clock " "Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561670 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 31 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561670 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561670 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 32 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1620759561670 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1620759561670 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1620759561729 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Timing Analyzer" 0 -1 1620759561729 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1620759561817 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF" 0 0 "Timing Analyzer" 0 0 1620759561823 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1620759561927 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1620759562438 ""} } { } 1 332148 "Timing requirements not met" 1 0 "Timing Analyzer" 0 -1 1620759562438 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -4.047 " "Worst-case setup slack is -4.047" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562442 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562442 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.047 -281.793 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " -4.047 -281.793 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562442 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.278 0.000 clk_sys " " 2.278 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562442 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 30.116 0.000 clock_stm32 " " 30.116 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562442 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 43.798 0.000 altera_reserved_tck " " 43.798 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562442 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759562442 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.405 " "Worst-case hold slack is 0.405" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562522 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562522 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.405 0.000 clk_sys " " 0.405 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562522 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.406 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 0.406 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562522 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.453 0.000 altera_reserved_tck " " 0.453 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562522 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.485 0.000 clock_stm32 " " 0.485 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562522 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759562522 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 2.835 " "Worst-case recovery slack is 2.835" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562536 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562536 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.835 0.000 clk_sys " " 2.835 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562536 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 94.965 0.000 altera_reserved_tck " " 94.965 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562536 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759562536 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.644 " "Worst-case removal slack is 1.644" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562557 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562557 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.644 0.000 altera_reserved_tck " " 1.644 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562557 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.574 0.000 clk_sys " " 12.574 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562557 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759562557 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 1.510 " "Worst-case minimum pulse width slack is 1.510" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.510 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 1.510 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.590 0.000 clk_sys " " 7.590 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.682 0.000 clock_stm32 " " 19.682 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 49.533 0.000 altera_reserved_tck " " 49.533 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759562570 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759562570 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 33 synchronizer chains. " "Report Metastability: Found 33 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759563076 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 33 " "Number of Synchronizer Chains Found: 33" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759563076 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 3 Registers " "Shortest Synchronizer Chain: 3 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759563076 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759563076 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 14.659 ns " "Worst Case Available Settling Time: 14.659 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759563076 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759563076 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1620759563076 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1620759563086 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1620759563150 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1620759565097 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1620759565729 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Timing Analyzer" 0 -1 1620759565729 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1620759565765 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1620759565991 ""} } { } 1 332148 "Timing requirements not met" 1 0 "Timing Analyzer" 0 -1 1620759565991 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.077 " "Worst-case setup slack is -3.077" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759565998 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759565998 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.077 -124.098 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " -3.077 -124.098 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759565998 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.555 0.000 clk_sys " " 2.555 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759565998 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 30.946 0.000 clock_stm32 " " 30.946 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759565998 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 44.281 0.000 altera_reserved_tck " " 44.281 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759565998 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759565998 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.389 " "Worst-case hold slack is 0.389" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566079 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566079 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.389 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 0.389 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566079 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.389 0.000 clk_sys " " 0.389 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566079 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.401 0.000 altera_reserved_tck " " 0.401 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566079 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.430 0.000 clock_stm32 " " 0.430 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566079 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759566079 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 3.222 " "Worst-case recovery slack is 3.222" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566095 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566095 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.222 0.000 clk_sys " " 3.222 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566095 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 95.300 0.000 altera_reserved_tck " " 95.300 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566095 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759566095 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.477 " "Worst-case removal slack is 1.477" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566111 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566111 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.477 0.000 altera_reserved_tck " " 1.477 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566111 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.125 0.000 clk_sys " " 12.125 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566111 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759566111 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 1.510 " "Worst-case minimum pulse width slack is 1.510" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566125 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566125 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.510 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 1.510 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566125 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.615 0.000 clk_sys " " 7.615 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566125 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.593 0.000 clock_stm32 " " 19.593 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566125 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 49.414 0.000 altera_reserved_tck " " 49.414 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759566125 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759566125 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 33 synchronizer chains. " "Report Metastability: Found 33 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759566641 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 33 " "Number of Synchronizer Chains Found: 33" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759566641 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 3 Registers " "Shortest Synchronizer Chain: 3 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759566641 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759566641 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 14.900 ns " "Worst Case Available Settling Time: 14.900 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759566641 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759566641 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1620759566641 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1620759566653 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_Q\[6\]\[15\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1620759567143 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Timing Analyzer" 0 -1 1620759567143 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1620759567175 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 1.697 " "Worst-case setup slack is 1.697" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567265 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567265 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.697 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 1.697 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567265 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.885 0.000 clk_sys " " 4.885 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567265 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.971 0.000 clock_stm32 " " 34.971 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567265 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 47.333 0.000 altera_reserved_tck " " 47.333 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567265 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759567265 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.132 " "Worst-case hold slack is 0.132" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567355 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567355 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.132 0.000 clk_sys " " 0.132 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567355 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.144 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 0.144 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567355 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.186 0.000 altera_reserved_tck " " 0.186 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567355 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.201 0.000 clock_stm32 " " 0.201 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567355 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759567355 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 5.083 " "Worst-case recovery slack is 5.083" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567373 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567373 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.083 0.000 clk_sys " " 5.083 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567373 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.756 0.000 altera_reserved_tck " " 97.756 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567373 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759567373 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 0.689 " "Worst-case removal slack is 0.689" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567392 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567392 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.689 0.000 altera_reserved_tck " " 0.689 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567392 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 10.625 0.000 clk_sys " " 10.625 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567392 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759567392 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 2.987 " "Worst-case minimum pulse width slack is 2.987" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.987 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 2.987 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.336 0.000 clk_sys " " 7.336 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.193 0.000 clock_stm32 " " 19.193 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 49.468 0.000 altera_reserved_tck " " 49.468 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1620759567408 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1620759567408 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 33 synchronizer chains. " "Report Metastability: Found 33 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759567973 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 33 " "Number of Synchronizer Chains Found: 33" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759567973 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 3 Registers " "Shortest Synchronizer Chain: 3 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759567973 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759567973 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 17.360 ns " "Worst Case Available Settling Time: 17.360 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759567973 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1620759567973 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1620759567973 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1620759568497 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1620759568499 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.sta.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.sta.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Timing Analyzer" 0 -1 1620759568599 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 20 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4954 " "Peak virtual memory: 4954 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1620759568860 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue May 11 21:59:28 2021 " "Processing ended: Tue May 11 21:59:28 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1620759568860 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1620759568860 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1620759568860 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1620759568860 ""} diff --git a/FPGA_61.440/db/WOLF-LITE.sta.rdb b/FPGA_61.440/db/WOLF-LITE.sta.rdb deleted file mode 100644 index a36b46f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.sta.rdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.sta_cmp.8_slow_1200mv_85c.tdb b/FPGA_61.440/db/WOLF-LITE.sta_cmp.8_slow_1200mv_85c.tdb deleted file mode 100644 index b8787a3..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.sta_cmp.8_slow_1200mv_85c.tdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.tis_db_list.ddb b/FPGA_61.440/db/WOLF-LITE.tis_db_list.ddb deleted file mode 100644 index 7dc5f0b..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.tis_db_list.ddb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.tiscmp.fast_1200mv_0c.ddb b/FPGA_61.440/db/WOLF-LITE.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index 8faee6d..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.tiscmp.fast_1200mv_0c.ddb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.tiscmp.fastest_slow_1200mv_0c.ddb b/FPGA_61.440/db/WOLF-LITE.tiscmp.fastest_slow_1200mv_0c.ddb deleted file mode 100644 index 067468a..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.tiscmp.fastest_slow_1200mv_0c.ddb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.tiscmp.fastest_slow_1200mv_85c.ddb b/FPGA_61.440/db/WOLF-LITE.tiscmp.fastest_slow_1200mv_85c.ddb deleted file mode 100644 index b22c981..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.tiscmp.fastest_slow_1200mv_85c.ddb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.tiscmp.slow_1200mv_0c.ddb b/FPGA_61.440/db/WOLF-LITE.tiscmp.slow_1200mv_0c.ddb deleted file mode 100644 index 3d4883f..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.tiscmp.slow_1200mv_0c.ddb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.tiscmp.slow_1200mv_85c.ddb b/FPGA_61.440/db/WOLF-LITE.tiscmp.slow_1200mv_85c.ddb deleted file mode 100644 index 34a57e9..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.tiscmp.slow_1200mv_85c.ddb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE.tmw_info b/FPGA_61.440/db/WOLF-LITE.tmw_info deleted file mode 100644 index 9a8ee02..0000000 --- a/FPGA_61.440/db/WOLF-LITE.tmw_info +++ /dev/null @@ -1,5 +0,0 @@ -start_full_compilation:s -start_partition_merge:s-start_full_compilation -start_io_assignment_analysis:s-start_full_compilation -start_assembler:s-start_full_compilation -start_timing_analyzer:s-start_full_compilation diff --git a/FPGA_61.440/db/WOLF-LITE.vpr.ammdb b/FPGA_61.440/db/WOLF-LITE.vpr.ammdb deleted file mode 100644 index 70fa901..0000000 Binary files a/FPGA_61.440/db/WOLF-LITE.vpr.ammdb and /dev/null differ diff --git a/FPGA_61.440/db/WOLF-LITE_partition_pins.json b/FPGA_61.440/db/WOLF-LITE_partition_pins.json deleted file mode 100644 index 283b14f..0000000 --- a/FPGA_61.440/db/WOLF-LITE_partition_pins.json +++ /dev/null @@ -1,257 +0,0 @@ -{ - "partitions" : [ - { - "name" : "Top", - "pins" : [ - { - "name" : "PREAMP", - "strict" : false - }, - { - "name" : "FLASH_C", - "strict" : false - }, - { - "name" : "FLASH_S", - "strict" : false - }, - { - "name" : "FLASH_MOSI", - "strict" : false - }, - { - "name" : "DAC_PD", - "strict" : false - }, - { - "name" : "ATT_05", - "strict" : false - }, - { - "name" : "ATT_1", - "strict" : false - }, - { - "name" : "ATT_2", - "strict" : false - }, - { - "name" : "ATT_4", - "strict" : false - }, - { - "name" : "ATT_8", - "strict" : false - }, - { - "name" : "ATT_16", - "strict" : false - }, - { - "name" : "BPF_A", - "strict" : false - }, - { - "name" : "BPF_B", - "strict" : false - }, - { - "name" : "BPF_OE1", - "strict" : false - }, - { - "name" : "BPF_OE2", - "strict" : false - }, - { - "name" : "LPF_1", - "strict" : false - }, - { - "name" : "LPF_2", - "strict" : false - }, - { - "name" : "LPF_3", - "strict" : false - }, - { - "name" : "TXRX_OUT", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[13]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[12]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[11]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[10]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[9]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[8]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[7]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[6]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[5]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[4]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[3]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[2]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[1]", - "strict" : false - }, - { - "name" : "DAC_OUTPUT[0]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[7]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[6]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[5]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[4]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[3]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[2]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[1]", - "strict" : false - }, - { - "name" : "STM32_DATA_BUS[0]", - "strict" : false - }, - { - "name" : "STM32_CLK", - "strict" : false - }, - { - "name" : "STM32_SYNC", - "strict" : false - }, - { - "name" : "clk_sys", - "strict" : false - }, - { - "name" : "ADC_INPUT[0]", - "strict" : false - }, - { - "name" : "ADC_INPUT[1]", - "strict" : false - }, - { - "name" : "ADC_OTR", - "strict" : false - }, - { - "name" : "ADC_INPUT[2]", - "strict" : false - }, - { - "name" : "FLASH_MISO", - "strict" : false - }, - { - "name" : "ADC_INPUT[3]", - "strict" : false - }, - { - "name" : "ADC_INPUT[7]", - "strict" : false - }, - { - "name" : "ADC_INPUT[6]", - "strict" : false - }, - { - "name" : "ADC_INPUT[5]", - "strict" : false - }, - { - "name" : "ADC_INPUT[4]", - "strict" : false - }, - { - "name" : "ADC_INPUT[11]", - "strict" : false - }, - { - "name" : "ADC_INPUT[10]", - "strict" : false - }, - { - "name" : "ADC_INPUT[9]", - "strict" : false - }, - { - "name" : "ADC_INPUT[8]", - "strict" : false - }, - { - "name" : "altera_reserved_tms", - "strict" : false - }, - { - "name" : "altera_reserved_tck", - "strict" : false - }, - { - "name" : "altera_reserved_tdi", - "strict" : false - }, - { - "name" : "altera_reserved_tdo", - "strict" : false - } - ] - } - ] -} \ No newline at end of file diff --git a/FPGA_61.440/db/a_dpfifo_1lv.tdf b/FPGA_61.440/db/a_dpfifo_1lv.tdf deleted file mode 100644 index 17bd8f3..0000000 --- a/FPGA_61.440/db/a_dpfifo_1lv.tdf +++ /dev/null @@ -1,136 +0,0 @@ ---a_dpfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=8 LPM_SHOWAHEAD="OFF" lpm_width=18 lpm_widthu=3 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" clock data full q rreq sclr usedw wreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION altsyncram_l7h1 (address_a[2..0], address_b[2..0], clock0, clock1, clocken1, data_a[17..0], wren_a) -RETURNS ( q_b[17..0]); -FUNCTION cmpr_gs8 (dataa[2..0], datab[2..0]) -RETURNS ( aeb); -FUNCTION cntr_r9b (clock, cnt_en, sclr) -RETURNS ( q[1..0]); -FUNCTION cntr_8a7 (clock, cnt_en, sclr, updown) -RETURNS ( q[2..0]); -FUNCTION cntr_s9b (clock, cnt_en, sclr) -RETURNS ( q[2..0]); - ---synthesis_resources = lut 8 M9K 1 reg 17 -SUBDESIGN a_dpfifo_1lv -( - clock : input; - data[17..0] : input; - full : output; - q[17..0] : output; - rreq : input; - sclr : input; - usedw[2..0] : output; - wreq : input; -) -VARIABLE - FIFOram : altsyncram_l7h1; - empty_dff : dffe; - full_dff : dffe; - low_addressa[2..0] : dffe; - rd_ptr_lsb : dffe; - usedw_is_0_dff : dffe; - usedw_is_1_dff : dffe; - wrreq_delay : dffe; - almost_full_comparer : cmpr_gs8; - two_comparison : cmpr_gs8; - rd_ptr_msb : cntr_r9b; - usedw_counter : cntr_8a7; - wr_ptr : cntr_s9b; - aclr : NODE; - asynch_read_counter_enable : WIRE; - empty_out : WIRE; - full_out : WIRE; - pulse_ram_output : WIRE; - ram_read_address[2..0] : WIRE; - rd_ptr[2..0] : WIRE; - usedw_is_0 : WIRE; - usedw_is_1 : WIRE; - usedw_is_2 : WIRE; - usedw_will_be_0 : WIRE; - usedw_will_be_1 : WIRE; - valid_rreq : WIRE; - valid_wreq : WIRE; - wait_state : WIRE; - -BEGIN - FIFOram.address_a[] = wr_ptr.q[]; - FIFOram.address_b[] = ram_read_address[]; - FIFOram.clock0 = clock; - FIFOram.clock1 = clock; - FIFOram.clocken1 = pulse_ram_output; - FIFOram.data_a[] = data[]; - FIFOram.wren_a = valid_wreq; - empty_dff.clk = clock; - empty_dff.clrn = (! aclr); - empty_dff.d = ((! (usedw_will_be_0 # wait_state)) & (! sclr)); - full_dff.clk = clock; - full_dff.clrn = (! aclr); - full_dff.d = ((! sclr) & (((valid_wreq & (! valid_rreq)) & almost_full_comparer.aeb) # (full_dff.q & (! (valid_wreq $ valid_rreq))))); - low_addressa[].clk = clock; - low_addressa[].clrn = (! aclr); - low_addressa[].d = ((! sclr) & ((asynch_read_counter_enable & rd_ptr[]) # ((! asynch_read_counter_enable) & low_addressa[].q))); - rd_ptr_lsb.clk = clock; - rd_ptr_lsb.clrn = (! aclr); - rd_ptr_lsb.d = ((! rd_ptr_lsb.q) & (! sclr)); - rd_ptr_lsb.ena = (asynch_read_counter_enable # sclr); - usedw_is_0_dff.clk = clock; - usedw_is_0_dff.clrn = (! aclr); - usedw_is_0_dff.d = (! usedw_will_be_0); - usedw_is_1_dff.clk = clock; - usedw_is_1_dff.clrn = (! aclr); - usedw_is_1_dff.d = usedw_will_be_1; - wrreq_delay.clk = clock; - wrreq_delay.clrn = (! aclr); - wrreq_delay.d = ((! sclr) & valid_wreq); - almost_full_comparer.dataa[] = B"111"; - almost_full_comparer.datab[] = usedw_counter.q[]; - two_comparison.dataa[] = usedw_counter.q[]; - two_comparison.datab[] = ( B"0", B"1", B"0"); - rd_ptr_msb.clock = clock; - rd_ptr_msb.cnt_en = (asynch_read_counter_enable & (! rd_ptr_lsb.q)); - rd_ptr_msb.sclr = sclr; - usedw_counter.clock = clock; - usedw_counter.cnt_en = (valid_wreq $ valid_rreq); - usedw_counter.sclr = sclr; - usedw_counter.updown = valid_wreq; - wr_ptr.clock = clock; - wr_ptr.cnt_en = valid_wreq; - wr_ptr.sclr = sclr; - aclr = GND; - asynch_read_counter_enable = pulse_ram_output; - empty_out = (! empty_dff.q); - full = full_out; - full_out = full_dff.q; - pulse_ram_output = valid_rreq; - q[] = FIFOram.q_b[]; - ram_read_address[] = (((! asynch_read_counter_enable) & low_addressa[].q) # (asynch_read_counter_enable & rd_ptr[])); - rd_ptr[] = ( rd_ptr_msb.q[], (! rd_ptr_lsb.q)); - usedw[] = usedw_counter.q[]; - usedw_is_0 = (! usedw_is_0_dff.q); - usedw_is_1 = usedw_is_1_dff.q; - usedw_is_2 = two_comparison.aeb; - usedw_will_be_0 = (! ((! sclr) & (! (((usedw_is_1 & valid_rreq) & (! valid_wreq)) # (usedw_is_0 & (! (valid_wreq $ valid_rreq))))))); - usedw_will_be_1 = ((! sclr) & ((((usedw_is_2 & (! valid_wreq)) & valid_rreq) # (usedw_is_1 & (! (valid_wreq $ valid_rreq)))) # ((usedw_is_0 & valid_wreq) & (! valid_rreq)))); - valid_rreq = (rreq & (! empty_out)); - valid_wreq = (wreq & (! full_out)); - wait_state = (usedw_will_be_1 & valid_wreq); -END; ---VALID FILE diff --git a/FPGA_61.440/db/a_dpfifo_4ku.tdf b/FPGA_61.440/db/a_dpfifo_4ku.tdf deleted file mode 100644 index 07a76d8..0000000 --- a/FPGA_61.440/db/a_dpfifo_4ku.tdf +++ /dev/null @@ -1,132 +0,0 @@ ---a_dpfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=3 LPM_SHOWAHEAD="OFF" lpm_width=85 lpm_widthu=2 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" clock data q rreq sclr wreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION altsyncram_j7h1 (address_a[1..0], address_b[1..0], clock0, clock1, clocken1, data_a[84..0], wren_a) -RETURNS ( q_b[84..0]); -FUNCTION cmpr_fs8 (dataa[1..0], datab[1..0]) -RETURNS ( aeb); -FUNCTION cntr_q9b (clock, cnt_en, sclr) -RETURNS ( q[0..0]); -FUNCTION cntr_7a7 (clock, cnt_en, sclr, updown) -RETURNS ( q[1..0]); -FUNCTION cntr_r9b (clock, cnt_en, sclr) -RETURNS ( q[1..0]); - ---synthesis_resources = M9K 3 reg 8 -SUBDESIGN a_dpfifo_4ku -( - clock : input; - data[84..0] : input; - q[84..0] : output; - rreq : input; - sclr : input; - wreq : input; -) -VARIABLE - FIFOram : altsyncram_j7h1; - empty_dff : dffe; - full_dff : dffe; - low_addressa[1..0] : dffe; - rd_ptr_lsb : dffe; - usedw_is_0_dff : dffe; - usedw_is_1_dff : dffe; - wrreq_delay : dffe; - almost_full_comparer : cmpr_fs8; - two_comparison : cmpr_fs8; - rd_ptr_msb : cntr_q9b; - usedw_counter : cntr_7a7; - wr_ptr : cntr_r9b; - aclr : NODE; - asynch_read_counter_enable : WIRE; - empty_out : WIRE; - full_out : WIRE; - pulse_ram_output : WIRE; - ram_read_address[1..0] : WIRE; - rd_ptr[1..0] : WIRE; - usedw_is_0 : WIRE; - usedw_is_1 : WIRE; - usedw_is_2 : WIRE; - usedw_will_be_0 : WIRE; - usedw_will_be_1 : WIRE; - valid_rreq : WIRE; - valid_wreq : WIRE; - wait_state : WIRE; - -BEGIN - FIFOram.address_a[] = wr_ptr.q[]; - FIFOram.address_b[] = ram_read_address[]; - FIFOram.clock0 = clock; - FIFOram.clock1 = clock; - FIFOram.clocken1 = pulse_ram_output; - FIFOram.data_a[] = data[]; - FIFOram.wren_a = valid_wreq; - empty_dff.clk = clock; - empty_dff.clrn = (! aclr); - empty_dff.d = ((! (usedw_will_be_0 # wait_state)) & (! sclr)); - full_dff.clk = clock; - full_dff.clrn = (! aclr); - full_dff.d = ((! sclr) & (((valid_wreq & (! valid_rreq)) & almost_full_comparer.aeb) # (full_dff.q & (! (valid_wreq $ valid_rreq))))); - low_addressa[].clk = clock; - low_addressa[].clrn = (! aclr); - low_addressa[].d = ((! sclr) & ((asynch_read_counter_enable & rd_ptr[]) # ((! asynch_read_counter_enable) & low_addressa[].q))); - rd_ptr_lsb.clk = clock; - rd_ptr_lsb.clrn = (! aclr); - rd_ptr_lsb.d = ((! rd_ptr_lsb.q) & (! sclr)); - rd_ptr_lsb.ena = (asynch_read_counter_enable # sclr); - usedw_is_0_dff.clk = clock; - usedw_is_0_dff.clrn = (! aclr); - usedw_is_0_dff.d = (! usedw_will_be_0); - usedw_is_1_dff.clk = clock; - usedw_is_1_dff.clrn = (! aclr); - usedw_is_1_dff.d = usedw_will_be_1; - wrreq_delay.clk = clock; - wrreq_delay.clrn = (! aclr); - wrreq_delay.d = ((! sclr) & valid_wreq); - almost_full_comparer.dataa[] = B"10"; - almost_full_comparer.datab[] = usedw_counter.q[]; - two_comparison.dataa[] = usedw_counter.q[]; - two_comparison.datab[] = ( B"1", B"0"); - rd_ptr_msb.clock = clock; - rd_ptr_msb.cnt_en = (asynch_read_counter_enable & (! rd_ptr_lsb.q)); - rd_ptr_msb.sclr = sclr; - usedw_counter.clock = clock; - usedw_counter.cnt_en = (valid_wreq $ valid_rreq); - usedw_counter.sclr = sclr; - usedw_counter.updown = valid_wreq; - wr_ptr.clock = clock; - wr_ptr.cnt_en = valid_wreq; - wr_ptr.sclr = sclr; - aclr = GND; - asynch_read_counter_enable = pulse_ram_output; - empty_out = (! empty_dff.q); - full_out = full_dff.q; - pulse_ram_output = valid_rreq; - q[] = FIFOram.q_b[]; - ram_read_address[] = (((! asynch_read_counter_enable) & low_addressa[].q) # (asynch_read_counter_enable & rd_ptr[])); - rd_ptr[] = ( rd_ptr_msb.q[], (! rd_ptr_lsb.q)); - usedw_is_0 = (! usedw_is_0_dff.q); - usedw_is_1 = usedw_is_1_dff.q; - usedw_is_2 = two_comparison.aeb; - usedw_will_be_0 = (! ((! sclr) & (! (((usedw_is_1 & valid_rreq) & (! valid_wreq)) # (usedw_is_0 & (! (valid_wreq $ valid_rreq))))))); - usedw_will_be_1 = ((! sclr) & ((((usedw_is_2 & (! valid_wreq)) & valid_rreq) # (usedw_is_1 & (! (valid_wreq $ valid_rreq)))) # ((usedw_is_0 & valid_wreq) & (! valid_rreq)))); - valid_rreq = (rreq & (! empty_out)); - valid_wreq = (wreq & (! full_out)); - wait_state = (usedw_will_be_1 & valid_wreq); -END; ---VALID FILE diff --git a/FPGA_61.440/db/a_dpfifo_5ku.tdf b/FPGA_61.440/db/a_dpfifo_5ku.tdf deleted file mode 100644 index a836e92..0000000 --- a/FPGA_61.440/db/a_dpfifo_5ku.tdf +++ /dev/null @@ -1,132 +0,0 @@ ---a_dpfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=3 LPM_SHOWAHEAD="OFF" lpm_width=86 lpm_widthu=2 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" clock data q rreq sclr wreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION altsyncram_m7h1 (address_a[1..0], address_b[1..0], clock0, clock1, clocken1, data_a[85..0], wren_a) -RETURNS ( q_b[85..0]); -FUNCTION cmpr_fs8 (dataa[1..0], datab[1..0]) -RETURNS ( aeb); -FUNCTION cntr_q9b (clock, cnt_en, sclr) -RETURNS ( q[0..0]); -FUNCTION cntr_7a7 (clock, cnt_en, sclr, updown) -RETURNS ( q[1..0]); -FUNCTION cntr_r9b (clock, cnt_en, sclr) -RETURNS ( q[1..0]); - ---synthesis_resources = lut 3 M9K 3 reg 11 -SUBDESIGN a_dpfifo_5ku -( - clock : input; - data[85..0] : input; - q[85..0] : output; - rreq : input; - sclr : input; - wreq : input; -) -VARIABLE - FIFOram : altsyncram_m7h1; - empty_dff : dffe; - full_dff : dffe; - low_addressa[1..0] : dffe; - rd_ptr_lsb : dffe; - usedw_is_0_dff : dffe; - usedw_is_1_dff : dffe; - wrreq_delay : dffe; - almost_full_comparer : cmpr_fs8; - two_comparison : cmpr_fs8; - rd_ptr_msb : cntr_q9b; - usedw_counter : cntr_7a7; - wr_ptr : cntr_r9b; - aclr : NODE; - asynch_read_counter_enable : WIRE; - empty_out : WIRE; - full_out : WIRE; - pulse_ram_output : WIRE; - ram_read_address[1..0] : WIRE; - rd_ptr[1..0] : WIRE; - usedw_is_0 : WIRE; - usedw_is_1 : WIRE; - usedw_is_2 : WIRE; - usedw_will_be_0 : WIRE; - usedw_will_be_1 : WIRE; - valid_rreq : WIRE; - valid_wreq : WIRE; - wait_state : WIRE; - -BEGIN - FIFOram.address_a[] = wr_ptr.q[]; - FIFOram.address_b[] = ram_read_address[]; - FIFOram.clock0 = clock; - FIFOram.clock1 = clock; - FIFOram.clocken1 = pulse_ram_output; - FIFOram.data_a[] = data[]; - FIFOram.wren_a = valid_wreq; - empty_dff.clk = clock; - empty_dff.clrn = (! aclr); - empty_dff.d = ((! (usedw_will_be_0 # wait_state)) & (! sclr)); - full_dff.clk = clock; - full_dff.clrn = (! aclr); - full_dff.d = ((! sclr) & (((valid_wreq & (! valid_rreq)) & almost_full_comparer.aeb) # (full_dff.q & (! (valid_wreq $ valid_rreq))))); - low_addressa[].clk = clock; - low_addressa[].clrn = (! aclr); - low_addressa[].d = ((! sclr) & ((asynch_read_counter_enable & rd_ptr[]) # ((! asynch_read_counter_enable) & low_addressa[].q))); - rd_ptr_lsb.clk = clock; - rd_ptr_lsb.clrn = (! aclr); - rd_ptr_lsb.d = ((! rd_ptr_lsb.q) & (! sclr)); - rd_ptr_lsb.ena = (asynch_read_counter_enable # sclr); - usedw_is_0_dff.clk = clock; - usedw_is_0_dff.clrn = (! aclr); - usedw_is_0_dff.d = (! usedw_will_be_0); - usedw_is_1_dff.clk = clock; - usedw_is_1_dff.clrn = (! aclr); - usedw_is_1_dff.d = usedw_will_be_1; - wrreq_delay.clk = clock; - wrreq_delay.clrn = (! aclr); - wrreq_delay.d = ((! sclr) & valid_wreq); - almost_full_comparer.dataa[] = B"10"; - almost_full_comparer.datab[] = usedw_counter.q[]; - two_comparison.dataa[] = usedw_counter.q[]; - two_comparison.datab[] = ( B"1", B"0"); - rd_ptr_msb.clock = clock; - rd_ptr_msb.cnt_en = (asynch_read_counter_enable & (! rd_ptr_lsb.q)); - rd_ptr_msb.sclr = sclr; - usedw_counter.clock = clock; - usedw_counter.cnt_en = (valid_wreq $ valid_rreq); - usedw_counter.sclr = sclr; - usedw_counter.updown = valid_wreq; - wr_ptr.clock = clock; - wr_ptr.cnt_en = valid_wreq; - wr_ptr.sclr = sclr; - aclr = GND; - asynch_read_counter_enable = pulse_ram_output; - empty_out = (! empty_dff.q); - full_out = full_dff.q; - pulse_ram_output = valid_rreq; - q[] = FIFOram.q_b[]; - ram_read_address[] = (((! asynch_read_counter_enable) & low_addressa[].q) # (asynch_read_counter_enable & rd_ptr[])); - rd_ptr[] = ( rd_ptr_msb.q[], (! rd_ptr_lsb.q)); - usedw_is_0 = (! usedw_is_0_dff.q); - usedw_is_1 = usedw_is_1_dff.q; - usedw_is_2 = two_comparison.aeb; - usedw_will_be_0 = (! ((! sclr) & (! (((usedw_is_1 & valid_rreq) & (! valid_wreq)) # (usedw_is_0 & (! (valid_wreq $ valid_rreq))))))); - usedw_will_be_1 = ((! sclr) & ((((usedw_is_2 & (! valid_wreq)) & valid_rreq) # (usedw_is_1 & (! (valid_wreq $ valid_rreq)))) # ((usedw_is_0 & valid_wreq) & (! valid_rreq)))); - valid_rreq = (rreq & (! empty_out)); - valid_wreq = (wreq & (! full_out)); - wait_state = (usedw_will_be_1 & valid_wreq); -END; ---VALID FILE diff --git a/FPGA_61.440/db/a_dpfifo_7qv.tdf b/FPGA_61.440/db/a_dpfifo_7qv.tdf deleted file mode 100644 index 5f8d33c..0000000 --- a/FPGA_61.440/db/a_dpfifo_7qv.tdf +++ /dev/null @@ -1,136 +0,0 @@ ---a_dpfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=21 LPM_SHOWAHEAD="OFF" lpm_width=33 lpm_widthu=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" clock data empty q rreq sclr usedw wreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION altsyncram_dah1 (address_a[4..0], address_b[4..0], clock0, clock1, clocken1, data_a[32..0], wren_a) -RETURNS ( q_b[32..0]); -FUNCTION cmpr_is8 (dataa[4..0], datab[4..0]) -RETURNS ( aeb); -FUNCTION cntr_t9b (clock, cnt_en, sclr) -RETURNS ( q[3..0]); -FUNCTION cntr_aa7 (clock, cnt_en, sclr, updown) -RETURNS ( q[4..0]); -FUNCTION cntr_u9b (clock, cnt_en, sclr) -RETURNS ( q[4..0]); - ---synthesis_resources = M9K 1 reg 11 -SUBDESIGN a_dpfifo_7qv -( - clock : input; - data[32..0] : input; - empty : output; - q[32..0] : output; - rreq : input; - sclr : input; - usedw[4..0] : output; - wreq : input; -) -VARIABLE - FIFOram : altsyncram_dah1; - empty_dff : dffe; - full_dff : dffe; - low_addressa[4..0] : dffe; - rd_ptr_lsb : dffe; - usedw_is_0_dff : dffe; - usedw_is_1_dff : dffe; - wrreq_delay : dffe; - almost_full_comparer : cmpr_is8; - two_comparison : cmpr_is8; - rd_ptr_msb : cntr_t9b; - usedw_counter : cntr_aa7; - wr_ptr : cntr_u9b; - aclr : NODE; - asynch_read_counter_enable : WIRE; - empty_out : WIRE; - full_out : WIRE; - pulse_ram_output : WIRE; - ram_read_address[4..0] : WIRE; - rd_ptr[4..0] : WIRE; - usedw_is_0 : WIRE; - usedw_is_1 : WIRE; - usedw_is_2 : WIRE; - usedw_will_be_0 : WIRE; - usedw_will_be_1 : WIRE; - valid_rreq : WIRE; - valid_wreq : WIRE; - wait_state : WIRE; - -BEGIN - FIFOram.address_a[] = wr_ptr.q[]; - FIFOram.address_b[] = ram_read_address[]; - FIFOram.clock0 = clock; - FIFOram.clock1 = clock; - FIFOram.clocken1 = pulse_ram_output; - FIFOram.data_a[] = data[]; - FIFOram.wren_a = valid_wreq; - empty_dff.clk = clock; - empty_dff.clrn = (! aclr); - empty_dff.d = ((! (usedw_will_be_0 # wait_state)) & (! sclr)); - full_dff.clk = clock; - full_dff.clrn = (! aclr); - full_dff.d = ((! sclr) & (((valid_wreq & (! valid_rreq)) & almost_full_comparer.aeb) # (full_dff.q & (! (valid_wreq $ valid_rreq))))); - low_addressa[].clk = clock; - low_addressa[].clrn = (! aclr); - low_addressa[].d = ((! sclr) & ((asynch_read_counter_enable & rd_ptr[]) # ((! asynch_read_counter_enable) & low_addressa[].q))); - rd_ptr_lsb.clk = clock; - rd_ptr_lsb.clrn = (! aclr); - rd_ptr_lsb.d = ((! rd_ptr_lsb.q) & (! sclr)); - rd_ptr_lsb.ena = (asynch_read_counter_enable # sclr); - usedw_is_0_dff.clk = clock; - usedw_is_0_dff.clrn = (! aclr); - usedw_is_0_dff.d = (! usedw_will_be_0); - usedw_is_1_dff.clk = clock; - usedw_is_1_dff.clrn = (! aclr); - usedw_is_1_dff.d = usedw_will_be_1; - wrreq_delay.clk = clock; - wrreq_delay.clrn = (! aclr); - wrreq_delay.d = ((! sclr) & valid_wreq); - almost_full_comparer.dataa[] = B"10100"; - almost_full_comparer.datab[] = usedw_counter.q[]; - two_comparison.dataa[] = usedw_counter.q[]; - two_comparison.datab[] = ( B"000", B"1", B"0"); - rd_ptr_msb.clock = clock; - rd_ptr_msb.cnt_en = (asynch_read_counter_enable & (! rd_ptr_lsb.q)); - rd_ptr_msb.sclr = sclr; - usedw_counter.clock = clock; - usedw_counter.cnt_en = (valid_wreq $ valid_rreq); - usedw_counter.sclr = sclr; - usedw_counter.updown = valid_wreq; - wr_ptr.clock = clock; - wr_ptr.cnt_en = valid_wreq; - wr_ptr.sclr = sclr; - aclr = GND; - asynch_read_counter_enable = pulse_ram_output; - empty = empty_out; - empty_out = (! empty_dff.q); - full_out = full_dff.q; - pulse_ram_output = valid_rreq; - q[] = FIFOram.q_b[]; - ram_read_address[] = (((! asynch_read_counter_enable) & low_addressa[].q) # (asynch_read_counter_enable & rd_ptr[])); - rd_ptr[] = ( rd_ptr_msb.q[], (! rd_ptr_lsb.q)); - usedw[] = usedw_counter.q[]; - usedw_is_0 = (! usedw_is_0_dff.q); - usedw_is_1 = usedw_is_1_dff.q; - usedw_is_2 = two_comparison.aeb; - usedw_will_be_0 = (! ((! sclr) & (! (((usedw_is_1 & valid_rreq) & (! valid_wreq)) # (usedw_is_0 & (! (valid_wreq $ valid_rreq))))))); - usedw_will_be_1 = ((! sclr) & ((((usedw_is_2 & (! valid_wreq)) & valid_rreq) # (usedw_is_1 & (! (valid_wreq $ valid_rreq)))) # ((usedw_is_0 & valid_wreq) & (! valid_rreq)))); - valid_rreq = (rreq & (! empty_out)); - valid_wreq = (wreq & (! full_out)); - wait_state = (usedw_will_be_1 & valid_wreq); -END; ---VALID FILE diff --git a/FPGA_61.440/db/a_dpfifo_9qv.tdf b/FPGA_61.440/db/a_dpfifo_9qv.tdf deleted file mode 100644 index 48e9cfe..0000000 --- a/FPGA_61.440/db/a_dpfifo_9qv.tdf +++ /dev/null @@ -1,136 +0,0 @@ ---a_dpfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=21 LPM_SHOWAHEAD="OFF" lpm_width=17 lpm_widthu=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" clock data empty q rreq sclr usedw wreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION altsyncram_hah1 (address_a[4..0], address_b[4..0], clock0, clock1, clocken1, data_a[16..0], wren_a) -RETURNS ( q_b[16..0]); -FUNCTION cmpr_is8 (dataa[4..0], datab[4..0]) -RETURNS ( aeb); -FUNCTION cntr_t9b (clock, cnt_en, sclr) -RETURNS ( q[3..0]); -FUNCTION cntr_aa7 (clock, cnt_en, sclr, updown) -RETURNS ( q[4..0]); -FUNCTION cntr_u9b (clock, cnt_en, sclr) -RETURNS ( q[4..0]); - ---synthesis_resources = lut 14 M9K 1 reg 25 -SUBDESIGN a_dpfifo_9qv -( - clock : input; - data[16..0] : input; - empty : output; - q[16..0] : output; - rreq : input; - sclr : input; - usedw[4..0] : output; - wreq : input; -) -VARIABLE - FIFOram : altsyncram_hah1; - empty_dff : dffe; - full_dff : dffe; - low_addressa[4..0] : dffe; - rd_ptr_lsb : dffe; - usedw_is_0_dff : dffe; - usedw_is_1_dff : dffe; - wrreq_delay : dffe; - almost_full_comparer : cmpr_is8; - two_comparison : cmpr_is8; - rd_ptr_msb : cntr_t9b; - usedw_counter : cntr_aa7; - wr_ptr : cntr_u9b; - aclr : NODE; - asynch_read_counter_enable : WIRE; - empty_out : WIRE; - full_out : WIRE; - pulse_ram_output : WIRE; - ram_read_address[4..0] : WIRE; - rd_ptr[4..0] : WIRE; - usedw_is_0 : WIRE; - usedw_is_1 : WIRE; - usedw_is_2 : WIRE; - usedw_will_be_0 : WIRE; - usedw_will_be_1 : WIRE; - valid_rreq : WIRE; - valid_wreq : WIRE; - wait_state : WIRE; - -BEGIN - FIFOram.address_a[] = wr_ptr.q[]; - FIFOram.address_b[] = ram_read_address[]; - FIFOram.clock0 = clock; - FIFOram.clock1 = clock; - FIFOram.clocken1 = pulse_ram_output; - FIFOram.data_a[] = data[]; - FIFOram.wren_a = valid_wreq; - empty_dff.clk = clock; - empty_dff.clrn = (! aclr); - empty_dff.d = ((! (usedw_will_be_0 # wait_state)) & (! sclr)); - full_dff.clk = clock; - full_dff.clrn = (! aclr); - full_dff.d = ((! sclr) & (((valid_wreq & (! valid_rreq)) & almost_full_comparer.aeb) # (full_dff.q & (! (valid_wreq $ valid_rreq))))); - low_addressa[].clk = clock; - low_addressa[].clrn = (! aclr); - low_addressa[].d = ((! sclr) & ((asynch_read_counter_enable & rd_ptr[]) # ((! asynch_read_counter_enable) & low_addressa[].q))); - rd_ptr_lsb.clk = clock; - rd_ptr_lsb.clrn = (! aclr); - rd_ptr_lsb.d = ((! rd_ptr_lsb.q) & (! sclr)); - rd_ptr_lsb.ena = (asynch_read_counter_enable # sclr); - usedw_is_0_dff.clk = clock; - usedw_is_0_dff.clrn = (! aclr); - usedw_is_0_dff.d = (! usedw_will_be_0); - usedw_is_1_dff.clk = clock; - usedw_is_1_dff.clrn = (! aclr); - usedw_is_1_dff.d = usedw_will_be_1; - wrreq_delay.clk = clock; - wrreq_delay.clrn = (! aclr); - wrreq_delay.d = ((! sclr) & valid_wreq); - almost_full_comparer.dataa[] = B"10100"; - almost_full_comparer.datab[] = usedw_counter.q[]; - two_comparison.dataa[] = usedw_counter.q[]; - two_comparison.datab[] = ( B"000", B"1", B"0"); - rd_ptr_msb.clock = clock; - rd_ptr_msb.cnt_en = (asynch_read_counter_enable & (! rd_ptr_lsb.q)); - rd_ptr_msb.sclr = sclr; - usedw_counter.clock = clock; - usedw_counter.cnt_en = (valid_wreq $ valid_rreq); - usedw_counter.sclr = sclr; - usedw_counter.updown = valid_wreq; - wr_ptr.clock = clock; - wr_ptr.cnt_en = valid_wreq; - wr_ptr.sclr = sclr; - aclr = GND; - asynch_read_counter_enable = pulse_ram_output; - empty = empty_out; - empty_out = (! empty_dff.q); - full_out = full_dff.q; - pulse_ram_output = valid_rreq; - q[] = FIFOram.q_b[]; - ram_read_address[] = (((! asynch_read_counter_enable) & low_addressa[].q) # (asynch_read_counter_enable & rd_ptr[])); - rd_ptr[] = ( rd_ptr_msb.q[], (! rd_ptr_lsb.q)); - usedw[] = usedw_counter.q[]; - usedw_is_0 = (! usedw_is_0_dff.q); - usedw_is_1 = usedw_is_1_dff.q; - usedw_is_2 = two_comparison.aeb; - usedw_will_be_0 = (! ((! sclr) & (! (((usedw_is_1 & valid_rreq) & (! valid_wreq)) # (usedw_is_0 & (! (valid_wreq $ valid_rreq))))))); - usedw_will_be_1 = ((! sclr) & ((((usedw_is_2 & (! valid_wreq)) & valid_rreq) # (usedw_is_1 & (! (valid_wreq $ valid_rreq)))) # ((usedw_is_0 & valid_wreq) & (! valid_rreq)))); - valid_rreq = (rreq & (! empty_out)); - valid_wreq = (wreq & (! full_out)); - wait_state = (usedw_will_be_1 & valid_wreq); -END; ---VALID FILE diff --git a/FPGA_61.440/db/a_dpfifo_vkv.tdf b/FPGA_61.440/db/a_dpfifo_vkv.tdf deleted file mode 100644 index 2318833..0000000 --- a/FPGA_61.440/db/a_dpfifo_vkv.tdf +++ /dev/null @@ -1,136 +0,0 @@ ---a_dpfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=8 LPM_SHOWAHEAD="OFF" lpm_width=25 lpm_widthu=3 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" clock data full q rreq sclr usedw wreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION altsyncram_h7h1 (address_a[2..0], address_b[2..0], clock0, clock1, clocken1, data_a[24..0], wren_a) -RETURNS ( q_b[24..0]); -FUNCTION cmpr_gs8 (dataa[2..0], datab[2..0]) -RETURNS ( aeb); -FUNCTION cntr_r9b (clock, cnt_en, sclr) -RETURNS ( q[1..0]); -FUNCTION cntr_8a7 (clock, cnt_en, sclr, updown) -RETURNS ( q[2..0]); -FUNCTION cntr_s9b (clock, cnt_en, sclr) -RETURNS ( q[2..0]); - ---synthesis_resources = M9K 1 reg 9 -SUBDESIGN a_dpfifo_vkv -( - clock : input; - data[24..0] : input; - full : output; - q[24..0] : output; - rreq : input; - sclr : input; - usedw[2..0] : output; - wreq : input; -) -VARIABLE - FIFOram : altsyncram_h7h1; - empty_dff : dffe; - full_dff : dffe; - low_addressa[2..0] : dffe; - rd_ptr_lsb : dffe; - usedw_is_0_dff : dffe; - usedw_is_1_dff : dffe; - wrreq_delay : dffe; - almost_full_comparer : cmpr_gs8; - two_comparison : cmpr_gs8; - rd_ptr_msb : cntr_r9b; - usedw_counter : cntr_8a7; - wr_ptr : cntr_s9b; - aclr : NODE; - asynch_read_counter_enable : WIRE; - empty_out : WIRE; - full_out : WIRE; - pulse_ram_output : WIRE; - ram_read_address[2..0] : WIRE; - rd_ptr[2..0] : WIRE; - usedw_is_0 : WIRE; - usedw_is_1 : WIRE; - usedw_is_2 : WIRE; - usedw_will_be_0 : WIRE; - usedw_will_be_1 : WIRE; - valid_rreq : WIRE; - valid_wreq : WIRE; - wait_state : WIRE; - -BEGIN - FIFOram.address_a[] = wr_ptr.q[]; - FIFOram.address_b[] = ram_read_address[]; - FIFOram.clock0 = clock; - FIFOram.clock1 = clock; - FIFOram.clocken1 = pulse_ram_output; - FIFOram.data_a[] = data[]; - FIFOram.wren_a = valid_wreq; - empty_dff.clk = clock; - empty_dff.clrn = (! aclr); - empty_dff.d = ((! (usedw_will_be_0 # wait_state)) & (! sclr)); - full_dff.clk = clock; - full_dff.clrn = (! aclr); - full_dff.d = ((! sclr) & (((valid_wreq & (! valid_rreq)) & almost_full_comparer.aeb) # (full_dff.q & (! (valid_wreq $ valid_rreq))))); - low_addressa[].clk = clock; - low_addressa[].clrn = (! aclr); - low_addressa[].d = ((! sclr) & ((asynch_read_counter_enable & rd_ptr[]) # ((! asynch_read_counter_enable) & low_addressa[].q))); - rd_ptr_lsb.clk = clock; - rd_ptr_lsb.clrn = (! aclr); - rd_ptr_lsb.d = ((! rd_ptr_lsb.q) & (! sclr)); - rd_ptr_lsb.ena = (asynch_read_counter_enable # sclr); - usedw_is_0_dff.clk = clock; - usedw_is_0_dff.clrn = (! aclr); - usedw_is_0_dff.d = (! usedw_will_be_0); - usedw_is_1_dff.clk = clock; - usedw_is_1_dff.clrn = (! aclr); - usedw_is_1_dff.d = usedw_will_be_1; - wrreq_delay.clk = clock; - wrreq_delay.clrn = (! aclr); - wrreq_delay.d = ((! sclr) & valid_wreq); - almost_full_comparer.dataa[] = B"111"; - almost_full_comparer.datab[] = usedw_counter.q[]; - two_comparison.dataa[] = usedw_counter.q[]; - two_comparison.datab[] = ( B"0", B"1", B"0"); - rd_ptr_msb.clock = clock; - rd_ptr_msb.cnt_en = (asynch_read_counter_enable & (! rd_ptr_lsb.q)); - rd_ptr_msb.sclr = sclr; - usedw_counter.clock = clock; - usedw_counter.cnt_en = (valid_wreq $ valid_rreq); - usedw_counter.sclr = sclr; - usedw_counter.updown = valid_wreq; - wr_ptr.clock = clock; - wr_ptr.cnt_en = valid_wreq; - wr_ptr.sclr = sclr; - aclr = GND; - asynch_read_counter_enable = pulse_ram_output; - empty_out = (! empty_dff.q); - full = full_out; - full_out = full_dff.q; - pulse_ram_output = valid_rreq; - q[] = FIFOram.q_b[]; - ram_read_address[] = (((! asynch_read_counter_enable) & low_addressa[].q) # (asynch_read_counter_enable & rd_ptr[])); - rd_ptr[] = ( rd_ptr_msb.q[], (! rd_ptr_lsb.q)); - usedw[] = usedw_counter.q[]; - usedw_is_0 = (! usedw_is_0_dff.q); - usedw_is_1 = usedw_is_1_dff.q; - usedw_is_2 = two_comparison.aeb; - usedw_will_be_0 = (! ((! sclr) & (! (((usedw_is_1 & valid_rreq) & (! valid_wreq)) # (usedw_is_0 & (! (valid_wreq $ valid_rreq))))))); - usedw_will_be_1 = ((! sclr) & ((((usedw_is_2 & (! valid_wreq)) & valid_rreq) # (usedw_is_1 & (! (valid_wreq $ valid_rreq)))) # ((usedw_is_0 & valid_wreq) & (! valid_rreq)))); - valid_rreq = (rreq & (! empty_out)); - valid_wreq = (wreq & (! full_out)); - wait_state = (usedw_will_be_1 & valid_wreq); -END; ---VALID FILE diff --git a/FPGA_61.440/db/add_sub_1vk.tdf b/FPGA_61.440/db/add_sub_1vk.tdf deleted file mode 100644 index a3332e5..0000000 --- a/FPGA_61.440/db/add_sub_1vk.tdf +++ /dev/null @@ -1,52 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="Cyclone IV E" LPM_DIRECTION="ADD" LPM_PIPELINE=1 LPM_REPRESENTATION="SIGNED" LPM_WIDTH=32 ONE_INPUT_IS_CONSTANT="NO" clken clock dataa datab overflow result ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 33 -SUBDESIGN add_sub_1vk -( - clken : input; - clock : input; - dataa[31..0] : input; - datab[31..0] : input; - overflow : output; - result[31..0] : output; -) -VARIABLE - pipeline_dffe[31..0] : DFFE - WITH ( - power_up ="low" - ); - overflow_dffe[31..0] : DFFE - WITH ( - power_up ="low" - ); - result_int[31..0] : WIRE; -BEGIN - result_int[] = dataa[] + datab[]; - pipeline_dffe[].clk = clock; - pipeline_dffe[].ena = clken; - overflow_dffe[].clk = clock; - overflow_dffe[].ena = clken; - result[] = pipeline_dffe[31..0].q; - pipeline_dffe[31..0].d = result_int[]; - overflow = overflow_dffe[0..0].q; - overflow_dffe[0].d = ! (dataa[31] $ datab[31]) & (dataa[31] $ result_int[31]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/add_sub_b2k.tdf b/FPGA_61.440/db/add_sub_b2k.tdf deleted file mode 100644 index 2fa8828..0000000 --- a/FPGA_61.440/db/add_sub_b2k.tdf +++ /dev/null @@ -1,43 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="Cyclone IV E" LPM_DIRECTION="ADD" LPM_PIPELINE=1 LPM_REPRESENTATION="SIGNED" LPM_WIDTH=12 ONE_INPUT_IS_CONSTANT="NO" clken clock dataa datab result ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 12 -SUBDESIGN add_sub_b2k -( - clken : input; - clock : input; - dataa[11..0] : input; - datab[11..0] : input; - result[11..0] : output; -) -VARIABLE - pipeline_dffe[11..0] : DFFE - WITH ( - power_up ="low" - ); - result_int[11..0] : WIRE; -BEGIN - result_int[] = dataa[] + datab[]; - pipeline_dffe[].clk = clock; - pipeline_dffe[].ena = clken; - result[] = pipeline_dffe[11..0].q; - pipeline_dffe[11..0].d = result_int[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/add_sub_fpk.tdf b/FPGA_61.440/db/add_sub_fpk.tdf deleted file mode 100644 index 495ce6d..0000000 --- a/FPGA_61.440/db/add_sub_fpk.tdf +++ /dev/null @@ -1,46 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="Cyclone IV E" LPM_DIRECTION="ADD" LPM_PIPELINE=1 LPM_REPRESENTATION="SIGNED" LPM_WIDTH=12 ONE_INPUT_IS_CONSTANT="NO" cin clken clock dataa datab(gnd) result ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 12 -SUBDESIGN add_sub_fpk -( - cin : input; - clken : input; - clock : input; - dataa[11..0] : input; - datab[11..0] : input; - result[11..0] : output; -) -VARIABLE - pipeline_dffe[11..0] : DFFE - WITH ( - power_up ="low" - ); - result_int[12..0] : WIRE; - const_used_datab[11..0] : WIRE; -BEGIN - result_int[] = (dataa[], cin) + (B"000000000000", cin); - pipeline_dffe[].clk = clock; - pipeline_dffe[].ena = clken; - result[] = pipeline_dffe[11..0].q; - pipeline_dffe[11..0].d = result_int[12..1]; - const_used_datab[] = datab[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/add_sub_jpk.tdf b/FPGA_61.440/db/add_sub_jpk.tdf deleted file mode 100644 index b8fbb02..0000000 --- a/FPGA_61.440/db/add_sub_jpk.tdf +++ /dev/null @@ -1,46 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="Cyclone IV E" LPM_DIRECTION="ADD" LPM_PIPELINE=1 LPM_REPRESENTATION="SIGNED" LPM_WIDTH=16 ONE_INPUT_IS_CONSTANT="NO" cin clken clock dataa datab(gnd) result ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 16 -SUBDESIGN add_sub_jpk -( - cin : input; - clken : input; - clock : input; - dataa[15..0] : input; - datab[15..0] : input; - result[15..0] : output; -) -VARIABLE - pipeline_dffe[15..0] : DFFE - WITH ( - power_up ="low" - ); - result_int[16..0] : WIRE; - const_used_datab[15..0] : WIRE; -BEGIN - result_int[] = (dataa[], cin) + (B"0000000000000000", cin); - pipeline_dffe[].clk = clock; - pipeline_dffe[].ena = clken; - result[] = pipeline_dffe[15..0].q; - pipeline_dffe[15..0].d = result_int[16..1]; - const_used_datab[] = datab[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/add_sub_u4i.tdf b/FPGA_61.440/db/add_sub_u4i.tdf deleted file mode 100644 index 6e7ba5a..0000000 --- a/FPGA_61.440/db/add_sub_u4i.tdf +++ /dev/null @@ -1,45 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="Cyclone IV E" LPM_DIRECTION="ADD" LPM_PIPELINE=1 LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=22 aclr clken clock dataa datab result ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 22 -SUBDESIGN add_sub_u4i -( - aclr : input; - clken : input; - clock : input; - dataa[21..0] : input; - datab[21..0] : input; - result[21..0] : output; -) -VARIABLE - pipeline_dffe[21..0] : DFFE - WITH ( - power_up ="low" - ); - result_int[21..0] : WIRE; -BEGIN - result_int[] = dataa[] + datab[]; - pipeline_dffe[].clk = clock; - pipeline_dffe[].clrn = !aclr; - pipeline_dffe[].ena = clken; - result[] = pipeline_dffe[21..0].q; - pipeline_dffe[21..0].d = result_int[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_0mn3.tdf b/FPGA_61.440/db/altsyncram_0mn3.tdf deleted file mode 100644 index b0e68b1..0000000 --- a/FPGA_61.440/db/altsyncram_0mn3.tdf +++ /dev/null @@ -1,596 +0,0 @@ ---altsyncram ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" BYTE_SIZE=8 BYTEENA_ACLR_B="NONE" BYTEENA_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CLOCK_ENABLE_CORE_A="USE_INPUT_CLKEN" CLOCK_ENABLE_CORE_B="USE_INPUT_CLKEN" CLOCK_ENABLE_INPUT_A="NORMAL" CLOCK_ENABLE_INPUT_B="NORMAL" CLOCK_ENABLE_OUTPUT_A="NORMAL" CLOCK_ENABLE_OUTPUT_B="NORMAL" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ECC_PIPELINE_STAGE_ENABLED="FALSE" ENABLE_ECC="FALSE" IMPLEMENT_IN_LES="OFF" INDATA_ACLR_B="NONE" INDATA_REG_B="CLOCK0" INIT_FILE_LAYOUT="PORT_A" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=0 NUMWORDS_A=64 NUMWORDS_B=64 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_A="NONE" OUTDATA_ACLR_B="NONE" OUTDATA_REG_A="UNREGISTERED" OUTDATA_REG_B="CLOCK0" POWER_UP_UNINITIALIZED="FALSE" RAM_BLOCK_TYPE="M9K" RDCONTROL_ACLR_B="NONE" RDCONTROL_REG_B="CLOCK0" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" read_during_write_mode_port_a="NEW_DATA_NO_NBE_READ" read_during_write_mode_port_b="NEW_DATA_NO_NBE_READ" stratixiv_m144k_allow_dual_clocks="ON" WIDTH_A=16 WIDTH_B=16 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTH_ECCSTATUS=3 WIDTHAD_A=6 WIDTHAD_B=6 WRCONTROL_ACLR_B="NONE" WRCONTROL_WRADDRESS_REG_B="CLOCK0" address_a address_b clock0 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 1 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_0mn3 -( - address_a[5..0] : input; - address_b[5..0] : input; - clock0 : input; - data_a[15..0] : input; - q_b[15..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - address_a_wire[5..0] : WIRE; - address_b_wire[5..0] : WIRE; - -BEGIN - ram_block1a[15..0].clk0 = clock0; - ram_block1a[15..0].clk1 = clock0; - ram_block1a[15..0].ena0 = wren_a; - ram_block1a[15..0].portaaddr[] = ( address_a_wire[5..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[15..0].portawe = wren_a; - ram_block1a[15..0].portbaddr[] = ( address_b_wire[5..0]); - ram_block1a[15..0].portbre = B"1111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[15..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_4k82.tdf b/FPGA_61.440/db/altsyncram_4k82.tdf deleted file mode 100644 index b055f65..0000000 --- a/FPGA_61.440/db/altsyncram_4k82.tdf +++ /dev/null @@ -1,684 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INDATA_ACLR_A="NONE" INDATA_ACLR_B="NONE" INDATA_REG_B="CLOCK0" INIT_FILE="tx_nco_nco_ii_0_sin_c.hex" LOW_POWER_MODE="AUTO" NUMWORDS_A=2048 NUMWORDS_B=2048 OPERATION_MODE="BIDIR_DUAL_PORT" OUTDATA_ACLR_A="NONE" OUTDATA_ACLR_B="NONE" OUTDATA_REG_A="CLOCK0" OUTDATA_REG_B="CLOCK0" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=16 WIDTH_B=16 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTHAD_A=11 WIDTHAD_B=11 WRCONTROL_ACLR_A="NONE" WRCONTROL_ACLR_B="NONE" WRCONTROL_WRADDRESS_REG_B="CLOCK0" address_a address_b clock0 clocken0 data_a data_b q_a q_b CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 4 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_4k82 -( - address_a[10..0] : input; - address_b[10..0] : input; - clock0 : input; - clocken0 : input; - data_a[15..0] : input; - data_b[15..0] : input; - q_a[15..0] : output; - q_b[15..0] : output; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 16, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[10..0] : WIRE; - address_b_wire[10..0] : WIRE; - wren_a : NODE; - wren_b : NODE; - -BEGIN - ram_block1a[15..0].clk0 = clock0; - ram_block1a[15..0].ena0 = clocken0; - ram_block1a[15..0].portaaddr[] = ( address_a_wire[10..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[15..0].portare = B"1111111111111111"; - ram_block1a[15..0].portawe = wren_a; - ram_block1a[15..0].portbaddr[] = ( address_b_wire[10..0]); - ram_block1a[0].portbdatain[] = ( data_b[0..0]); - ram_block1a[1].portbdatain[] = ( data_b[1..1]); - ram_block1a[2].portbdatain[] = ( data_b[2..2]); - ram_block1a[3].portbdatain[] = ( data_b[3..3]); - ram_block1a[4].portbdatain[] = ( data_b[4..4]); - ram_block1a[5].portbdatain[] = ( data_b[5..5]); - ram_block1a[6].portbdatain[] = ( data_b[6..6]); - ram_block1a[7].portbdatain[] = ( data_b[7..7]); - ram_block1a[8].portbdatain[] = ( data_b[8..8]); - ram_block1a[9].portbdatain[] = ( data_b[9..9]); - ram_block1a[10].portbdatain[] = ( data_b[10..10]); - ram_block1a[11].portbdatain[] = ( data_b[11..11]); - ram_block1a[12].portbdatain[] = ( data_b[12..12]); - ram_block1a[13].portbdatain[] = ( data_b[13..13]); - ram_block1a[14].portbdatain[] = ( data_b[14..14]); - ram_block1a[15].portbdatain[] = ( data_b[15..15]); - ram_block1a[15..0].portbre = B"1111111111111111"; - ram_block1a[15..0].portbwe = wren_b; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_a[] = ( ram_block1a[15..0].portadataout[0..0]); - q_b[] = ( ram_block1a[15..0].portbdataout[0..0]); - wren_a = GND; - wren_b = GND; -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_au91.tdf b/FPGA_61.440/db/altsyncram_au91.tdf deleted file mode 100644 index 425a5b5..0000000 --- a/FPGA_61.440/db/altsyncram_au91.tdf +++ /dev/null @@ -1,309 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nco_nco_ii_0_cos_f.hex" LOW_POWER_MODE="AUTO" NUMWORDS_A=2048 OPERATION_MODE="ROM" OUTDATA_ACLR_A="NONE" OUTDATA_REG_A="CLOCK0" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=12 WIDTH_BYTEENA_A=1 WIDTHAD_A=11 address_a clock0 clocken0 q_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 3 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_au91 -( - address_a[10..0] : input; - clock0 : input; - clocken0 : input; - q_a[11..0] : output; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[10..0] : WIRE; - -BEGIN - ram_block1a[11..0].clk0 = clock0; - ram_block1a[11..0].ena0 = clocken0; - ram_block1a[11..0].portaaddr[] = ( address_a_wire[10..0]); - ram_block1a[11..0].portare = B"111111111111"; - address_a_wire[] = address_a[]; - q_a[] = ( ram_block1a[11..0].portadataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_dah1.tdf b/FPGA_61.440/db/altsyncram_dah1.tdf deleted file mode 100644 index 831cab0..0000000 --- a/FPGA_61.440/db/altsyncram_dah1.tdf +++ /dev/null @@ -1,1143 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" CLOCK_ENABLE_INPUT_B="BYPASS" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=32 NUMWORDS_B=32 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="CLOCK1" WIDTH_A=33 WIDTH_B=33 WIDTH_BYTEENA_A=1 WIDTH_ECCSTATUS=2 WIDTHAD_A=5 WIDTHAD_B=5 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 1 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_dah1 -( - address_a[4..0] : input; - address_b[4..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[32..0] : input; - q_b[32..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a16 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 16, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 16, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a17 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 17, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 17, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a18 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 18, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 18, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a19 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 19, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 19, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a20 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 20, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 20, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a21 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 21, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 21, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a22 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 22, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 22, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a23 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 23, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 23, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a24 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 24, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 24, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a25 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 25, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 25, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a26 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 26, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 26, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a27 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 27, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 27, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a28 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 28, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 28, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a29 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 29, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 29, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a30 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 30, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 30, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a31 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 31, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 31, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a32 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 32, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 33, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 32, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 33, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[4..0] : WIRE; - address_b_wire[4..0] : WIRE; - -BEGIN - ram_block1a[32..0].clk0 = clock0; - ram_block1a[32..0].clk1 = clock1; - ram_block1a[32..0].ena1 = clocken1; - ram_block1a[32..0].portaaddr[] = ( address_a_wire[4..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[16].portadatain[] = ( data_a[16..16]); - ram_block1a[17].portadatain[] = ( data_a[17..17]); - ram_block1a[18].portadatain[] = ( data_a[18..18]); - ram_block1a[19].portadatain[] = ( data_a[19..19]); - ram_block1a[20].portadatain[] = ( data_a[20..20]); - ram_block1a[21].portadatain[] = ( data_a[21..21]); - ram_block1a[22].portadatain[] = ( data_a[22..22]); - ram_block1a[23].portadatain[] = ( data_a[23..23]); - ram_block1a[24].portadatain[] = ( data_a[24..24]); - ram_block1a[25].portadatain[] = ( data_a[25..25]); - ram_block1a[26].portadatain[] = ( data_a[26..26]); - ram_block1a[27].portadatain[] = ( data_a[27..27]); - ram_block1a[28].portadatain[] = ( data_a[28..28]); - ram_block1a[29].portadatain[] = ( data_a[29..29]); - ram_block1a[30].portadatain[] = ( data_a[30..30]); - ram_block1a[31].portadatain[] = ( data_a[31..31]); - ram_block1a[32].portadatain[] = ( data_a[32..32]); - ram_block1a[32..0].portawe = wren_a; - ram_block1a[32..0].portbaddr[] = ( address_b_wire[4..0]); - ram_block1a[32..0].portbre = B"111111111111111111111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[32..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_fu91.tdf b/FPGA_61.440/db/altsyncram_fu91.tdf deleted file mode 100644 index 5c023f1..0000000 --- a/FPGA_61.440/db/altsyncram_fu91.tdf +++ /dev/null @@ -1,309 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="nco_nco_ii_0_sin_f.hex" LOW_POWER_MODE="AUTO" NUMWORDS_A=2048 OPERATION_MODE="ROM" OUTDATA_ACLR_A="NONE" OUTDATA_REG_A="CLOCK0" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=12 WIDTH_BYTEENA_A=1 WIDTHAD_A=11 address_a clock0 clocken0 q_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 3 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_fu91 -( - address_a[10..0] : input; - clock0 : input; - clocken0 : input; - q_a[11..0] : output; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[10..0] : WIRE; - -BEGIN - ram_block1a[11..0].clk0 = clock0; - ram_block1a[11..0].ena0 = clocken0; - ram_block1a[11..0].portaaddr[] = ( address_a_wire[10..0]); - ram_block1a[11..0].portare = B"111111111111"; - address_a_wire[] = address_a[]; - q_a[] = ( ram_block1a[11..0].portadataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_h7h1.tdf b/FPGA_61.440/db/altsyncram_h7h1.tdf deleted file mode 100644 index eea3436..0000000 --- a/FPGA_61.440/db/altsyncram_h7h1.tdf +++ /dev/null @@ -1,879 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" CLOCK_ENABLE_INPUT_B="BYPASS" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=8 NUMWORDS_B=8 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="CLOCK1" WIDTH_A=25 WIDTH_B=25 WIDTH_BYTEENA_A=1 WIDTH_ECCSTATUS=2 WIDTHAD_A=3 WIDTHAD_B=3 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 1 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_h7h1 -( - address_a[2..0] : input; - address_b[2..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[24..0] : input; - q_b[24..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a16 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 16, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 16, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a17 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 17, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 17, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a18 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 18, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 18, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a19 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 19, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 19, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a20 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 20, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 20, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a21 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 21, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 21, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a22 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 22, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 22, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a23 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 23, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 23, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a24 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 24, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 25, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 24, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 25, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[2..0] : WIRE; - address_b_wire[2..0] : WIRE; - -BEGIN - ram_block1a[24..0].clk0 = clock0; - ram_block1a[24..0].clk1 = clock1; - ram_block1a[24..0].ena1 = clocken1; - ram_block1a[24..0].portaaddr[] = ( address_a_wire[2..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[16].portadatain[] = ( data_a[16..16]); - ram_block1a[17].portadatain[] = ( data_a[17..17]); - ram_block1a[18].portadatain[] = ( data_a[18..18]); - ram_block1a[19].portadatain[] = ( data_a[19..19]); - ram_block1a[20].portadatain[] = ( data_a[20..20]); - ram_block1a[21].portadatain[] = ( data_a[21..21]); - ram_block1a[22].portadatain[] = ( data_a[22..22]); - ram_block1a[23].portadatain[] = ( data_a[23..23]); - ram_block1a[24].portadatain[] = ( data_a[24..24]); - ram_block1a[24..0].portawe = wren_a; - ram_block1a[24..0].portbaddr[] = ( address_b_wire[2..0]); - ram_block1a[24..0].portbre = B"1111111111111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[24..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_h982.tdf b/FPGA_61.440/db/altsyncram_h982.tdf deleted file mode 100644 index 215ecd3..0000000 --- a/FPGA_61.440/db/altsyncram_h982.tdf +++ /dev/null @@ -1,528 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INDATA_ACLR_A="NONE" INDATA_ACLR_B="NONE" INDATA_REG_B="CLOCK0" INIT_FILE="nco_nco_ii_0_sin_c.hex" LOW_POWER_MODE="AUTO" NUMWORDS_A=2048 NUMWORDS_B=2048 OPERATION_MODE="BIDIR_DUAL_PORT" OUTDATA_ACLR_A="NONE" OUTDATA_ACLR_B="NONE" OUTDATA_REG_A="CLOCK0" OUTDATA_REG_B="CLOCK0" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=12 WIDTH_B=12 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTHAD_A=11 WIDTHAD_B=11 WRCONTROL_ACLR_A="NONE" WRCONTROL_ACLR_B="NONE" WRCONTROL_WRADDRESS_REG_B="CLOCK0" address_a address_b clock0 clocken0 data_a data_b q_a q_b CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 3 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_h982 -( - address_a[10..0] : input; - address_b[10..0] : input; - clock0 : input; - clocken0 : input; - data_a[11..0] : input; - data_b[11..0] : input; - q_a[11..0] : output; - q_b[11..0] : output; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "nco_nco_ii_0_sin_c.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "bidir_dual_port", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 12, - PORT_A_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_ADDRESS_CLOCK = "clock0", - PORT_B_ADDRESS_WIDTH = 11, - PORT_B_DATA_IN_CLOCK = "clock0", - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock0", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 2047, - PORT_B_LOGICAL_RAM_DEPTH = 2048, - PORT_B_LOGICAL_RAM_WIDTH = 12, - PORT_B_READ_DURING_WRITE_MODE = "new_data_no_nbe_read", - PORT_B_READ_ENABLE_CLOCK = "clock0", - PORT_B_WRITE_ENABLE_CLOCK = "clock0", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[10..0] : WIRE; - address_b_wire[10..0] : WIRE; - wren_a : NODE; - wren_b : NODE; - -BEGIN - ram_block1a[11..0].clk0 = clock0; - ram_block1a[11..0].ena0 = clocken0; - ram_block1a[11..0].portaaddr[] = ( address_a_wire[10..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[11..0].portare = B"111111111111"; - ram_block1a[11..0].portawe = wren_a; - ram_block1a[11..0].portbaddr[] = ( address_b_wire[10..0]); - ram_block1a[0].portbdatain[] = ( data_b[0..0]); - ram_block1a[1].portbdatain[] = ( data_b[1..1]); - ram_block1a[2].portbdatain[] = ( data_b[2..2]); - ram_block1a[3].portbdatain[] = ( data_b[3..3]); - ram_block1a[4].portbdatain[] = ( data_b[4..4]); - ram_block1a[5].portbdatain[] = ( data_b[5..5]); - ram_block1a[6].portbdatain[] = ( data_b[6..6]); - ram_block1a[7].portbdatain[] = ( data_b[7..7]); - ram_block1a[8].portbdatain[] = ( data_b[8..8]); - ram_block1a[9].portbdatain[] = ( data_b[9..9]); - ram_block1a[10].portbdatain[] = ( data_b[10..10]); - ram_block1a[11].portbdatain[] = ( data_b[11..11]); - ram_block1a[11..0].portbre = B"111111111111"; - ram_block1a[11..0].portbwe = wren_b; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_a[] = ( ram_block1a[11..0].portadataout[0..0]); - q_b[] = ( ram_block1a[11..0].portbdataout[0..0]); - wren_a = GND; - wren_b = GND; -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_hah1.tdf b/FPGA_61.440/db/altsyncram_hah1.tdf deleted file mode 100644 index b8c2409..0000000 --- a/FPGA_61.440/db/altsyncram_hah1.tdf +++ /dev/null @@ -1,615 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" CLOCK_ENABLE_INPUT_B="BYPASS" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=32 NUMWORDS_B=32 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="CLOCK1" WIDTH_A=17 WIDTH_B=17 WIDTH_BYTEENA_A=1 WIDTH_ECCSTATUS=2 WIDTHAD_A=5 WIDTHAD_B=5 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 1 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_hah1 -( - address_a[4..0] : input; - address_b[4..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[16..0] : input; - q_b[16..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a16 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 5, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 16, - PORT_A_LAST_ADDRESS = 31, - PORT_A_LOGICAL_RAM_DEPTH = 32, - PORT_A_LOGICAL_RAM_WIDTH = 17, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 5, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 16, - PORT_B_LAST_ADDRESS = 31, - PORT_B_LOGICAL_RAM_DEPTH = 32, - PORT_B_LOGICAL_RAM_WIDTH = 17, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[4..0] : WIRE; - address_b_wire[4..0] : WIRE; - -BEGIN - ram_block1a[16..0].clk0 = clock0; - ram_block1a[16..0].clk1 = clock1; - ram_block1a[16..0].ena1 = clocken1; - ram_block1a[16..0].portaaddr[] = ( address_a_wire[4..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[16].portadatain[] = ( data_a[16..16]); - ram_block1a[16..0].portawe = wren_a; - ram_block1a[16..0].portbaddr[] = ( address_b_wire[4..0]); - ram_block1a[16..0].portbre = B"11111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[16..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_j7h1.tdf b/FPGA_61.440/db/altsyncram_j7h1.tdf deleted file mode 100644 index 9be0982..0000000 --- a/FPGA_61.440/db/altsyncram_j7h1.tdf +++ /dev/null @@ -1,2859 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" CLOCK_ENABLE_INPUT_B="BYPASS" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=4 NUMWORDS_B=4 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="CLOCK1" WIDTH_A=85 WIDTH_B=85 WIDTH_BYTEENA_A=1 WIDTH_ECCSTATUS=2 WIDTHAD_A=2 WIDTHAD_B=2 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 3 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_j7h1 -( - address_a[1..0] : input; - address_b[1..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[84..0] : input; - q_b[84..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a16 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 16, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 16, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a17 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 17, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 17, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a18 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 18, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 18, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a19 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 19, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 19, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a20 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 20, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 20, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a21 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 21, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 21, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a22 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 22, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 22, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a23 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 23, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 23, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a24 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 24, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 24, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a25 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 25, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 25, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a26 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 26, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 26, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a27 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 27, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 27, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a28 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 28, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 28, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a29 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 29, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 29, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a30 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 30, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 30, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a31 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 31, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 31, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a32 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 32, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 32, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a33 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 33, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 33, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a34 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 34, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 34, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a35 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 35, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 35, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a36 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 36, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 36, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a37 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 37, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 37, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a38 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 38, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 38, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a39 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 39, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 39, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a40 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 40, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 40, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a41 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 41, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 41, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a42 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 42, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 42, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a43 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 43, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 43, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a44 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 44, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 44, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a45 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 45, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 45, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a46 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 46, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 46, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a47 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 47, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 47, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a48 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 48, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 48, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a49 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 49, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 49, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a50 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 50, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 50, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a51 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 51, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 51, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a52 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 52, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 52, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a53 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 53, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 53, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a54 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 54, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 54, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a55 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 55, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 55, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a56 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 56, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 56, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a57 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 57, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 57, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a58 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 58, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 58, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a59 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 59, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 59, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a60 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 60, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 60, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a61 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 61, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 61, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a62 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 62, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 62, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a63 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 63, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 63, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a64 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 64, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 64, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a65 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 65, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 65, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a66 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 66, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 66, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a67 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 67, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 67, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a68 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 68, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 68, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a69 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 69, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 69, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a70 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 70, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 70, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a71 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 71, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 71, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a72 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 72, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 72, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a73 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 73, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 73, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a74 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 74, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 74, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a75 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 75, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 75, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a76 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 76, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 76, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a77 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 77, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 77, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a78 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 78, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 78, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a79 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 79, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 79, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a80 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 80, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 80, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a81 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 81, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 81, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a82 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 82, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 82, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a83 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 83, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 83, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a84 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 84, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 85, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 84, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 85, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[1..0] : WIRE; - address_b_wire[1..0] : WIRE; - -BEGIN - ram_block1a[84..0].clk0 = clock0; - ram_block1a[84..0].clk1 = clock1; - ram_block1a[84..0].ena1 = clocken1; - ram_block1a[84..0].portaaddr[] = ( address_a_wire[1..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[16].portadatain[] = ( data_a[16..16]); - ram_block1a[17].portadatain[] = ( data_a[17..17]); - ram_block1a[18].portadatain[] = ( data_a[18..18]); - ram_block1a[19].portadatain[] = ( data_a[19..19]); - ram_block1a[20].portadatain[] = ( data_a[20..20]); - ram_block1a[21].portadatain[] = ( data_a[21..21]); - ram_block1a[22].portadatain[] = ( data_a[22..22]); - ram_block1a[23].portadatain[] = ( data_a[23..23]); - ram_block1a[24].portadatain[] = ( data_a[24..24]); - ram_block1a[25].portadatain[] = ( data_a[25..25]); - ram_block1a[26].portadatain[] = ( data_a[26..26]); - ram_block1a[27].portadatain[] = ( data_a[27..27]); - ram_block1a[28].portadatain[] = ( data_a[28..28]); - ram_block1a[29].portadatain[] = ( data_a[29..29]); - ram_block1a[30].portadatain[] = ( data_a[30..30]); - ram_block1a[31].portadatain[] = ( data_a[31..31]); - ram_block1a[32].portadatain[] = ( data_a[32..32]); - ram_block1a[33].portadatain[] = ( data_a[33..33]); - ram_block1a[34].portadatain[] = ( data_a[34..34]); - ram_block1a[35].portadatain[] = ( data_a[35..35]); - ram_block1a[36].portadatain[] = ( data_a[36..36]); - ram_block1a[37].portadatain[] = ( data_a[37..37]); - ram_block1a[38].portadatain[] = ( data_a[38..38]); - ram_block1a[39].portadatain[] = ( data_a[39..39]); - ram_block1a[40].portadatain[] = ( data_a[40..40]); - ram_block1a[41].portadatain[] = ( data_a[41..41]); - ram_block1a[42].portadatain[] = ( data_a[42..42]); - ram_block1a[43].portadatain[] = ( data_a[43..43]); - ram_block1a[44].portadatain[] = ( data_a[44..44]); - ram_block1a[45].portadatain[] = ( data_a[45..45]); - ram_block1a[46].portadatain[] = ( data_a[46..46]); - ram_block1a[47].portadatain[] = ( data_a[47..47]); - ram_block1a[48].portadatain[] = ( data_a[48..48]); - ram_block1a[49].portadatain[] = ( data_a[49..49]); - ram_block1a[50].portadatain[] = ( data_a[50..50]); - ram_block1a[51].portadatain[] = ( data_a[51..51]); - ram_block1a[52].portadatain[] = ( data_a[52..52]); - ram_block1a[53].portadatain[] = ( data_a[53..53]); - ram_block1a[54].portadatain[] = ( data_a[54..54]); - ram_block1a[55].portadatain[] = ( data_a[55..55]); - ram_block1a[56].portadatain[] = ( data_a[56..56]); - ram_block1a[57].portadatain[] = ( data_a[57..57]); - ram_block1a[58].portadatain[] = ( data_a[58..58]); - ram_block1a[59].portadatain[] = ( data_a[59..59]); - ram_block1a[60].portadatain[] = ( data_a[60..60]); - ram_block1a[61].portadatain[] = ( data_a[61..61]); - ram_block1a[62].portadatain[] = ( data_a[62..62]); - ram_block1a[63].portadatain[] = ( data_a[63..63]); - ram_block1a[64].portadatain[] = ( data_a[64..64]); - ram_block1a[65].portadatain[] = ( data_a[65..65]); - ram_block1a[66].portadatain[] = ( data_a[66..66]); - ram_block1a[67].portadatain[] = ( data_a[67..67]); - ram_block1a[68].portadatain[] = ( data_a[68..68]); - ram_block1a[69].portadatain[] = ( data_a[69..69]); - ram_block1a[70].portadatain[] = ( data_a[70..70]); - ram_block1a[71].portadatain[] = ( data_a[71..71]); - ram_block1a[72].portadatain[] = ( data_a[72..72]); - ram_block1a[73].portadatain[] = ( data_a[73..73]); - ram_block1a[74].portadatain[] = ( data_a[74..74]); - ram_block1a[75].portadatain[] = ( data_a[75..75]); - ram_block1a[76].portadatain[] = ( data_a[76..76]); - ram_block1a[77].portadatain[] = ( data_a[77..77]); - ram_block1a[78].portadatain[] = ( data_a[78..78]); - ram_block1a[79].portadatain[] = ( data_a[79..79]); - ram_block1a[80].portadatain[] = ( data_a[80..80]); - ram_block1a[81].portadatain[] = ( data_a[81..81]); - ram_block1a[82].portadatain[] = ( data_a[82..82]); - ram_block1a[83].portadatain[] = ( data_a[83..83]); - ram_block1a[84].portadatain[] = ( data_a[84..84]); - ram_block1a[84..0].portawe = wren_a; - ram_block1a[84..0].portbaddr[] = ( address_b_wire[1..0]); - ram_block1a[84..0].portbre = B"1111111111111111111111111111111111111111111111111111111111111111111111111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[84..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_l7h1.tdf b/FPGA_61.440/db/altsyncram_l7h1.tdf deleted file mode 100644 index 9183996..0000000 --- a/FPGA_61.440/db/altsyncram_l7h1.tdf +++ /dev/null @@ -1,648 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" CLOCK_ENABLE_INPUT_B="BYPASS" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=8 NUMWORDS_B=8 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="CLOCK1" WIDTH_A=18 WIDTH_B=18 WIDTH_BYTEENA_A=1 WIDTH_ECCSTATUS=2 WIDTHAD_A=3 WIDTHAD_B=3 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 1 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_l7h1 -( - address_a[2..0] : input; - address_b[2..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[17..0] : input; - q_b[17..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a16 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 16, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 16, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a17 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 3, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 17, - PORT_A_LAST_ADDRESS = 7, - PORT_A_LOGICAL_RAM_DEPTH = 8, - PORT_A_LOGICAL_RAM_WIDTH = 18, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 3, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 17, - PORT_B_LAST_ADDRESS = 7, - PORT_B_LOGICAL_RAM_DEPTH = 8, - PORT_B_LOGICAL_RAM_WIDTH = 18, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[2..0] : WIRE; - address_b_wire[2..0] : WIRE; - -BEGIN - ram_block1a[17..0].clk0 = clock0; - ram_block1a[17..0].clk1 = clock1; - ram_block1a[17..0].ena1 = clocken1; - ram_block1a[17..0].portaaddr[] = ( address_a_wire[2..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[16].portadatain[] = ( data_a[16..16]); - ram_block1a[17].portadatain[] = ( data_a[17..17]); - ram_block1a[17..0].portawe = wren_a; - ram_block1a[17..0].portbaddr[] = ( address_b_wire[2..0]); - ram_block1a[17..0].portbre = B"111111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[17..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_lci3.tdf b/FPGA_61.440/db/altsyncram_lci3.tdf deleted file mode 100644 index f7bfb7c..0000000 --- a/FPGA_61.440/db/altsyncram_lci3.tdf +++ /dev/null @@ -1,72 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" BYTE_SIZE=8 BYTEENA_ACLR_A="NONE" BYTEENA_ACLR_B="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CLOCK_ENABLE_CORE_A="BYPASS" CLOCK_ENABLE_CORE_B="BYPASS" CLOCK_ENABLE_INPUT_A="BYPASS" CLOCK_ENABLE_INPUT_B="BYPASS" CLOCK_ENABLE_OUTPUT_A="BYPASS" CLOCK_ENABLE_OUTPUT_B="NORMAL" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" ENABLE_RUNTIME_MOD="NO" IMPLEMENT_IN_LES="ON" INDATA_ACLR_A="NONE" INDATA_ACLR_B="NONE" INIT_FILE_LAYOUT="PORT_B" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=4 NUMWORDS_A=4 NUMWORDS_B=4 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_A="NONE" OUTDATA_ACLR_B="NONE" OUTDATA_REG_A="UNREGISTERED" OUTDATA_REG_B="CLOCK1" RAM_BLOCK_TYPE="AUTO" RDCONTROL_ACLR_B="NONE" RDCONTROL_REG_B="CLOCK1" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" read_during_write_mode_port_a="NEW_DATA_WITH_NBE_READ" read_during_write_mode_port_b="NEW_DATA_WITH_NBE_READ" WIDTH_A=85 WIDTH_B=85 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTHAD_A=2 WIDTHAD_B=2 WRCONTROL_ACLR_A="NONE" WRCONTROL_ACLR_B="NONE" WRCONTROL_WRADDRESS_REG_B="CLOCK1" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION decode_msa (data[1..0], enable) -RETURNS ( eq[3..0]); -FUNCTION mux_rob (data[339..0], sel[1..0]) -RETURNS ( result[84..0]); - ---synthesis_resources = lut 170 reg 598 -OPTIONS ALTERA_INTERNAL_OPTION = "SUPPRESS_DA_RULE_INTERNAL=C106"; - -SUBDESIGN altsyncram_lci3 -( - address_a[1..0] : input; - address_b[1..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[84..0] : input; - q_b[84..0] : output; - wren_a : input; -) -VARIABLE - address_reg[1..0] : dffe; - data_reg[84..0] : dffe; - outdata_reg[84..0] : dffe; - ram_block[339..0] : dffe; - rd_data_out_latch[84..0] : dffe; - wren_reg : dffe; - address_decoder : decode_msa; - output_mux : mux_rob; - address_b_wire[1..0] : WIRE; - -BEGIN - address_reg[].clk = clock0; - address_reg[].d = address_a[]; - data_reg[].clk = clock0; - data_reg[].d = data_a[]; - outdata_reg[].clk = clock1; - outdata_reg[].d = rd_data_out_latch[].q; - outdata_reg[].ena = clocken1; - ram_block[].clk = (! clock0); - ram_block[].d = ( data_reg[].q, data_reg[].q, data_reg[].q, data_reg[].q); - ram_block[].ena = ( address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0]); - rd_data_out_latch[].clk = clock1; - rd_data_out_latch[].d = output_mux.result[]; - wren_reg.clk = clock0; - wren_reg.d = wren_a; - address_decoder.data[] = address_reg[].q; - address_decoder.enable = wren_reg.q; - output_mux.data[] = ram_block[].q; - output_mux.sel[] = address_b_wire[]; - address_b_wire[] = address_b[]; - q_b[] = outdata_reg[].q; -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_m7h1.tdf b/FPGA_61.440/db/altsyncram_m7h1.tdf deleted file mode 100644 index c0b178a..0000000 --- a/FPGA_61.440/db/altsyncram_m7h1.tdf +++ /dev/null @@ -1,2892 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" CLOCK_ENABLE_INPUT_B="BYPASS" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=4 NUMWORDS_B=4 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="CLOCK1" WIDTH_A=86 WIDTH_B=86 WIDTH_BYTEENA_A=1 WIDTH_ECCSTATUS=2 WIDTHAD_A=2 WIDTHAD_B=2 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 3 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_m7h1 -( - address_a[1..0] : input; - address_b[1..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[85..0] : input; - q_b[85..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a16 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 16, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 16, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a17 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 17, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 17, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a18 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 18, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 18, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a19 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 19, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 19, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a20 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 20, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 20, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a21 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 21, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 21, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a22 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 22, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 22, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a23 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 23, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 23, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a24 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 24, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 24, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a25 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 25, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 25, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a26 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 26, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 26, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a27 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 27, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 27, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a28 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 28, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 28, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a29 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 29, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 29, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a30 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 30, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 30, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a31 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 31, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 31, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a32 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 32, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 32, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a33 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 33, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 33, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a34 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 34, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 34, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a35 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 35, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 35, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a36 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 36, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 36, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a37 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 37, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 37, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a38 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 38, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 38, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a39 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 39, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 39, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a40 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 40, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 40, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a41 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 41, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 41, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a42 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 42, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 42, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a43 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 43, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 43, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a44 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 44, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 44, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a45 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 45, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 45, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a46 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 46, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 46, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a47 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 47, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 47, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a48 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 48, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 48, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a49 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 49, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 49, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a50 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 50, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 50, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a51 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 51, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 51, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a52 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 52, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 52, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a53 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 53, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 53, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a54 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 54, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 54, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a55 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 55, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 55, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a56 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 56, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 56, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a57 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 57, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 57, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a58 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 58, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 58, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a59 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 59, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 59, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a60 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 60, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 60, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a61 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 61, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 61, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a62 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 62, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 62, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a63 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 63, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 63, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a64 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 64, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 64, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a65 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 65, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 65, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a66 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 66, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 66, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a67 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 67, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 67, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a68 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 68, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 68, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a69 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 69, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 69, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a70 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 70, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 70, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a71 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 71, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 71, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a72 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 72, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 72, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a73 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 73, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 73, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a74 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 74, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 74, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a75 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 75, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 75, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a76 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 76, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 76, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a77 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 77, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 77, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a78 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 78, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 78, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a79 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 79, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 79, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a80 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 80, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 80, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a81 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 81, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 81, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a82 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 82, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 82, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a83 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 83, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 83, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a84 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 84, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 84, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a85 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "none", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "ena1", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 2, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 85, - PORT_A_LAST_ADDRESS = 3, - PORT_A_LOGICAL_RAM_DEPTH = 4, - PORT_A_LOGICAL_RAM_WIDTH = 86, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 2, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 85, - PORT_B_LAST_ADDRESS = 3, - PORT_B_LOGICAL_RAM_DEPTH = 4, - PORT_B_LOGICAL_RAM_WIDTH = 86, - PORT_B_READ_ENABLE_CLOCK = "clock1", - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[1..0] : WIRE; - address_b_wire[1..0] : WIRE; - -BEGIN - ram_block1a[85..0].clk0 = clock0; - ram_block1a[85..0].clk1 = clock1; - ram_block1a[85..0].ena1 = clocken1; - ram_block1a[85..0].portaaddr[] = ( address_a_wire[1..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[16].portadatain[] = ( data_a[16..16]); - ram_block1a[17].portadatain[] = ( data_a[17..17]); - ram_block1a[18].portadatain[] = ( data_a[18..18]); - ram_block1a[19].portadatain[] = ( data_a[19..19]); - ram_block1a[20].portadatain[] = ( data_a[20..20]); - ram_block1a[21].portadatain[] = ( data_a[21..21]); - ram_block1a[22].portadatain[] = ( data_a[22..22]); - ram_block1a[23].portadatain[] = ( data_a[23..23]); - ram_block1a[24].portadatain[] = ( data_a[24..24]); - ram_block1a[25].portadatain[] = ( data_a[25..25]); - ram_block1a[26].portadatain[] = ( data_a[26..26]); - ram_block1a[27].portadatain[] = ( data_a[27..27]); - ram_block1a[28].portadatain[] = ( data_a[28..28]); - ram_block1a[29].portadatain[] = ( data_a[29..29]); - ram_block1a[30].portadatain[] = ( data_a[30..30]); - ram_block1a[31].portadatain[] = ( data_a[31..31]); - ram_block1a[32].portadatain[] = ( data_a[32..32]); - ram_block1a[33].portadatain[] = ( data_a[33..33]); - ram_block1a[34].portadatain[] = ( data_a[34..34]); - ram_block1a[35].portadatain[] = ( data_a[35..35]); - ram_block1a[36].portadatain[] = ( data_a[36..36]); - ram_block1a[37].portadatain[] = ( data_a[37..37]); - ram_block1a[38].portadatain[] = ( data_a[38..38]); - ram_block1a[39].portadatain[] = ( data_a[39..39]); - ram_block1a[40].portadatain[] = ( data_a[40..40]); - ram_block1a[41].portadatain[] = ( data_a[41..41]); - ram_block1a[42].portadatain[] = ( data_a[42..42]); - ram_block1a[43].portadatain[] = ( data_a[43..43]); - ram_block1a[44].portadatain[] = ( data_a[44..44]); - ram_block1a[45].portadatain[] = ( data_a[45..45]); - ram_block1a[46].portadatain[] = ( data_a[46..46]); - ram_block1a[47].portadatain[] = ( data_a[47..47]); - ram_block1a[48].portadatain[] = ( data_a[48..48]); - ram_block1a[49].portadatain[] = ( data_a[49..49]); - ram_block1a[50].portadatain[] = ( data_a[50..50]); - ram_block1a[51].portadatain[] = ( data_a[51..51]); - ram_block1a[52].portadatain[] = ( data_a[52..52]); - ram_block1a[53].portadatain[] = ( data_a[53..53]); - ram_block1a[54].portadatain[] = ( data_a[54..54]); - ram_block1a[55].portadatain[] = ( data_a[55..55]); - ram_block1a[56].portadatain[] = ( data_a[56..56]); - ram_block1a[57].portadatain[] = ( data_a[57..57]); - ram_block1a[58].portadatain[] = ( data_a[58..58]); - ram_block1a[59].portadatain[] = ( data_a[59..59]); - ram_block1a[60].portadatain[] = ( data_a[60..60]); - ram_block1a[61].portadatain[] = ( data_a[61..61]); - ram_block1a[62].portadatain[] = ( data_a[62..62]); - ram_block1a[63].portadatain[] = ( data_a[63..63]); - ram_block1a[64].portadatain[] = ( data_a[64..64]); - ram_block1a[65].portadatain[] = ( data_a[65..65]); - ram_block1a[66].portadatain[] = ( data_a[66..66]); - ram_block1a[67].portadatain[] = ( data_a[67..67]); - ram_block1a[68].portadatain[] = ( data_a[68..68]); - ram_block1a[69].portadatain[] = ( data_a[69..69]); - ram_block1a[70].portadatain[] = ( data_a[70..70]); - ram_block1a[71].portadatain[] = ( data_a[71..71]); - ram_block1a[72].portadatain[] = ( data_a[72..72]); - ram_block1a[73].portadatain[] = ( data_a[73..73]); - ram_block1a[74].portadatain[] = ( data_a[74..74]); - ram_block1a[75].portadatain[] = ( data_a[75..75]); - ram_block1a[76].portadatain[] = ( data_a[76..76]); - ram_block1a[77].portadatain[] = ( data_a[77..77]); - ram_block1a[78].portadatain[] = ( data_a[78..78]); - ram_block1a[79].portadatain[] = ( data_a[79..79]); - ram_block1a[80].portadatain[] = ( data_a[80..80]); - ram_block1a[81].portadatain[] = ( data_a[81..81]); - ram_block1a[82].portadatain[] = ( data_a[82..82]); - ram_block1a[83].portadatain[] = ( data_a[83..83]); - ram_block1a[84].portadatain[] = ( data_a[84..84]); - ram_block1a[85].portadatain[] = ( data_a[85..85]); - ram_block1a[85..0].portawe = wren_a; - ram_block1a[85..0].portbaddr[] = ( address_b_wire[1..0]); - ram_block1a[85..0].portbre = B"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[85..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_nci3.tdf b/FPGA_61.440/db/altsyncram_nci3.tdf deleted file mode 100644 index 2a6ab3c..0000000 --- a/FPGA_61.440/db/altsyncram_nci3.tdf +++ /dev/null @@ -1,72 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK1" BYTE_SIZE=8 BYTEENA_ACLR_A="NONE" BYTEENA_ACLR_B="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CLOCK_ENABLE_CORE_A="BYPASS" CLOCK_ENABLE_CORE_B="BYPASS" CLOCK_ENABLE_INPUT_A="BYPASS" CLOCK_ENABLE_INPUT_B="BYPASS" CLOCK_ENABLE_OUTPUT_A="BYPASS" CLOCK_ENABLE_OUTPUT_B="NORMAL" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ENABLE_ECC="FALSE" ENABLE_RUNTIME_MOD="NO" IMPLEMENT_IN_LES="ON" INDATA_ACLR_A="NONE" INDATA_ACLR_B="NONE" INIT_FILE_LAYOUT="PORT_B" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=4 NUMWORDS_A=4 NUMWORDS_B=4 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_A="NONE" OUTDATA_ACLR_B="NONE" OUTDATA_REG_A="UNREGISTERED" OUTDATA_REG_B="CLOCK1" RAM_BLOCK_TYPE="AUTO" RDCONTROL_ACLR_B="NONE" RDCONTROL_REG_B="CLOCK1" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" read_during_write_mode_port_a="NEW_DATA_WITH_NBE_READ" read_during_write_mode_port_b="NEW_DATA_WITH_NBE_READ" WIDTH_A=86 WIDTH_B=86 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTHAD_A=2 WIDTHAD_B=2 WRCONTROL_ACLR_A="NONE" WRCONTROL_ACLR_B="NONE" WRCONTROL_WRADDRESS_REG_B="CLOCK1" address_a address_b clock0 clock1 clocken1 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION decode_msa (data[1..0], enable) -RETURNS ( eq[3..0]); -FUNCTION mux_sob (data[343..0], sel[1..0]) -RETURNS ( result[85..0]); - ---synthesis_resources = lut 176 reg 605 -OPTIONS ALTERA_INTERNAL_OPTION = "SUPPRESS_DA_RULE_INTERNAL=C106"; - -SUBDESIGN altsyncram_nci3 -( - address_a[1..0] : input; - address_b[1..0] : input; - clock0 : input; - clock1 : input; - clocken1 : input; - data_a[85..0] : input; - q_b[85..0] : output; - wren_a : input; -) -VARIABLE - address_reg[1..0] : dffe; - data_reg[85..0] : dffe; - outdata_reg[85..0] : dffe; - ram_block[343..0] : dffe; - rd_data_out_latch[85..0] : dffe; - wren_reg : dffe; - address_decoder : decode_msa; - output_mux : mux_sob; - address_b_wire[1..0] : WIRE; - -BEGIN - address_reg[].clk = clock0; - address_reg[].d = address_a[]; - data_reg[].clk = clock0; - data_reg[].d = data_a[]; - outdata_reg[].clk = clock1; - outdata_reg[].d = rd_data_out_latch[].q; - outdata_reg[].ena = clocken1; - ram_block[].clk = (! clock0); - ram_block[].d = ( data_reg[].q, data_reg[].q, data_reg[].q, data_reg[].q); - ram_block[].ena = ( address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..3], address_decoder.eq[3..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..2], address_decoder.eq[2..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..1], address_decoder.eq[1..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0], address_decoder.eq[0..0]); - rd_data_out_latch[].clk = clock1; - rd_data_out_latch[].d = output_mux.result[]; - wren_reg.clk = clock0; - wren_reg.d = wren_a; - address_decoder.data[] = address_reg[].q; - address_decoder.enable = wren_reg.q; - output_mux.data[] = ram_block[].q; - output_mux.sel[] = address_b_wire[]; - address_b_wire[] = address_b[]; - q_b[] = outdata_reg[].q; -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_p8a1.tdf b/FPGA_61.440/db/altsyncram_p8a1.tdf deleted file mode 100644 index 2071722..0000000 --- a/FPGA_61.440/db/altsyncram_p8a1.tdf +++ /dev/null @@ -1,397 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="tx_nco_nco_ii_0_cos_f.hex" LOW_POWER_MODE="AUTO" NUMWORDS_A=2048 OPERATION_MODE="ROM" OUTDATA_ACLR_A="NONE" OUTDATA_REG_A="CLOCK0" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=16 WIDTH_BYTEENA_A=1 WIDTHAD_A=11 address_a clock0 clocken0 q_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 4 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_p8a1 -( - address_a[10..0] : input; - clock0 : input; - clocken0 : input; - q_a[15..0] : output; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_cos_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[10..0] : WIRE; - -BEGIN - ram_block1a[15..0].clk0 = clock0; - ram_block1a[15..0].ena0 = clocken0; - ram_block1a[15..0].portaaddr[] = ( address_a_wire[10..0]); - ram_block1a[15..0].portare = B"1111111111111111"; - address_a_wire[] = address_a[]; - q_a[] = ( ram_block1a[15..0].portadataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_sln3.tdf b/FPGA_61.440/db/altsyncram_sln3.tdf deleted file mode 100644 index a0c19d3..0000000 --- a/FPGA_61.440/db/altsyncram_sln3.tdf +++ /dev/null @@ -1,1140 +0,0 @@ ---altsyncram ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" BYTE_SIZE=8 BYTEENA_ACLR_B="NONE" BYTEENA_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CLOCK_ENABLE_CORE_A="USE_INPUT_CLKEN" CLOCK_ENABLE_CORE_B="USE_INPUT_CLKEN" CLOCK_ENABLE_INPUT_A="NORMAL" CLOCK_ENABLE_INPUT_B="NORMAL" CLOCK_ENABLE_OUTPUT_A="NORMAL" CLOCK_ENABLE_OUTPUT_B="NORMAL" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" ECC_PIPELINE_STAGE_ENABLED="FALSE" ENABLE_ECC="FALSE" IMPLEMENT_IN_LES="OFF" INDATA_ACLR_B="NONE" INDATA_REG_B="CLOCK0" INIT_FILE_LAYOUT="PORT_A" LOW_POWER_MODE="AUTO" MAXIMUM_DEPTH=0 NUMWORDS_A=64 NUMWORDS_B=64 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_A="NONE" OUTDATA_ACLR_B="NONE" OUTDATA_REG_A="UNREGISTERED" OUTDATA_REG_B="CLOCK0" POWER_UP_UNINITIALIZED="FALSE" RAM_BLOCK_TYPE="M9K" RDCONTROL_ACLR_B="NONE" RDCONTROL_REG_B="CLOCK0" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" read_during_write_mode_port_a="NEW_DATA_NO_NBE_READ" read_during_write_mode_port_b="NEW_DATA_NO_NBE_READ" stratixiv_m144k_allow_dual_clocks="ON" WIDTH_A=32 WIDTH_B=32 WIDTH_BYTEENA_A=1 WIDTH_BYTEENA_B=1 WIDTH_ECCSTATUS=3 WIDTHAD_A=6 WIDTHAD_B=6 WRCONTROL_ACLR_B="NONE" WRCONTROL_WRADDRESS_REG_B="CLOCK0" address_a address_b clock0 data_a q_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 1 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_sln3 -( - address_a[5..0] : input; - address_b[5..0] : input; - clock0 : input; - data_a[31..0] : input; - q_b[31..0] : output; - wren_a : input; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 0, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 1, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 2, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 3, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 4, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 5, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 6, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 7, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 8, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 9, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 10, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 11, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 12, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 13, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 14, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 15, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a16 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 16, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 16, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a17 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 17, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 17, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a18 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 18, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 18, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a19 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 19, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 19, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a20 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 20, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 20, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a21 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 21, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 21, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a22 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 22, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 22, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a23 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 23, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 23, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a24 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 24, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 24, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a25 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 25, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 25, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a26 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 26, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 26, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a27 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 27, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 27, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a28 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 28, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 28, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a29 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 29, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 29, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a30 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 30, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 30, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - ram_block1a31 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "none", - CLK1_CORE_CLOCK_ENABLE = "none", - CLK1_INPUT_CLOCK_ENABLE = "none", - CLK1_OUTPUT_CLOCK_ENABLE = "none", - CONNECTIVITY_CHECKING = "OFF", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - MIXED_PORT_FEED_THROUGH_MODE = "dont_care", - OPERATION_MODE = "dual_port", - PORT_A_ADDRESS_WIDTH = 6, - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 31, - PORT_A_LAST_ADDRESS = 63, - PORT_A_LOGICAL_RAM_DEPTH = 64, - PORT_A_LOGICAL_RAM_WIDTH = 32, - PORT_B_ADDRESS_CLEAR = "none", - PORT_B_ADDRESS_CLOCK = "clock1", - PORT_B_ADDRESS_WIDTH = 6, - PORT_B_DATA_OUT_CLEAR = "none", - PORT_B_DATA_OUT_CLOCK = "clock1", - PORT_B_DATA_WIDTH = 1, - PORT_B_FIRST_ADDRESS = 0, - PORT_B_FIRST_BIT_NUMBER = 31, - PORT_B_LAST_ADDRESS = 63, - PORT_B_LOGICAL_RAM_DEPTH = 64, - PORT_B_LOGICAL_RAM_WIDTH = 32, - PORT_B_READ_ENABLE_CLOCK = "clock1", - POWER_UP_UNINITIALIZED = "false", - RAM_BLOCK_TYPE = "M9K" - ); - address_a_wire[5..0] : WIRE; - address_b_wire[5..0] : WIRE; - -BEGIN - ram_block1a[31..0].clk0 = clock0; - ram_block1a[31..0].clk1 = clock0; - ram_block1a[31..0].ena0 = wren_a; - ram_block1a[31..0].portaaddr[] = ( address_a_wire[5..0]); - ram_block1a[0].portadatain[] = ( data_a[0..0]); - ram_block1a[1].portadatain[] = ( data_a[1..1]); - ram_block1a[2].portadatain[] = ( data_a[2..2]); - ram_block1a[3].portadatain[] = ( data_a[3..3]); - ram_block1a[4].portadatain[] = ( data_a[4..4]); - ram_block1a[5].portadatain[] = ( data_a[5..5]); - ram_block1a[6].portadatain[] = ( data_a[6..6]); - ram_block1a[7].portadatain[] = ( data_a[7..7]); - ram_block1a[8].portadatain[] = ( data_a[8..8]); - ram_block1a[9].portadatain[] = ( data_a[9..9]); - ram_block1a[10].portadatain[] = ( data_a[10..10]); - ram_block1a[11].portadatain[] = ( data_a[11..11]); - ram_block1a[12].portadatain[] = ( data_a[12..12]); - ram_block1a[13].portadatain[] = ( data_a[13..13]); - ram_block1a[14].portadatain[] = ( data_a[14..14]); - ram_block1a[15].portadatain[] = ( data_a[15..15]); - ram_block1a[16].portadatain[] = ( data_a[16..16]); - ram_block1a[17].portadatain[] = ( data_a[17..17]); - ram_block1a[18].portadatain[] = ( data_a[18..18]); - ram_block1a[19].portadatain[] = ( data_a[19..19]); - ram_block1a[20].portadatain[] = ( data_a[20..20]); - ram_block1a[21].portadatain[] = ( data_a[21..21]); - ram_block1a[22].portadatain[] = ( data_a[22..22]); - ram_block1a[23].portadatain[] = ( data_a[23..23]); - ram_block1a[24].portadatain[] = ( data_a[24..24]); - ram_block1a[25].portadatain[] = ( data_a[25..25]); - ram_block1a[26].portadatain[] = ( data_a[26..26]); - ram_block1a[27].portadatain[] = ( data_a[27..27]); - ram_block1a[28].portadatain[] = ( data_a[28..28]); - ram_block1a[29].portadatain[] = ( data_a[29..29]); - ram_block1a[30].portadatain[] = ( data_a[30..30]); - ram_block1a[31].portadatain[] = ( data_a[31..31]); - ram_block1a[31..0].portawe = wren_a; - ram_block1a[31..0].portbaddr[] = ( address_b_wire[5..0]); - ram_block1a[31..0].portbre = B"11111111111111111111111111111111"; - address_a_wire[] = address_a[]; - address_b_wire[] = address_b[]; - q_b[] = ( ram_block1a[31..0].portbdataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/altsyncram_u8a1.tdf b/FPGA_61.440/db/altsyncram_u8a1.tdf deleted file mode 100644 index a0b6c56..0000000 --- a/FPGA_61.440/db/altsyncram_u8a1.tdf +++ /dev/null @@ -1,397 +0,0 @@ ---altsyncram ADDRESS_ACLR_A="NONE" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone IV E" INIT_FILE="tx_nco_nco_ii_0_sin_f.hex" LOW_POWER_MODE="AUTO" NUMWORDS_A=2048 OPERATION_MODE="ROM" OUTDATA_ACLR_A="NONE" OUTDATA_REG_A="CLOCK0" RAM_BLOCK_TYPE="AUTO" READ_DURING_WRITE_MODE_MIXED_PORTS="DONT_CARE" WIDTH_A=16 WIDTH_BYTEENA_A=1 WIDTHAD_A=11 address_a clock0 clocken0 q_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) -WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, INIT_FILE, INIT_FILE_LAYOUT, init_file_restructured, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init2, mem_init3, mem_init4, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, SAFE_WRITE, WIDTH_ECCSTATUS) -RETURNS ( portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); - ---synthesis_resources = M9K 4 -OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; - -SUBDESIGN altsyncram_u8a1 -( - address_a[10..0] : input; - clock0 : input; - clocken0 : input; - q_a[15..0] : output; -) -VARIABLE - ram_block1a0 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 0, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a1 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 1, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a2 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 2, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a3 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 3, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a4 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 4, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a5 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 5, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a6 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 6, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a7 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 7, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a8 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 8, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a9 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 9, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a10 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 10, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a11 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 11, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a12 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 12, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a13 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 13, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a14 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 14, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - ram_block1a15 : cycloneive_ram_block - WITH ( - CLK0_CORE_CLOCK_ENABLE = "ena0", - CLK0_INPUT_CLOCK_ENABLE = "ena0", - CLK0_OUTPUT_CLOCK_ENABLE = "ena0", - CONNECTIVITY_CHECKING = "OFF", - INIT_FILE = "tx_nco_nco_ii_0_sin_f.hex", - INIT_FILE_LAYOUT = "port_a", - LOGICAL_RAM_NAME = "ALTSYNCRAM", - OPERATION_MODE = "rom", - PORT_A_ADDRESS_CLEAR = "none", - PORT_A_ADDRESS_WIDTH = 11, - PORT_A_DATA_OUT_CLEAR = "none", - PORT_A_DATA_OUT_CLOCK = "clock0", - PORT_A_DATA_WIDTH = 1, - PORT_A_FIRST_ADDRESS = 0, - PORT_A_FIRST_BIT_NUMBER = 15, - PORT_A_LAST_ADDRESS = 2047, - PORT_A_LOGICAL_RAM_DEPTH = 2048, - PORT_A_LOGICAL_RAM_WIDTH = 16, - RAM_BLOCK_TYPE = "AUTO" - ); - address_a_wire[10..0] : WIRE; - -BEGIN - ram_block1a[15..0].clk0 = clock0; - ram_block1a[15..0].ena0 = clocken0; - ram_block1a[15..0].portaaddr[] = ( address_a_wire[10..0]); - ram_block1a[15..0].portare = B"1111111111111111"; - address_a_wire[] = address_a[]; - q_a[] = ( ram_block1a[15..0].portadataout[0..0]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/cmpr_fs8.tdf b/FPGA_61.440/db/cmpr_fs8.tdf deleted file mode 100644 index b307ed0..0000000 --- a/FPGA_61.440/db/cmpr_fs8.tdf +++ /dev/null @@ -1,41 +0,0 @@ ---lpm_compare DEVICE_FAMILY="Cyclone IV E" LPM_WIDTH=2 ONE_INPUT_IS_CONSTANT="YES" aeb dataa datab ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = -SUBDESIGN cmpr_fs8 -( - aeb : output; - dataa[1..0] : input; - datab[1..0] : input; -) -VARIABLE - aeb_result_wire[0..0] : WIRE; - aneb_result_wire[0..0] : WIRE; - data_wire[3..0] : WIRE; - eq_wire : WIRE; - -BEGIN - aeb = eq_wire; - aeb_result_wire[] = (! aneb_result_wire[]); - aneb_result_wire[] = ((data_wire[0..0] $ data_wire[1..1]) # (data_wire[2..2] $ data_wire[3..3])); - data_wire[] = ( datab[1..1], dataa[1..1], datab[0..0], dataa[0..0]); - eq_wire = aeb_result_wire[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cmpr_gs8.tdf b/FPGA_61.440/db/cmpr_gs8.tdf deleted file mode 100644 index 7aee186..0000000 --- a/FPGA_61.440/db/cmpr_gs8.tdf +++ /dev/null @@ -1,41 +0,0 @@ ---lpm_compare DEVICE_FAMILY="Cyclone IV E" LPM_WIDTH=3 ONE_INPUT_IS_CONSTANT="YES" aeb dataa datab ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = -SUBDESIGN cmpr_gs8 -( - aeb : output; - dataa[2..0] : input; - datab[2..0] : input; -) -VARIABLE - aeb_result_wire[0..0] : WIRE; - aneb_result_wire[0..0] : WIRE; - data_wire[7..0] : WIRE; - eq_wire : WIRE; - -BEGIN - aeb = eq_wire; - aeb_result_wire[] = (! aneb_result_wire[]); - aneb_result_wire[] = (data_wire[0..0] # data_wire[1..1]); - data_wire[] = ( datab[2..2], dataa[2..2], datab[1..1], dataa[1..1], datab[0..0], dataa[0..0], (data_wire[6..6] $ data_wire[7..7]), ((data_wire[2..2] $ data_wire[3..3]) # (data_wire[4..4] $ data_wire[5..5]))); - eq_wire = aeb_result_wire[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cmpr_is8.tdf b/FPGA_61.440/db/cmpr_is8.tdf deleted file mode 100644 index 09c9d1f..0000000 --- a/FPGA_61.440/db/cmpr_is8.tdf +++ /dev/null @@ -1,41 +0,0 @@ ---lpm_compare DEVICE_FAMILY="Cyclone IV E" LPM_WIDTH=5 ONE_INPUT_IS_CONSTANT="YES" aeb dataa datab ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = -SUBDESIGN cmpr_is8 -( - aeb : output; - dataa[4..0] : input; - datab[4..0] : input; -) -VARIABLE - aeb_result_wire[0..0] : WIRE; - aneb_result_wire[0..0] : WIRE; - data_wire[12..0] : WIRE; - eq_wire : WIRE; - -BEGIN - aeb = eq_wire; - aeb_result_wire[] = (! aneb_result_wire[]); - aneb_result_wire[] = ((data_wire[0..0] # data_wire[1..1]) # data_wire[2..2]); - data_wire[] = ( datab[4..4], dataa[4..4], datab[3..3], dataa[3..3], datab[2..2], dataa[2..2], datab[1..1], dataa[1..1], datab[0..0], dataa[0..0], (data_wire[11..11] $ data_wire[12..12]), ((data_wire[7..7] $ data_wire[8..8]) # (data_wire[9..9] $ data_wire[10..10])), ((data_wire[3..3] $ data_wire[4..4]) # (data_wire[5..5] $ data_wire[6..6]))); - eq_wire = aeb_result_wire[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_7a7.tdf b/FPGA_61.440/db/cntr_7a7.tdf deleted file mode 100644 index ef90ba8..0000000 --- a/FPGA_61.440/db/cntr_7a7.tdf +++ /dev/null @@ -1,77 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_width=2 clock cnt_en q sclr updown ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 2 reg 2 -SUBDESIGN cntr_7a7 -( - clock : input; - cnt_en : input; - q[1..0] : output; - sclr : input; - updown : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[1..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[1..0] : NODE; - external_cin : WIRE; - s_val[1..0] : WIRE; - safe_q[1..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[1..0].cin = ( counter_comb_bita[0].cout, external_cin); - counter_comb_bita[1..0].dataa = ( counter_reg_bit[1..0].q); - counter_comb_bita[1..0].datab = ( updown_dir, updown_dir); - counter_comb_bita[1..0].datad = ( B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[1..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"11"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = updown; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_8a7.tdf b/FPGA_61.440/db/cntr_8a7.tdf deleted file mode 100644 index 4050298..0000000 --- a/FPGA_61.440/db/cntr_8a7.tdf +++ /dev/null @@ -1,82 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_width=3 clock cnt_en q sclr updown ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 3 reg 3 -SUBDESIGN cntr_8a7 -( - clock : input; - cnt_en : input; - q[2..0] : output; - sclr : input; - updown : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita2 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[2..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[2..0] : NODE; - external_cin : WIRE; - s_val[2..0] : WIRE; - safe_q[2..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[2..0].cin = ( counter_comb_bita[1..0].cout, external_cin); - counter_comb_bita[2..0].dataa = ( counter_reg_bit[2..0].q); - counter_comb_bita[2..0].datab = ( updown_dir, updown_dir, updown_dir); - counter_comb_bita[2..0].datad = ( B"1", B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[2..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"111"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = updown; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_aa7.tdf b/FPGA_61.440/db/cntr_aa7.tdf deleted file mode 100644 index b155a85..0000000 --- a/FPGA_61.440/db/cntr_aa7.tdf +++ /dev/null @@ -1,92 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_width=5 clock cnt_en q sclr updown ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 5 reg 5 -SUBDESIGN cntr_aa7 -( - clock : input; - cnt_en : input; - q[4..0] : output; - sclr : input; - updown : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita2 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita3 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita4 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[4..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[4..0] : NODE; - external_cin : WIRE; - s_val[4..0] : WIRE; - safe_q[4..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[4..0].cin = ( counter_comb_bita[3..0].cout, external_cin); - counter_comb_bita[4..0].dataa = ( counter_reg_bit[4..0].q); - counter_comb_bita[4..0].datab = ( updown_dir, updown_dir, updown_dir, updown_dir, updown_dir); - counter_comb_bita[4..0].datad = ( B"1", B"1", B"1", B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[4..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"11111"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = updown; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_asi.tdf b/FPGA_61.440/db/cntr_asi.tdf deleted file mode 100644 index 7a97ac5..0000000 --- a/FPGA_61.440/db/cntr_asi.tdf +++ /dev/null @@ -1,86 +0,0 @@ ---lpm_counter CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" lpm_direction="UP" lpm_port_updown="PORT_UNUSED" lpm_width=4 clk_en clock q sclr CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 4 reg 4 -SUBDESIGN cntr_asi -( - clk_en : input; - clock : input; - q[3..0] : output; - sclr : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita2 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita3 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[3..0] : dffeas; - aclr_actual : WIRE; - cnt_en : NODE; - data[3..0] : NODE; - external_cin : WIRE; - s_val[3..0] : WIRE; - safe_q[3..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[3..0].cin = ( counter_comb_bita[2..0].cout, external_cin); - counter_comb_bita[3..0].dataa = ( counter_reg_bit[3..0].q); - counter_comb_bita[3..0].datab = ( updown_dir, updown_dir, updown_dir, updown_dir); - counter_comb_bita[3..0].datad = ( B"1", B"1", B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[3..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - cnt_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"1111"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = B"1"; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_q9b.tdf b/FPGA_61.440/db/cntr_q9b.tdf deleted file mode 100644 index 56e680b..0000000 --- a/FPGA_61.440/db/cntr_q9b.tdf +++ /dev/null @@ -1,71 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_direction="UP" lpm_port_updown="PORT_UNUSED" lpm_width=1 clock cnt_en q sclr ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 1 reg 1 -SUBDESIGN cntr_q9b -( - clock : input; - cnt_en : input; - q[0..0] : output; - sclr : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[0..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[0..0] : NODE; - external_cin : WIRE; - s_val[0..0] : WIRE; - safe_q[0..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[0..0].cin = ( external_cin); - counter_comb_bita[0..0].dataa = ( counter_reg_bit[0..0].q); - counter_comb_bita[0..0].datab = ( updown_dir); - counter_comb_bita[0..0].datad = ( B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"1"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = B"1"; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_r9b.tdf b/FPGA_61.440/db/cntr_r9b.tdf deleted file mode 100644 index c60306c..0000000 --- a/FPGA_61.440/db/cntr_r9b.tdf +++ /dev/null @@ -1,76 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_direction="UP" lpm_port_updown="PORT_UNUSED" lpm_width=2 clock cnt_en q sclr ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 2 reg 2 -SUBDESIGN cntr_r9b -( - clock : input; - cnt_en : input; - q[1..0] : output; - sclr : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[1..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[1..0] : NODE; - external_cin : WIRE; - s_val[1..0] : WIRE; - safe_q[1..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[1..0].cin = ( counter_comb_bita[0].cout, external_cin); - counter_comb_bita[1..0].dataa = ( counter_reg_bit[1..0].q); - counter_comb_bita[1..0].datab = ( updown_dir, updown_dir); - counter_comb_bita[1..0].datad = ( B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[1..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"11"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = B"1"; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_s9b.tdf b/FPGA_61.440/db/cntr_s9b.tdf deleted file mode 100644 index edbb738..0000000 --- a/FPGA_61.440/db/cntr_s9b.tdf +++ /dev/null @@ -1,81 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_direction="UP" lpm_port_updown="PORT_UNUSED" lpm_width=3 clock cnt_en q sclr ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 3 reg 3 -SUBDESIGN cntr_s9b -( - clock : input; - cnt_en : input; - q[2..0] : output; - sclr : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita2 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[2..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[2..0] : NODE; - external_cin : WIRE; - s_val[2..0] : WIRE; - safe_q[2..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[2..0].cin = ( counter_comb_bita[1..0].cout, external_cin); - counter_comb_bita[2..0].dataa = ( counter_reg_bit[2..0].q); - counter_comb_bita[2..0].datab = ( updown_dir, updown_dir, updown_dir); - counter_comb_bita[2..0].datad = ( B"1", B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[2..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"111"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = B"1"; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_t9b.tdf b/FPGA_61.440/db/cntr_t9b.tdf deleted file mode 100644 index df50af2..0000000 --- a/FPGA_61.440/db/cntr_t9b.tdf +++ /dev/null @@ -1,86 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_direction="UP" lpm_port_updown="PORT_UNUSED" lpm_width=4 clock cnt_en q sclr ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 4 reg 4 -SUBDESIGN cntr_t9b -( - clock : input; - cnt_en : input; - q[3..0] : output; - sclr : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita2 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita3 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[3..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[3..0] : NODE; - external_cin : WIRE; - s_val[3..0] : WIRE; - safe_q[3..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[3..0].cin = ( counter_comb_bita[2..0].cout, external_cin); - counter_comb_bita[3..0].dataa = ( counter_reg_bit[3..0].q); - counter_comb_bita[3..0].datab = ( updown_dir, updown_dir, updown_dir, updown_dir); - counter_comb_bita[3..0].datad = ( B"1", B"1", B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[3..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"1111"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = B"1"; -END; ---VALID FILE diff --git a/FPGA_61.440/db/cntr_u9b.tdf b/FPGA_61.440/db/cntr_u9b.tdf deleted file mode 100644 index ababc46..0000000 --- a/FPGA_61.440/db/cntr_u9b.tdf +++ /dev/null @@ -1,91 +0,0 @@ ---lpm_counter DEVICE_FAMILY="Cyclone IV E" lpm_direction="UP" lpm_port_updown="PORT_UNUSED" lpm_width=5 clock cnt_en q sclr ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_lcell_comb (cin, dataa, datab, datac, datad) -WITH ( DONT_TOUCH, LUT_MASK, SUM_LUTC_INPUT) -RETURNS ( combout, cout); - ---synthesis_resources = lut 5 reg 5 -SUBDESIGN cntr_u9b -( - clock : input; - cnt_en : input; - q[4..0] : output; - sclr : input; -) -VARIABLE - counter_comb_bita0 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita1 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita2 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita3 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_comb_bita4 : cycloneive_lcell_comb - WITH ( - LUT_MASK = "5A90", - SUM_LUTC_INPUT = "cin" - ); - counter_reg_bit[4..0] : dffeas; - aclr_actual : WIRE; - clk_en : NODE; - data[4..0] : NODE; - external_cin : WIRE; - s_val[4..0] : WIRE; - safe_q[4..0] : WIRE; - sload : NODE; - sset : NODE; - updown_dir : WIRE; - -BEGIN - counter_comb_bita[4..0].cin = ( counter_comb_bita[3..0].cout, external_cin); - counter_comb_bita[4..0].dataa = ( counter_reg_bit[4..0].q); - counter_comb_bita[4..0].datab = ( updown_dir, updown_dir, updown_dir, updown_dir, updown_dir); - counter_comb_bita[4..0].datad = ( B"1", B"1", B"1", B"1", B"1"); - counter_reg_bit[].asdata = ((! sclr) & ((sset & s_val[]) # ((! sset) & data[]))); - counter_reg_bit[].clk = clock; - counter_reg_bit[].clrn = (! aclr_actual); - counter_reg_bit[].d = ( counter_comb_bita[4..0].combout); - counter_reg_bit[].ena = (clk_en & (((sclr # sset) # sload) # cnt_en)); - counter_reg_bit[].sload = ((sclr # sset) # sload); - aclr_actual = B"0"; - clk_en = VCC; - data[] = GND; - external_cin = B"1"; - q[] = safe_q[]; - s_val[] = B"11111"; - safe_q[] = counter_reg_bit[].q; - sload = GND; - sset = GND; - updown_dir = B"1"; -END; ---VALID FILE diff --git a/FPGA_61.440/db/decode_msa.tdf b/FPGA_61.440/db/decode_msa.tdf deleted file mode 100644 index a660949..0000000 --- a/FPGA_61.440/db/decode_msa.tdf +++ /dev/null @@ -1,49 +0,0 @@ ---lpm_decode CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_DECODES=4 LPM_WIDTH=2 data enable eq ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 4 -SUBDESIGN decode_msa -( - data[1..0] : input; - enable : input; - eq[3..0] : output; -) -VARIABLE - data_wire[1..0] : WIRE; - enable_wire : WIRE; - eq_node[3..0] : WIRE; - eq_wire[3..0] : WIRE; - w_anode19w[2..0] : WIRE; - w_anode32w[2..0] : WIRE; - w_anode40w[2..0] : WIRE; - w_anode48w[2..0] : WIRE; - -BEGIN - data_wire[] = data[]; - enable_wire = enable; - eq[] = eq_node[]; - eq_node[3..0] = eq_wire[3..0]; - eq_wire[] = ( w_anode48w[2..2], w_anode40w[2..2], w_anode32w[2..2], w_anode19w[2..2]); - w_anode19w[] = ( (w_anode19w[1..1] & (! data_wire[1..1])), (w_anode19w[0..0] & (! data_wire[0..0])), enable_wire); - w_anode32w[] = ( (w_anode32w[1..1] & (! data_wire[1..1])), (w_anode32w[0..0] & data_wire[0..0]), enable_wire); - w_anode40w[] = ( (w_anode40w[1..1] & data_wire[1..1]), (w_anode40w[0..0] & (! data_wire[0..0])), enable_wire); - w_anode48w[] = ( (w_anode48w[1..1] & data_wire[1..1]), (w_anode48w[0..0] & data_wire[0..0]), enable_wire); -END; ---VALID FILE diff --git a/FPGA_61.440/db/ip/DEBUG/DEBUG.bsf b/FPGA_61.440/db/ip/DEBUG/DEBUG.bsf deleted file mode 100644 index ee8dbb9..0000000 --- a/FPGA_61.440/db/ip/DEBUG/DEBUG.bsf +++ /dev/null @@ -1,48 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 176 104) - (text "DEBUG" (rect 66 -1 100 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 88 20 100)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "probe[11..0]" (rect 0 0 44 12)(font "Arial" (font_size 8))) - (text "probe[11..0]" (rect 4 61 76 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 64 72)(line_width 3)) - ) - (drawing - (text "probes" (rect 25 43 86 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "probe" (rect 69 67 168 144)(font "Arial" (color 0 0 0))) - (text " DEBUG " (rect 139 88 320 186)(font "Arial" )) - (line (pt 64 32)(pt 112 32)(line_width 1)) - (line (pt 112 32)(pt 112 88)(line_width 1)) - (line (pt 64 88)(pt 112 88)(line_width 1)) - (line (pt 64 32)(pt 64 88)(line_width 1)) - (line (pt 65 52)(pt 65 76)(line_width 1)) - (line (pt 66 52)(pt 66 76)(line_width 1)) - (line (pt 0 0)(pt 176 0)(line_width 1)) - (line (pt 176 0)(pt 176 104)(line_width 1)) - (line (pt 0 104)(pt 176 104)(line_width 1)) - (line (pt 0 0)(pt 0 104)(line_width 1)) - ) -) diff --git a/FPGA_61.440/db/ip/DEBUG/DEBUG.debuginfo b/FPGA_61.440/db/ip/DEBUG/DEBUG.debuginfo deleted file mode 100644 index ec9dea3..0000000 --- a/FPGA_61.440/db/ip/DEBUG/DEBUG.debuginfo +++ /dev/null @@ -1,338 +0,0 @@ - - - - - - - com.altera.sopcmodel.ensemble.EClockAdapter - HANDSHAKE - false - true - true - true - - - java.lang.String - EP4CE10E22C8 - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - - - java.lang.String - 8 - false - true - false - true - - - com.altera.sopcmodel.ensemble.Ensemble$EFabricMode - QSYS - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1617214592 - false - true - true - true - - - boolean - false - false - true - false - true - - - com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage - VERILOG - false - false - false - true - - - boolean - true - false - true - true - true - - - com.altera.sopcmodel.definition.BoundaryDefinition - - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - WOLF.qpf - false - true - false - true - - - boolean - false - false - true - false - true - - - long - 0 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - long - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - - - embeddedsw.dts.group - ignore - - - embeddedsw.dts.name - debug - - - embeddedsw.dts.vendor - altr - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - boolean - true - false - true - true - true - - - java.lang.String - YES - true - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - ADC - false - true - true - true - - - int - 12 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - 0 - false - false - true - true - - - boolean - false - false - false - true - true - - - boolean - false - false - false - true - true - - - java.lang.String - NO - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - probe - Input - 12 - probe - - - - - 1 - altera_in_system_sources_probes - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - - 18.1 - - - 1 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - 18.1 625 - 08626627EDAB0000017889805D37 - diff --git a/FPGA_61.440/db/ip/DEBUG/DEBUG.qip b/FPGA_61.440/db/ip/DEBUG/DEBUG.qip deleted file mode 100644 index 19941eb..0000000 --- a/FPGA_61.440/db/ip/DEBUG/DEBUG.qip +++ /dev/null @@ -1,41 +0,0 @@ -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "DEBUG" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../../DEBUG.sopcinfo"] -set_global_assignment -entity "DEBUG" -library "DEBUG" -name SLD_INFO "QSYS_NAME DEBUG HAS_SOPCINFO 1 GENERATION_ID 1617214592" -set_global_assignment -library "DEBUG" -name SLD_FILE [file join $::quartus(qip_path) "DEBUG.debuginfo"] -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_QSYS_MODE "STANDALONE" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -library "DEBUG" -name MISC_FILE [file join $::quartus(qip_path) "../../../DEBUG.qsys"] -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_NAME "REVCVUc=" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_DISPLAY_NAME "REVCVUc=" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_REPORT_HIERARCHY "On" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYxNzIxNDU5Mg==::QXV0byBHRU5FUkFUSU9OX0lE" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMEUyMkM4::QXV0byBERVZJQ0U=" -set_global_assignment -entity "DEBUG" -library "DEBUG" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_NAME "YWx0c291cmNlX3Byb2JlX3RvcA==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIEluLVN5c3RlbSBTb3VyY2VzICYgUHJvYmVz" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_DESCRIPTION "SW4tc3lzdGVtIHNvdXJjZXMgJiBwcm9iZXMgZGVidWdnaW5nIG1lZ2FmdW5jdGlvbi4gIFRoZSBJbi1TeXN0ZW0gU291cmNlcyBhbmQgUHJvYmVzIG1lZ2FmdW5jdGlvbiBpcwphdmFpbGFibGUgZm9yIGFsbCBBbHRlcmEgZGV2aWNlIGZhbWlsaWVzIHN1cHBvcnRlZCBieSB0aGUgUXVhcnR1cyBQcmltZSBzb2Z0d2FyZS4=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlX2ZhbWlseQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9hdXRvX2luZGV4::dHJ1ZQ==::QXV0b21hdGljIEluc3RhbmNlIEluZGV4IEFzc2lnbm1lbnQ=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_PARAMETER "c2xkX2F1dG9faW5zdGFuY2VfaW5kZXg=::WUVT::c2xkX2F1dG9faW5zdGFuY2VfaW5kZXg=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_PARAMETER "aW5zdGFuY2VfaWQ=::QURD::VGhlICdJbnN0YW5jZSBJRCcgb2YgdGhpcyBpbnN0YW5jZSAob3B0aW9uYWwp" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_PARAMETER "cHJvYmVfd2lkdGg=::MTI=::UHJvYmUgUG9ydCBXaWR0aCBbMC4uNTEyXQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_PARAMETER "c291cmNlX3dpZHRo::MA==::U291cmNlIFBvcnQgV2lkdGggWzAuLjUxMl0=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21ldGFzdGFiaWxpdHk=::Tk8=::ZW5hYmxlX21ldGFzdGFiaWxpdHk=" - -set_global_assignment -library "DEBUG" -name VERILOG_FILE [file join $::quartus(qip_path) "DEBUG.v"] -set_global_assignment -library "DEBUG" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altsource_probe_top.v"] - -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_TOOL_NAME "altera_in_system_sources_probes" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/DEBUG/DEBUG.v b/FPGA_61.440/db/ip/DEBUG/DEBUG.v deleted file mode 100644 index 506d9af..0000000 --- a/FPGA_61.440/db/ip/DEBUG/DEBUG.v +++ /dev/null @@ -1,21 +0,0 @@ -// DEBUG.v - -// Generated using ACDS version 18.1 625 - -`timescale 1 ps / 1 ps -module DEBUG ( - input wire [11:0] probe // probes.probe - ); - - altsource_probe_top #( - .sld_auto_instance_index ("YES"), - .sld_instance_index (0), - .instance_id ("ADC"), - .probe_width (12), - .source_width (0), - .enable_metastability ("NO") - ) in_system_sources_probes_0 ( - .probe (probe) // probes.probe - ); - -endmodule diff --git a/FPGA_61.440/db/ip/DEBUG/DEBUG__report.html b/FPGA_61.440/db/ip/DEBUG/DEBUG__report.html deleted file mode 100644 index 10f14aa..0000000 --- a/FPGA_61.440/db/ip/DEBUG/DEBUG__report.html +++ /dev/null @@ -1,177 +0,0 @@ - - - - - datasheet for DEBUG - - - - - - - - -
DEBUG -
-
-
- - - - - -
2021.03.31.22:16:33Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

in_system_sources_probes_0

altera_in_system_sources_probes v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
device_familyCYCLONEIVE
gui_use_auto_indextrue
sld_auto_instance_indexYES
sld_instance_index0
instance_idADC
probe_width12
source_width0
source_initial_value0
create_source_clockfalse
create_source_clock_enablefalse
enable_metastabilityNO
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,03 seconds
- - diff --git a/FPGA_61.440/db/ip/DEBUG/DEBUG__report.xml b/FPGA_61.440/db/ip/DEBUG/DEBUG__report.xml deleted file mode 100644 index 102d1c4..0000000 --- a/FPGA_61.440/db/ip/DEBUG/DEBUG__report.xml +++ /dev/null @@ -1,123 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:DEBUG "DEBUG" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - DEBUG" reuses altera_in_system_sources_probes "submodules/altsource_probe_top"]]> - queue size: 0 starting:altera_in_system_sources_probes "submodules/altsource_probe_top" - DEBUG" instantiated altera_in_system_sources_probes "in_system_sources_probes_0"]]> - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:altera_in_system_sources_probes "submodules/altsource_probe_top" - DEBUG" instantiated altera_in_system_sources_probes "in_system_sources_probes_0"]]> - - - diff --git a/FPGA_61.440/db/ip/DEBUG/submodules/altsource_probe_top.v b/FPGA_61.440/db/ip/DEBUG/submodules/altsource_probe_top.v deleted file mode 100644 index 4011e56..0000000 --- a/FPGA_61.440/db/ip/DEBUG/submodules/altsource_probe_top.v +++ /dev/null @@ -1,57 +0,0 @@ -// (C) 2001-2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -module altsource_probe_top -#( - parameter lpm_type = "altsource_probe", // required by the coding standard - parameter lpm_hint = "UNUSED", // required by the coding standard - - parameter sld_auto_instance_index = "YES", // Yes, if the instance index should be automatically assigned. - parameter sld_instance_index = 0, // unique identifier for the altsource_probe instance. - parameter sld_node_info_parameter = 4746752 + sld_instance_index, // The NODE ID to uniquely identify this node on the hub. Type ID: 9 Version: 0 Inst: 0 MFG ID 110 -- ***NOTE*** this parameter cannot be called SLD_NODE_INFO or Quartus Standard will think it's an ISSP impl. - parameter sld_ir_width = 4, - - parameter instance_id = "UNUSED", // optional name for the instance. - parameter probe_width = 1, // probe port width - parameter source_width= 1, // source port width - parameter source_initial_value = "0", // initial source port value - parameter enable_metastability = "NO" // yes to add two register -) -( - input [probe_width - 1 : 0] probe, // probe inputs - output [source_width - 1 : 0] source, // source outputs - input source_clk, // clock of the registers used to metastabilize the source output - input tri1 source_ena // enable of the registers used to metastabilize the source output -); - - altsource_probe #( - .lpm_type(lpm_type), - .lpm_hint(lpm_hint), - .sld_auto_instance_index(sld_auto_instance_index), - .sld_instance_index(sld_instance_index), - .SLD_NODE_INFO(sld_node_info_parameter), - .sld_ir_width(sld_ir_width), - .instance_id(instance_id), - .probe_width(probe_width), - .source_width(source_width), - .source_initial_value(source_initial_value), - .enable_metastability(enable_metastability) - )issp_impl - ( - .probe(probe), - .source(source), - .source_clk(source_clk), - .source_ena(source_ena) - ); - -endmodule \ No newline at end of file diff --git a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.bsf b/FPGA_61.440/db/ip/DEBUG2/DEBUG2.bsf deleted file mode 100644 index efd4145..0000000 --- a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.bsf +++ /dev/null @@ -1,48 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 208 104) - (text "DEBUG2" (rect 78 -1 116 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 88 20 100)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "probe[23..0]" (rect 0 0 47 12)(font "Arial" (font_size 8))) - (text "probe[23..0]" (rect 4 61 76 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 80 72)(line_width 3)) - ) - (drawing - (text "probes" (rect 41 43 118 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "probe" (rect 85 67 200 144)(font "Arial" (color 0 0 0))) - (text " DEBUG2 " (rect 166 88 380 186)(font "Arial" )) - (line (pt 80 32)(pt 128 32)(line_width 1)) - (line (pt 128 32)(pt 128 88)(line_width 1)) - (line (pt 80 88)(pt 128 88)(line_width 1)) - (line (pt 80 32)(pt 80 88)(line_width 1)) - (line (pt 81 52)(pt 81 76)(line_width 1)) - (line (pt 82 52)(pt 82 76)(line_width 1)) - (line (pt 0 0)(pt 208 0)(line_width 1)) - (line (pt 208 0)(pt 208 104)(line_width 1)) - (line (pt 0 104)(pt 208 104)(line_width 1)) - (line (pt 0 0)(pt 0 104)(line_width 1)) - ) -) diff --git a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.debuginfo b/FPGA_61.440/db/ip/DEBUG2/DEBUG2.debuginfo deleted file mode 100644 index 0744c13..0000000 --- a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.debuginfo +++ /dev/null @@ -1,338 +0,0 @@ - - - - - - - com.altera.sopcmodel.ensemble.EClockAdapter - HANDSHAKE - false - true - true - true - - - java.lang.String - EP4CE10E22C8 - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - - - java.lang.String - 8 - false - true - false - true - - - com.altera.sopcmodel.ensemble.Ensemble$EFabricMode - QSYS - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1617214606 - false - true - true - true - - - boolean - false - false - true - false - true - - - com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage - VERILOG - false - false - false - true - - - boolean - true - false - true - true - true - - - com.altera.sopcmodel.definition.BoundaryDefinition - - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - UA3REO.qpf - false - true - false - true - - - boolean - false - false - true - false - true - - - long - 0 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - long - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - - - embeddedsw.dts.group - ignore - - - embeddedsw.dts.name - debug - - - embeddedsw.dts.vendor - altr - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - boolean - true - false - true - true - true - - - java.lang.String - YES - true - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - DBG2 - false - true - true - true - - - int - 24 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - 0 - false - false - true - true - - - boolean - false - false - false - true - true - - - boolean - false - false - false - true - true - - - java.lang.String - NO - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - probe - Input - 24 - probe - - - - - 1 - altera_in_system_sources_probes - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - - 18.1 - - - 1 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - 18.1 625 - 08626627EDAB00000178898093A8 - diff --git a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.qip b/FPGA_61.440/db/ip/DEBUG2/DEBUG2.qip deleted file mode 100644 index 4c6a26a..0000000 --- a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.qip +++ /dev/null @@ -1,41 +0,0 @@ -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "DEBUG2" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../../DEBUG2.sopcinfo"] -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name SLD_INFO "QSYS_NAME DEBUG2 HAS_SOPCINFO 1 GENERATION_ID 1617214606" -set_global_assignment -library "DEBUG2" -name SLD_FILE [file join $::quartus(qip_path) "DEBUG2.debuginfo"] -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_QSYS_MODE "STANDALONE" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -library "DEBUG2" -name MISC_FILE [file join $::quartus(qip_path) "../../../DEBUG2.qsys"] -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_NAME "REVCVUcy" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_DISPLAY_NAME "REVCVUcy" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_REPORT_HIERARCHY "On" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYxNzIxNDYwNg==::QXV0byBHRU5FUkFUSU9OX0lE" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMEUyMkM4::QXV0byBERVZJQ0U=" -set_global_assignment -entity "DEBUG2" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_NAME "YWx0c291cmNlX3Byb2JlX3RvcA==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIEluLVN5c3RlbSBTb3VyY2VzICYgUHJvYmVz" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_DESCRIPTION "SW4tc3lzdGVtIHNvdXJjZXMgJiBwcm9iZXMgZGVidWdnaW5nIG1lZ2FmdW5jdGlvbi4gIFRoZSBJbi1TeXN0ZW0gU291cmNlcyBhbmQgUHJvYmVzIG1lZ2FmdW5jdGlvbiBpcwphdmFpbGFibGUgZm9yIGFsbCBBbHRlcmEgZGV2aWNlIGZhbWlsaWVzIHN1cHBvcnRlZCBieSB0aGUgUXVhcnR1cyBQcmltZSBzb2Z0d2FyZS4=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "ZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlX2ZhbWlseQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9hdXRvX2luZGV4::dHJ1ZQ==::QXV0b21hdGljIEluc3RhbmNlIEluZGV4IEFzc2lnbm1lbnQ=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "c2xkX2F1dG9faW5zdGFuY2VfaW5kZXg=::WUVT::c2xkX2F1dG9faW5zdGFuY2VfaW5kZXg=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "aW5zdGFuY2VfaWQ=::REJHMg==::VGhlICdJbnN0YW5jZSBJRCcgb2YgdGhpcyBpbnN0YW5jZSAob3B0aW9uYWwp" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "cHJvYmVfd2lkdGg=::MjQ=::UHJvYmUgUG9ydCBXaWR0aCBbMC4uNTEyXQ==" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "c291cmNlX3dpZHRo::MA==::U291cmNlIFBvcnQgV2lkdGggWzAuLjUxMl0=" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX21ldGFzdGFiaWxpdHk=::Tk8=::ZW5hYmxlX21ldGFzdGFiaWxpdHk=" - -set_global_assignment -library "DEBUG2" -name VERILOG_FILE [file join $::quartus(qip_path) "DEBUG2.v"] -set_global_assignment -library "DEBUG2" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altsource_probe_top.v"] - -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_TOOL_NAME "altera_in_system_sources_probes" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "altsource_probe_top" -library "DEBUG2" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.v b/FPGA_61.440/db/ip/DEBUG2/DEBUG2.v deleted file mode 100644 index 4059e1b..0000000 --- a/FPGA_61.440/db/ip/DEBUG2/DEBUG2.v +++ /dev/null @@ -1,21 +0,0 @@ -// DEBUG2.v - -// Generated using ACDS version 18.1 625 - -`timescale 1 ps / 1 ps -module DEBUG2 ( - input wire [23:0] probe // probes.probe - ); - - altsource_probe_top #( - .sld_auto_instance_index ("YES"), - .sld_instance_index (0), - .instance_id ("DBG2"), - .probe_width (24), - .source_width (0), - .enable_metastability ("NO") - ) in_system_sources_probes_0 ( - .probe (probe) // probes.probe - ); - -endmodule diff --git a/FPGA_61.440/db/ip/DEBUG2/DEBUG2__report.html b/FPGA_61.440/db/ip/DEBUG2/DEBUG2__report.html deleted file mode 100644 index 95b1135..0000000 --- a/FPGA_61.440/db/ip/DEBUG2/DEBUG2__report.html +++ /dev/null @@ -1,177 +0,0 @@ - - - - - datasheet for DEBUG2 - - - - - - - - -
DEBUG2 -
-
-
- - - - - -
2021.03.31.22:16:47Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

in_system_sources_probes_0

altera_in_system_sources_probes v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
device_familyCYCLONEIVE
gui_use_auto_indextrue
sld_auto_instance_indexYES
sld_instance_index0
instance_idDBG2
probe_width24
source_width0
source_initial_value0
create_source_clockfalse
create_source_clock_enablefalse
enable_metastabilityNO
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,03 seconds
- - diff --git a/FPGA_61.440/db/ip/DEBUG2/DEBUG2__report.xml b/FPGA_61.440/db/ip/DEBUG2/DEBUG2__report.xml deleted file mode 100644 index c13c415..0000000 --- a/FPGA_61.440/db/ip/DEBUG2/DEBUG2__report.xml +++ /dev/null @@ -1,123 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:DEBUG2 "DEBUG2" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - DEBUG2" reuses altera_in_system_sources_probes "submodules/altsource_probe_top"]]> - queue size: 0 starting:altera_in_system_sources_probes "submodules/altsource_probe_top" - DEBUG2" instantiated altera_in_system_sources_probes "in_system_sources_probes_0"]]> - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:altera_in_system_sources_probes "submodules/altsource_probe_top" - DEBUG2" instantiated altera_in_system_sources_probes "in_system_sources_probes_0"]]> - - - diff --git a/FPGA_61.440/db/ip/DEBUG2/submodules/altsource_probe_top.v b/FPGA_61.440/db/ip/DEBUG2/submodules/altsource_probe_top.v deleted file mode 100644 index 4011e56..0000000 --- a/FPGA_61.440/db/ip/DEBUG2/submodules/altsource_probe_top.v +++ /dev/null @@ -1,57 +0,0 @@ -// (C) 2001-2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -module altsource_probe_top -#( - parameter lpm_type = "altsource_probe", // required by the coding standard - parameter lpm_hint = "UNUSED", // required by the coding standard - - parameter sld_auto_instance_index = "YES", // Yes, if the instance index should be automatically assigned. - parameter sld_instance_index = 0, // unique identifier for the altsource_probe instance. - parameter sld_node_info_parameter = 4746752 + sld_instance_index, // The NODE ID to uniquely identify this node on the hub. Type ID: 9 Version: 0 Inst: 0 MFG ID 110 -- ***NOTE*** this parameter cannot be called SLD_NODE_INFO or Quartus Standard will think it's an ISSP impl. - parameter sld_ir_width = 4, - - parameter instance_id = "UNUSED", // optional name for the instance. - parameter probe_width = 1, // probe port width - parameter source_width= 1, // source port width - parameter source_initial_value = "0", // initial source port value - parameter enable_metastability = "NO" // yes to add two register -) -( - input [probe_width - 1 : 0] probe, // probe inputs - output [source_width - 1 : 0] source, // source outputs - input source_clk, // clock of the registers used to metastabilize the source output - input tri1 source_ena // enable of the registers used to metastabilize the source output -); - - altsource_probe #( - .lpm_type(lpm_type), - .lpm_hint(lpm_hint), - .sld_auto_instance_index(sld_auto_instance_index), - .sld_instance_index(sld_instance_index), - .SLD_NODE_INFO(sld_node_info_parameter), - .sld_ir_width(sld_ir_width), - .instance_id(instance_id), - .probe_width(probe_width), - .source_width(source_width), - .source_initial_value(source_initial_value), - .enable_metastability(enable_metastability) - )issp_impl - ( - .probe(probe), - .source(source), - .source_clk(source_clk), - .source_ena(source_ena) - ); - -endmodule \ No newline at end of file diff --git a/FPGA_61.440/db/ip/WOLF-LITE__master__.qip b/FPGA_61.440/db/ip/WOLF-LITE__master__.qip deleted file mode 100644 index 316ede9..0000000 --- a/FPGA_61.440/db/ip/WOLF-LITE__master__.qip +++ /dev/null @@ -1,7 +0,0 @@ -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) clock_buffer/clock_buffer.qip] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) rx_cic/rx_cic.qip] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) tx_cic/tx_cic.qip] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) tx_nco/tx_nco.qip] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) nco/nco.qip] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) DEBUG/DEBUG.qip] -set_global_assignment -name QIP_FILE [file join $::quartus(qip_path) DEBUG2/DEBUG2.qip] diff --git a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.bsf b/FPGA_61.440/db/ip/clock_buffer/clock_buffer.bsf deleted file mode 100644 index 07b8201..0000000 --- a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.bsf +++ /dev/null @@ -1,59 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 272 104) - (text "clock_buffer" (rect 99 -1 149 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 88 20 100)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "inclk" (rect 0 0 16 12)(font "Arial" (font_size 8))) - (text "inclk" (rect 4 61 34 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 96 72)(line_width 1)) - ) - (port - (pt 272 72) - (output) - (text "outclk" (rect 0 0 22 12)(font "Arial" (font_size 8))) - (text "outclk" (rect 242 61 278 72)(font "Arial" (font_size 8))) - (line (pt 272 72)(pt 160 72)(line_width 1)) - ) - (drawing - (text "altclkctrl_input" (rect 13 43 122 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "inclk" (rect 101 67 232 144)(font "Arial" (color 0 0 0))) - (text "altclkctrl_output" (rect 161 43 424 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "outclk" (rect 132 67 300 144)(font "Arial" (color 0 0 0))) - (text " clock_buffer " (rect 214 88 512 186)(font "Arial" )) - (line (pt 96 32)(pt 160 32)(line_width 1)) - (line (pt 160 32)(pt 160 88)(line_width 1)) - (line (pt 96 88)(pt 160 88)(line_width 1)) - (line (pt 96 32)(pt 96 88)(line_width 1)) - (line (pt 97 52)(pt 97 76)(line_width 1)) - (line (pt 98 52)(pt 98 76)(line_width 1)) - (line (pt 159 52)(pt 159 76)(line_width 1)) - (line (pt 158 52)(pt 158 76)(line_width 1)) - (line (pt 0 0)(pt 272 0)(line_width 1)) - (line (pt 272 0)(pt 272 104)(line_width 1)) - (line (pt 0 104)(pt 272 104)(line_width 1)) - (line (pt 0 0)(pt 0 104)(line_width 1)) - ) -) diff --git a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.debuginfo b/FPGA_61.440/db/ip/clock_buffer/clock_buffer.debuginfo deleted file mode 100644 index f153eff..0000000 --- a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.debuginfo +++ /dev/null @@ -1,339 +0,0 @@ - - - - - - - com.altera.sopcmodel.ensemble.EClockAdapter - HANDSHAKE - false - true - true - true - - - java.lang.String - EP4CE10E22C8 - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - - - java.lang.String - 8 - false - true - false - true - - - com.altera.sopcmodel.ensemble.Ensemble$EFabricMode - QSYS - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1617214510 - false - true - true - true - - - boolean - false - false - true - false - true - - - com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage - VERILOG - false - false - false - true - - - boolean - true - false - true - true - true - - - com.altera.sopcmodel.definition.BoundaryDefinition - - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - - false - true - false - true - - - boolean - false - false - true - false - true - - - long - 0 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - long - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - false - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - ui.blockdiagram.direction - input - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - inclk - Input - 1 - inclk - - - - - - ui.blockdiagram.direction - output - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - outclk - Output - 1 - outclk - - - - - 1 - altclkctrl - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - ALTCLKCTRL Intel FPGA IP - 18.1 - - - 2 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - 18.1 625 - 08626627EDAB00000178897F1C78 - diff --git a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.qip b/FPGA_61.440/db/ip/clock_buffer/clock_buffer.qip deleted file mode 100644 index 39a38b6..0000000 --- a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.qip +++ /dev/null @@ -1,38 +0,0 @@ -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "clock_buffer" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../../clock_buffer.sopcinfo"] -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name SLD_INFO "QSYS_NAME clock_buffer HAS_SOPCINFO 1 GENERATION_ID 1617214510" -set_global_assignment -library "clock_buffer" -name SLD_FILE [file join $::quartus(qip_path) "clock_buffer.debuginfo"] -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_QSYS_MODE "STANDALONE" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -library "clock_buffer" -name MISC_FILE [file join $::quartus(qip_path) "../../../clock_buffer.qsys"] -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_NAME "Y2xvY2tfYnVmZmVy" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_DISPLAY_NAME "Y2xvY2tfYnVmZmVy" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_REPORT_HIERARCHY "On" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYxNzIxNDUxMA==::QXV0byBHRU5FUkFUSU9OX0lE" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMEUyMkM4::QXV0byBERVZJQ0U=" -set_global_assignment -entity "clock_buffer" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_NAME "Y2xvY2tfYnVmZmVyX2FsdGNsa2N0cmxfMA==" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_DISPLAY_NAME "QUxUQ0xLQ1RSTCBJbnRlbCBGUEdBIElQ" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBJViBF::RGV2aWNlIEZhbWlseQ==" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfVFlQRQ==::MQ==::SG93IGRvIHlvdSB3YW50IHRvIHVzZSB0aGUgQUxUQ0xLQ1RSTD8=" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "TlVNQkVSX09GX0NMT0NLUw==::MQ==::SG93IG1hbnkgY2xvY2sgaW5wdXRzIHdvdWxkIHlvdSBsaWtlPw==" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "R1VJX1VTRV9FTkE=::ZmFsc2U=::Q3JlYXRlICdlbmEnIHBvcnQgdG8gZW5hYmxlIG9yIGRpc2FibGUgdGhlIGNsb2NrIG5ldHdvcmsgZHJpdmVuIGJ5IHRoaXMgYnVmZmVyPw==" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_COMPONENT_PARAMETER "VVNFX0dMSVRDSF9GUkVFX1NXSVRDSF9PVkVSX0lNUExFTUVOVEFUSU9O::ZmFsc2U=::RW5zdXJlIGdsaXRjaC1mcmVlIHN3aXRjaG92ZXIgaW1wbGVtZW50YXRpb24=" - -set_global_assignment -library "clock_buffer" -name VERILOG_FILE [file join $::quartus(qip_path) "clock_buffer.v"] -set_global_assignment -library "clock_buffer" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/clock_buffer_altclkctrl_0.v"] - -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_TOOL_NAME "altclkctrl" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "clock_buffer_altclkctrl_0" -library "clock_buffer" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.v b/FPGA_61.440/db/ip/clock_buffer/clock_buffer.v deleted file mode 100644 index 593f41c..0000000 --- a/FPGA_61.440/db/ip/clock_buffer/clock_buffer.v +++ /dev/null @@ -1,16 +0,0 @@ -// clock_buffer.v - -// Generated using ACDS version 18.1 625 - -`timescale 1 ps / 1 ps -module clock_buffer ( - input wire inclk, // altclkctrl_input.inclk - output wire outclk // altclkctrl_output.outclk - ); - - clock_buffer_altclkctrl_0 altclkctrl_0 ( - .inclk (inclk), // altclkctrl_input.inclk - .outclk (outclk) // altclkctrl_output.outclk - ); - -endmodule diff --git a/FPGA_61.440/db/ip/clock_buffer/clock_buffer__report.html b/FPGA_61.440/db/ip/clock_buffer/clock_buffer__report.html deleted file mode 100644 index 7961eb0..0000000 --- a/FPGA_61.440/db/ip/clock_buffer/clock_buffer__report.html +++ /dev/null @@ -1,157 +0,0 @@ - - - - - datasheet for clock_buffer - - - - - - - - -
clock_buffer -
-
-
- - - - - -
2021.03.31.22:15:11Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

altclkctrl_0

altclkctrl v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
DEVICE_FAMILYCYCLONEIVE
CLOCK_TYPE1
NUMBER_OF_CLOCKS1
ENA_REGISTER_MODE1
GUI_USE_ENAfalse
USE_GLITCH_FREE_SWITCH_OVER_IMPLEMENTATIONfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,05 seconds
- - diff --git a/FPGA_61.440/db/ip/clock_buffer/clock_buffer__report.xml b/FPGA_61.440/db/ip/clock_buffer/clock_buffer__report.xml deleted file mode 100644 index 2c3c7ca..0000000 --- a/FPGA_61.440/db/ip/clock_buffer/clock_buffer__report.xml +++ /dev/null @@ -1,129 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:clock_buffer "clock_buffer" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - clock_buffer" reuses altclkctrl "submodules/clock_buffer_altclkctrl_0"]]> - queue size: 0 starting:altclkctrl "submodules/clock_buffer_altclkctrl_0" - Generating top-level entity clock_buffer_altclkctrl_0. - Current quartus bindir: C:/intelfpga/18.1/quartus/bin64/. - clock_buffer" instantiated altclkctrl "altclkctrl_0"]]> - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:altclkctrl "submodules/clock_buffer_altclkctrl_0" - Generating top-level entity clock_buffer_altclkctrl_0. - Current quartus bindir: C:/intelfpga/18.1/quartus/bin64/. - clock_buffer" instantiated altclkctrl "altclkctrl_0"]]> - - - diff --git a/FPGA_61.440/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v b/FPGA_61.440/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v deleted file mode 100644 index 30c4f3b..0000000 --- a/FPGA_61.440/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v +++ /dev/null @@ -1,114 +0,0 @@ -//altclkctrl CBX_SINGLE_OUTPUT_FILE="ON" CLOCK_TYPE="Global Clock" DEVICE_FAMILY="Cyclone IV E" ENA_REGISTER_MODE="falling edge" USE_GLITCH_FREE_SWITCH_OVER_IMPLEMENTATION="OFF" ena inclk outclk -//VERSION_BEGIN 18.1 cbx_altclkbuf 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ VERSION_END -// synthesis VERILOG_INPUT_VERSION VERILOG_2001 -// altera message_off 10463 - - - -// Copyright (C) 2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details. - - - -//synthesis_resources = clkctrl 1 -//synopsys translate_off -`timescale 1 ps / 1 ps -//synopsys translate_on -module clock_buffer_altclkctrl_0_sub - ( - ena, - inclk, - outclk) /* synthesis synthesis_clearbox=1 */; - input ena; - input [3:0] inclk; - output outclk; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri1 ena; - tri0 [3:0] inclk; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - - wire wire_clkctrl1_outclk; - wire [1:0] clkselect; - wire [1:0] clkselect_wire; - wire [3:0] inclk_wire; - - cycloneive_clkctrl clkctrl1 - ( - .clkselect(clkselect_wire), - .ena(ena), - .inclk(inclk_wire), - .outclk(wire_clkctrl1_outclk) - // synopsys translate_off - , - .devclrn(1'b1), - .devpor(1'b1) - // synopsys translate_on - ); - defparam - clkctrl1.clock_type = "Global Clock", - clkctrl1.ena_register_mode = "falling edge", - clkctrl1.lpm_type = "cycloneive_clkctrl"; - assign - clkselect = {2{1'b0}}, - clkselect_wire = {clkselect}, - inclk_wire = {inclk}, - outclk = wire_clkctrl1_outclk; -endmodule //clock_buffer_altclkctrl_0_sub -//VALID FILE // (C) 2001-2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module clock_buffer_altclkctrl_0 ( - inclk, - outclk); - - input inclk; - output outclk; - - wire sub_wire0; - wire outclk; - wire sub_wire1; - wire sub_wire2; - wire [3:0] sub_wire3; - wire [2:0] sub_wire4; - - assign outclk = sub_wire0; - assign sub_wire1 = 1'h1; - assign sub_wire2 = inclk; - assign sub_wire3[3:0] = {sub_wire4, sub_wire2}; - assign sub_wire4[2:0] = 3'h0; - - clock_buffer_altclkctrl_0_sub clock_buffer_altclkctrl_0_sub_component ( - .ena (sub_wire1), - .inclk (sub_wire3), - .outclk (sub_wire0)); - -endmodule \ No newline at end of file diff --git a/FPGA_61.440/db/ip/nco/nco.bsf b/FPGA_61.440/db/ip/nco/nco.bsf deleted file mode 100644 index 62902af..0000000 --- a/FPGA_61.440/db/ip/nco/nco.bsf +++ /dev/null @@ -1,105 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 256 200) - (text "nco" (rect 118 -1 132 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 184 20 196)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "clk" (rect 0 0 10 12)(font "Arial" (font_size 8))) - (text "clk" (rect 4 61 22 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 80 72)(line_width 1)) - ) - (port - (pt 0 112) - (input) - (text "clken" (rect 0 0 20 12)(font "Arial" (font_size 8))) - (text "clken" (rect 4 101 34 112)(font "Arial" (font_size 8))) - (line (pt 0 112)(pt 80 112)(line_width 1)) - ) - (port - (pt 0 128) - (input) - (text "phi_inc_i[21..0]" (rect 0 0 57 12)(font "Arial" (font_size 8))) - (text "phi_inc_i[21..0]" (rect 4 117 100 128)(font "Arial" (font_size 8))) - (line (pt 0 128)(pt 80 128)(line_width 3)) - ) - (port - (pt 0 168) - (input) - (text "reset_n" (rect 0 0 30 12)(font "Arial" (font_size 8))) - (text "reset_n" (rect 4 157 46 168)(font "Arial" (font_size 8))) - (line (pt 0 168)(pt 80 168)(line_width 1)) - ) - (port - (pt 256 72) - (output) - (text "fsin_o[11..0]" (rect 0 0 47 12)(font "Arial" (font_size 8))) - (text "fsin_o[11..0]" (rect 196 61 274 72)(font "Arial" (font_size 8))) - (line (pt 256 72)(pt 176 72)(line_width 3)) - ) - (port - (pt 256 88) - (output) - (text "fcos_o[11..0]" (rect 0 0 50 12)(font "Arial" (font_size 8))) - (text "fcos_o[11..0]" (rect 192 77 270 88)(font "Arial" (font_size 8))) - (line (pt 256 88)(pt 176 88)(line_width 3)) - ) - (port - (pt 256 104) - (output) - (text "out_valid" (rect 0 0 35 12)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 264 104)(font "Arial" (font_size 8))) - (line (pt 256 104)(pt 176 104)(line_width 1)) - ) - (drawing - (text "clk" (rect 65 43 148 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clk" (rect 85 67 188 144)(font "Arial" (color 0 0 0))) - (text "in" (rect 71 83 154 179)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clken" (rect 85 107 200 224)(font "Arial" (color 0 0 0))) - (text "phi_inc_i" (rect 85 123 224 256)(font "Arial" (color 0 0 0))) - (text "out" (rect 177 43 372 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "fsin_o" (rect 146 67 328 144)(font "Arial" (color 0 0 0))) - (text "fcos_o" (rect 143 83 322 176)(font "Arial" (color 0 0 0))) - (text "out_valid" (rect 134 99 322 208)(font "Arial" (color 0 0 0))) - (text "rst" (rect 65 139 148 291)(font "Arial" (color 128 0 0)(font_size 9))) - (text "reset_n" (rect 85 163 212 336)(font "Arial" (color 0 0 0))) - (text " nco " (rect 238 184 506 378)(font "Arial" )) - (line (pt 80 32)(pt 176 32)(line_width 1)) - (line (pt 176 32)(pt 176 184)(line_width 1)) - (line (pt 80 184)(pt 176 184)(line_width 1)) - (line (pt 80 32)(pt 80 184)(line_width 1)) - (line (pt 81 52)(pt 81 76)(line_width 1)) - (line (pt 82 52)(pt 82 76)(line_width 1)) - (line (pt 81 92)(pt 81 132)(line_width 1)) - (line (pt 82 92)(pt 82 132)(line_width 1)) - (line (pt 175 52)(pt 175 108)(line_width 1)) - (line (pt 174 52)(pt 174 108)(line_width 1)) - (line (pt 81 148)(pt 81 172)(line_width 1)) - (line (pt 82 148)(pt 82 172)(line_width 1)) - (line (pt 0 0)(pt 256 0)(line_width 1)) - (line (pt 256 0)(pt 256 200)(line_width 1)) - (line (pt 0 200)(pt 256 200)(line_width 1)) - (line (pt 0 0)(pt 0 200)(line_width 1)) - ) -) diff --git a/FPGA_61.440/db/ip/nco/nco.debuginfo b/FPGA_61.440/db/ip/nco/nco.debuginfo deleted file mode 100644 index 745a9b1..0000000 --- a/FPGA_61.440/db/ip/nco/nco.debuginfo +++ /dev/null @@ -1,624 +0,0 @@ - - - - - - - com.altera.sopcmodel.ensemble.EClockAdapter - HANDSHAKE - false - true - true - true - - - java.lang.String - EP4CE10E22C8 - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - - - java.lang.String - 8 - false - true - false - true - - - com.altera.sopcmodel.ensemble.Ensemble$EFabricMode - QSYS - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1617214577 - false - true - true - true - - - boolean - false - false - true - false - true - - - com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage - VERILOG - false - false - false - true - - - boolean - true - false - true - true - true - - - com.altera.sopcmodel.definition.BoundaryDefinition - - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - WOLF-LITE.qpf - false - true - false - true - - - boolean - false - false - true - false - true - - - long - 0 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - long - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - - - java.lang.String - NATIVE - false - true - false - true - DESIGN_ENVIRONMENT - - - java.lang.String - trig - false - true - true - true - - - java.lang.String - dual_output - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - true - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - DEVICE_FAMILY - - - int - 22 - false - true - true - true - - - int - 22 - false - true - true - true - - - int - 12 - false - true - true - true - - - java.lang.String - parallel - false - true - false - true - - - int - 1 - false - true - true - true - - - int - 1 - true - true - false - true - - - boolean - false - false - true - true - true - - - int - 10 - false - false - true - true - - - double - 61.44 - false - true - true - true - - - double - 7.1 - false - true - true - true - - - long - 484693 - true - true - true - true - - - double - 7.1 - true - true - true - true - - - boolean - false - false - true - true - true - - - int - 32 - false - false - true - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - true - true - - - int - 16 - false - false - true - true - - - int - 1 - false - false - true - true - - - boolean - false - true - true - false - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - rst - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - clken - Input - 1 - clken - - - phi_inc_i - Input - 22 - phi_inc_i - - - - - - ui.blockdiagram.direction - OUTPUT - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - rst - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - fsin_o - Output - 12 - fsin_o - - - fcos_o - Output - 12 - fcos_o - - - out_valid - Output - 1 - out_valid - - - - - 1 - altera_nco_ii - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - NCO - 18.1 - - - 1 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 18.1 - - - 1 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input - 18.1 - - - 2 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - 18.1 625 - 08626627EDAB000001788980232B - diff --git a/FPGA_61.440/db/ip/nco/nco.qip b/FPGA_61.440/db/ip/nco/nco.qip deleted file mode 100644 index 5c1caaa..0000000 --- a/FPGA_61.440/db/ip/nco/nco.qip +++ /dev/null @@ -1,75 +0,0 @@ -set_global_assignment -entity "nco" -library "nco" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "nco" -library "nco" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "nco" -library "nco" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "nco" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../../nco.sopcinfo"] -set_global_assignment -entity "nco" -library "nco" -name SLD_INFO "QSYS_NAME nco HAS_SOPCINFO 1 GENERATION_ID 1617214577" -set_global_assignment -library "nco" -name SLD_FILE [file join $::quartus(qip_path) "nco.debuginfo"] -set_global_assignment -entity "nco" -library "nco" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "nco" -library "nco" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -entity "nco" -library "nco" -name IP_QSYS_MODE "STANDALONE" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -library "nco" -name MISC_FILE [file join $::quartus(qip_path) "../../../nco.qsys"] -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_NAME "bmNv" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_DISPLAY_NAME "bmNv" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_REPORT_HIERARCHY "On" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYxNzIxNDU3Nw==::QXV0byBHRU5FUkFUSU9OX0lE" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMEUyMkM4::QXV0byBERVZJQ0U=" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "nco" -library "nco" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4=" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_NAME "bmNvX25jb19paV8w" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_DISPLAY_NAME "TkNP" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIE51bWVyaWNhbGx5IENvbnRyb2xsZWQgT3NjaWxsYXRvcg==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "ZGVzaWduX2Vudg==::TkFUSVZF::ZGVzaWduX2Vudg==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "YXJjaA==::dHJpZw==::R2VuZXJhdGlvbiBBbGdvcml0aG0=" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "d2FudF9zaW5fYW5kX2Nvcw==::ZHVhbF9vdXRwdXQ=::T3V0cHV0cw==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "bnVtY2g=::MQ==::TnVtYmVyIG9mIENoYW5uZWxz" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "bnVtYmE=::MQ==::TnVtYmVyIG9mIEJhbmRz" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "dXNlX2RlZGljYXRlZF9tdWx0aXBsaWVycw==::dHJ1ZQ==::VXNlIGRlZGljYXRlZCBtdWx0aXBsaWVycw==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBJViBF::RGV2aWNlIEZhbWlseQ==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "YXBy::MjI=::UGhhc2UgQWNjdW11bGF0b3IgUHJlY2lzaW9u" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "YXByaQ==::MjI=::QW5ndWxhciBSZXNvbHV0aW9u" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "bXBy::MTI=::TWFnbml0dWRlIFJlc29sdXRpb24=" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "Y29yZGljX2FyY2g=::cGFyYWxsZWw=::Q09SRElDIEFyY2hpdGVjdHVyZQ==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "dHJpZ19jeWNsZXNfcGVyX291dHB1dA==::MQ==::Q2xvY2sgY3ljbGVzIHBlciBvdXRwdXQ=" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "Y3ljbGVzX3Blcl9vdXRwdXQ=::MQ==::Q2xvY2sgY3ljbGVzIHBlciBvdXRwdXQ=" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "d2FudF9kaXRoZXI=::ZmFsc2U=::SW1wbGVtZW50IFBoYXNlIERpdGhlcmluZw==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "ZnNhbXA=::NjEuNDQ=::Q2xvY2sgUmF0ZQ==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "ZnJlcV9vdXQ=::Ny4x::RGVzaXJlZCBPdXRwdXQgRnJlcXVlbmN5" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "cGhpX2luYw==::NDg0Njkz::UGhhc2UgSW5jcmVtZW50IFZhbHVl" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "cmVhbF9mcmVxX291dA==::Ny4x::UmVhbCBPdXRwdXQgRnJlcXVlbmN5" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "d2FudF9mcmVxX21vZA==::ZmFsc2U=::RnJlcXVlbmN5IE1vZHVsYXRpb24gSW5wdXQ=" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "d2FudF9waGFzZV9tb2Q=::ZmFsc2U=::UGhhc2UgTW9kdWxhdGlvbiBJbnB1dA==" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0::ZmFsc2U=::aHlwZXJfb3B0" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0X3NlbGVjdA==::ZmFsc2U=::T3B0aW1pemUgZm9yIFN0cmF0aXggMTA=" - -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "nco.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_madx_cen.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_mady_cen.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_isdr.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_mob_w.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_as_m_dp_cen.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_as_m_cen.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_altqmcpipe.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_gam_dp.v"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_derot.v"] -set_global_assignment -library "nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nco_nco_ii_0_sin_c.hex"] -set_global_assignment -library "nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nco_nco_ii_0_cos_c.hex"] -set_global_assignment -library "nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nco_nco_ii_0_sin_f.hex"] -set_global_assignment -library "nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/nco_nco_ii_0_cos_f.hex"] -set_global_assignment -library "nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/nco_nco_ii_0.v"] -set_global_assignment -library "nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/asj_altq.ocp"] -set_global_assignment -library "nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/asj_altqmcash.ocp"] -set_global_assignment -library "nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/asj_altqmcpipe.ocp"] - -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_TOOL_NAME "altera_nco_ii" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "nco_nco_ii_0" -library "nco" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/nco/nco.v b/FPGA_61.440/db/ip/nco/nco.v deleted file mode 100644 index e93ab48..0000000 --- a/FPGA_61.440/db/ip/nco/nco.v +++ /dev/null @@ -1,26 +0,0 @@ -// nco.v - -// Generated using ACDS version 18.1 625 - -`timescale 1 ps / 1 ps -module nco ( - input wire clk, // clk.clk - input wire clken, // in.clken - input wire [21:0] phi_inc_i, // .phi_inc_i - output wire [11:0] fsin_o, // out.fsin_o - output wire [11:0] fcos_o, // .fcos_o - output wire out_valid, // .out_valid - input wire reset_n // rst.reset_n - ); - - nco_nco_ii_0 nco_ii_0 ( - .clk (clk), // clk.clk - .reset_n (reset_n), // rst.reset_n - .clken (clken), // in.clken - .phi_inc_i (phi_inc_i), // .phi_inc_i - .fsin_o (fsin_o), // out.fsin_o - .fcos_o (fcos_o), // .fcos_o - .out_valid (out_valid) // .out_valid - ); - -endmodule diff --git a/FPGA_61.440/db/ip/nco/nco__report.html b/FPGA_61.440/db/ip/nco/nco__report.html deleted file mode 100644 index 6e20e25..0000000 --- a/FPGA_61.440/db/ip/nco/nco__report.html +++ /dev/null @@ -1,241 +0,0 @@ - - - - - datasheet for nco - - - - - - - - -
nco -
-
-
- - - - - -
2021.03.31.22:16:18Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

nco_ii_0

altera_nco_ii v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
design_envNATIVE
archtrig
want_sin_and_cosdual_output
numch1
numba1
use_dedicated_multiplierstrue
selected_device_familyCYCLONEIVE
apr22
apri22
mpr12
cordic_archparallel
trig_cycles_per_output1
cycles_per_output1
want_ditherfalse
dpri10
fsamp61.44
freq_out7.1
phi_inc484693
real_freq_out7.1
want_freq_modfalse
aprf32
fmod_pipe1
want_phase_modfalse
aprp16
pmod_pipe1
hyper_optfalse
hyper_opt_selectfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,03 seconds
- - diff --git a/FPGA_61.440/db/ip/nco/nco__report.xml b/FPGA_61.440/db/ip/nco/nco__report.xml deleted file mode 100644 index 95fe4ad..0000000 --- a/FPGA_61.440/db/ip/nco/nco__report.xml +++ /dev/null @@ -1,313 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:nco "nco" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - nco" reuses altera_nco_ii "submodules/nco_nco_ii_0"]]> - queue size: 0 starting:altera_nco_ii "submodules/nco_nco_ii_0" - nco" instantiated altera_nco_ii "nco_ii_0"]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:altera_nco_ii "submodules/nco_nco_ii_0" - nco" instantiated altera_nco_ii "nco_ii_0"]]> - - - diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_altq.ocp b/FPGA_61.440/db/ip/nco/submodules/asj_altq.ocp deleted file mode 100644 index 1bd2b88..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_altq.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_altqmcash.ocp b/FPGA_61.440/db/ip/nco/submodules/asj_altqmcash.ocp deleted file mode 100644 index ccc465c..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_altqmcash.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_altqmcpipe.ocp b/FPGA_61.440/db/ip/nco/submodules/asj_altqmcpipe.ocp deleted file mode 100644 index 9cae72b..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_altqmcpipe.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_altqmcpipe.v b/FPGA_61.440/db/ip/nco/submodules/asj_altqmcpipe.v deleted file mode 100644 index 36513b7..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_altqmcpipe.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_gam_dp.v b/FPGA_61.440/db/ip/nco/submodules/asj_gam_dp.v deleted file mode 100644 index 9664a86..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_gam_dp.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_nco_as_m_cen.v b/FPGA_61.440/db/ip/nco/submodules/asj_nco_as_m_cen.v deleted file mode 100644 index 9a264e0..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_nco_as_m_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v b/FPGA_61.440/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v deleted file mode 100644 index 7c3b46c..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_nco_derot.v b/FPGA_61.440/db/ip/nco/submodules/asj_nco_derot.v deleted file mode 100644 index cf2428b..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_nco_derot.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_nco_isdr.v b/FPGA_61.440/db/ip/nco/submodules/asj_nco_isdr.v deleted file mode 100644 index 0017f76..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_nco_isdr.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_nco_madx_cen.v b/FPGA_61.440/db/ip/nco/submodules/asj_nco_madx_cen.v deleted file mode 100644 index 2590a31..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_nco_madx_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_nco_mady_cen.v b/FPGA_61.440/db/ip/nco/submodules/asj_nco_mady_cen.v deleted file mode 100644 index 20fdefe..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_nco_mady_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/asj_nco_mob_w.v b/FPGA_61.440/db/ip/nco/submodules/asj_nco_mob_w.v deleted file mode 100644 index 39e5e62..0000000 Binary files a/FPGA_61.440/db/ip/nco/submodules/asj_nco_mob_w.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0.v b/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0.v deleted file mode 100644 index baa21df..0000000 --- a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0.v +++ /dev/null @@ -1,435 +0,0 @@ -// Copyright (C) 1988-2012 Altera Corporation - -// Any megafunction design, and related net list (encrypted or decrypted), -// support information, device programming or simulation file, and any other -// associated documentation or information provided by Altera or a partner -// under Altera's Megafunction Partnership Program may be used only to -// program PLD devices (but not masked PLD devices) from Altera. Any other -// use of such megafunction design, net list, support information, device -// programming or simulation file, or any other related documentation or -// information is prohibited for any other purpose, including, but not -// limited to modification, reverse engineering, de-compiling, or use with -// any other silicon devices, unless such use is explicitly licensed under -// a separate agreement with Altera or a megafunction partner. Title to -// the intellectual property, including patents, copyrights, trademarks, -// trade secrets, or maskworks, embodied in any such megafunction design, -// net list, support information, device programming or simulation file, or -// any other related documentation or information provided by Altera or a -// megafunction partner, remains with Altera, the megafunction partner, or -// their respective licensors. No other licenses, including any licenses -// needed under any third party's intellectual property, are provided herein. - - -module nco_nco_ii_0(clk, reset_n, clken, phi_inc_i, fsin_o, fcos_o, out_valid); - -parameter mpr = 12; -parameter opr = 24; -parameter apr = 22; -parameter apri= 22; -parameter aprf= 32; -parameter aprp= 16; -parameter aprid=27; -parameter dpri= 10; -parameter rdw = 12; -parameter rawc = 11; -parameter rnwc = 2048; -parameter rawf = 11; -parameter rnwf = 2048; -parameter Pn = 1048576; -parameter mxnbc = 24576; -parameter mxnbf = 24576; -parameter rsfc = "nco_nco_ii_0_sin_c.hex"; -parameter rsff = "nco_nco_ii_0_sin_f.hex"; -parameter rcfc = "nco_nco_ii_0_cos_c.hex"; -parameter rcff = "nco_nco_ii_0_cos_f.hex"; -parameter nc = 1; -parameter log2nc =0; -parameter outselinit = 0; -parameter paci0= 0; -parameter paci1= 0; -parameter paci2= 0; -parameter paci3= 0; -parameter paci4= 0; -parameter paci5= 0; -parameter paci6= 0; -parameter paci7= 0; -//parameter numba = 1; -//parameter log2numba = 0; - -input clk; -input reset_n; -input clken; -input [apr-1:0] phi_inc_i; - -output [mpr-1:0] fsin_o; -output [mpr-1:0] fcos_o; -output out_valid; -wire reset; -assign reset = !reset_n; - -wire [apr-1:0] phi_inc_i_w; -wire [apr-1:0] phi_acc_w; -wire [mpr-1:0] rfx_s; -wire [mpr-1:0] rcx_s; -wire [mpr-1:0] rfx_c; -wire [mpr-1:0] rcx_c; -wire [mpr-1:0] rfy_s; -wire [mpr-1:0] rcy_s; -wire [mpr-1:0] rfy_c; -wire [mpr-1:0] rcy_c; -wire [rawc-1:0] raxxx001ms; -wire [rawc-1:0] raxxx001mc; -wire [rawc-1:0] raxxx000m; -wire [rawf-1:0] raxxx000l; -wire [rawc-1:0] raxxx001m; -wire [rawf-1:0] raxxx001l; -wire [opr-1:0] result_i; -wire [opr-1:0] result_r; -wire [mpr-1:0] fsin_o_w; -wire [mpr-1:0] fcos_o_w; -wire out_valid_w; - -//Pipelining for Hyper Retimer starts from here -parameter hyper_pipeline = 0; -integer i; - -reg [1-1:0] reset_reg [3-1:0]; -wire [1-1:0] reset_pipelined; -reg [1-1:0] clken_reg [3-1:0]; -wire [1-1:0] clken_pipelined; -reg [apr-1:0] phi_inc_i_reg [3-1:0]; -wire [apr-1:0] phi_inc_i_pipelined; -reg [1-1:0] out_valid_w_reg [2-1:0]; -wire [1-1:0] out_valid_w_pipelined; -reg [mpr-1:0] fsin_o_w_reg [2-1:0]; -wire [mpr-1:0] fsin_o_w_pipelined; -reg [opr-1:0] result_i_reg [1-1:0]; -wire [opr-1:0] result_i_pipelined; -reg [mpr-1:0] fcos_o_w_reg [2-1:0]; -wire [mpr-1:0] fcos_o_w_pipelined; -reg [opr-1:0] result_r_reg [1-1:0]; -wire [opr-1:0] result_r_pipelined; -reg [mpr-1:0] rcx_c_reg [2-1:0]; -wire [mpr-1:0] rcx_c_pipelined; -reg [mpr-1:0] rfx_c_reg [2-1:0]; -wire [mpr-1:0] rfx_c_pipelined; -reg [mpr-1:0] rcx_s_reg [2-1:0]; -wire [mpr-1:0] rcx_s_pipelined; -reg [mpr-1:0] rfx_s_reg [2-1:0]; -wire [mpr-1:0] rfx_s_pipelined; -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - reset_reg[0] <= reset; - for (i = 1; i < 3; i=i+1) begin - reset_reg[i] <= reset_reg[i-1]; - end - end - assign reset_pipelined = reset_reg[3-1]; - end - else begin - assign reset_pipelined = reset; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - clken_reg[0] <= clken; - for (i = 1; i < 3; i=i+1) begin - clken_reg[i] <= clken_reg[i-1]; - end - end - assign clken_pipelined = clken_reg[3-1]; - end - else begin - assign clken_pipelined = clken; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - phi_inc_i_reg[0] <= phi_inc_i; - for (i = 1; i < 3; i=i+1) begin - phi_inc_i_reg[i] <= phi_inc_i_reg[i-1]; - end - end - assign phi_inc_i_pipelined = phi_inc_i_reg[3-1]; - end - else begin - assign phi_inc_i_pipelined = phi_inc_i; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - out_valid_w_reg[0] <= out_valid_w; - for (i = 1; i < 2; i=i+1) begin - out_valid_w_reg[i] <= out_valid_w_reg[i-1]; - end - end - assign out_valid_w_pipelined = out_valid_w_reg[2-1]; - end - else begin - assign out_valid_w_pipelined = out_valid_w; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - fsin_o_w_reg[0] <= fsin_o_w; - for (i = 1; i < 2; i=i+1) begin - fsin_o_w_reg[i] <= fsin_o_w_reg[i-1]; - end - end - assign fsin_o_w_pipelined = fsin_o_w_reg[2-1]; - end - else begin - assign fsin_o_w_pipelined = fsin_o_w; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - result_i_reg[0] <= result_i; - end - assign result_i_pipelined = result_i_reg[1-1]; - end - else begin - assign result_i_pipelined = result_i; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - fcos_o_w_reg[0] <= fcos_o_w; - for (i = 1; i < 2; i=i+1) begin - fcos_o_w_reg[i] <= fcos_o_w_reg[i-1]; - end - end - assign fcos_o_w_pipelined = fcos_o_w_reg[2-1]; - end - else begin - assign fcos_o_w_pipelined = fcos_o_w; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - result_r_reg[0] <= result_r; - end - assign result_r_pipelined = result_r_reg[1-1]; - end - else begin - assign result_r_pipelined = result_r; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rcx_c_reg[0] <= rcx_c; - for (i = 1; i < 2; i=i+1) begin - rcx_c_reg[i] <= rcx_c_reg[i-1]; - end - end - assign rcx_c_pipelined = rcx_c_reg[2-1]; - end - else begin - assign rcx_c_pipelined = rcx_c; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rfx_c_reg[0] <= rfx_c; - for (i = 1; i < 2; i=i+1) begin - rfx_c_reg[i] <= rfx_c_reg[i-1]; - end - end - assign rfx_c_pipelined = rfx_c_reg[2-1]; - end - else begin - assign rfx_c_pipelined = rfx_c; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rcx_s_reg[0] <= rcx_s; - for (i = 1; i < 2; i=i+1) begin - rcx_s_reg[i] <= rcx_s_reg[i-1]; - end - end - assign rcx_s_pipelined = rcx_s_reg[2-1]; - end - else begin - assign rcx_s_pipelined = rcx_s; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rfx_s_reg[0] <= rfx_s; - for (i = 1; i < 2; i=i+1) begin - rfx_s_reg[i] <= rfx_s_reg[i-1]; - end - end - assign rfx_s_pipelined = rfx_s_reg[2-1]; - end - else begin - assign rfx_s_pipelined = rfx_s; // pipeline for this signal is disabled - end -endgenerate - - -assign phi_inc_i_w = phi_inc_i_pipelined; - - -asj_altqmcpipe ux000 (.clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .phi_inc_int(phi_inc_i_w), - .phi_acc_reg(phi_acc_w) - ); - -defparam ux000.nc = nc ; -defparam ux000.apr = apr ; -defparam ux000.lat = 1 ; -defparam ux000.paci0 = paci0 ; -defparam ux000.paci1 = paci1 ; -defparam ux000.paci2 = paci2 ; -defparam ux000.paci3 = paci3 ; -defparam ux000.paci4 = paci4 ; -defparam ux000.paci5 = paci5 ; -defparam ux000.paci6 = paci6 ; -defparam ux000.paci7 = paci7 ; - - -asj_gam_dp ux008( .clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .phi_acc_w(phi_acc_w[apr-1:apr-rawc-rawf]), - .rom_add_cs(raxxx001ms), - .rom_add_cc(raxxx001mc), - .rom_add_f(raxxx001l) - ); -defparam ux008.rawc = rawc; -defparam ux008.rawf = rawf; -defparam ux008.apr = apri; - - -asj_nco_as_m_dp_cen ux0220(.clk(clk), - .clken (clken_pipelined), - .raxx_a(raxxx001ms[rawc-1:0]), - .raxx_b(raxxx001mc[rawc-1:0]), - .q_a(rcx_s[mpr-1:0]), - .q_b(rcx_c[mpr-1:0]) - ); -defparam ux0220.mpr = mpr; -defparam ux0220.rdw = rdw; -defparam ux0220.raw = rawc; -defparam ux0220.rnw = rnwc; -defparam ux0220.rf = rsfc; -defparam ux0220.dev = "Cyclone IV E"; - -asj_nco_as_m_cen ux0122(.clk(clk), - .clken (clken_pipelined), - .raxx(raxxx001l[rawf-1:0]), - .srw_int_res(rfx_s[mpr-1:0]) - ); -defparam ux0122.mpr = mpr; -defparam ux0122.rdw = rdw; -defparam ux0122.raw = rawf; -defparam ux0122.rnw = rnwf; -defparam ux0122.rf = rsff; -defparam ux0122.dev = "Cyclone IV E"; - -asj_nco_as_m_cen ux0123(.clk(clk), - .clken (clken_pipelined), - .raxx(raxxx001l[rawf-1:0]), - .srw_int_res(rfx_c[mpr-1:0]) - ); -defparam ux0123.mpr = mpr; -defparam ux0123.rdw = rdw; -defparam ux0123.raw = rawf; -defparam ux0123.rnw = rnwf; -defparam ux0123.rf = rcff; -defparam ux0123.dev = "Cyclone IV E"; - -asj_nco_madx_cen m1( - .dataa_0(rcy_c), - .dataa_1(rcy_s), - .datab_0(rfy_c), - .datab_1(rfy_s), - .result(result_r), - .clock0(clk), - .clken(clken_pipelined)); -defparam m1.mpr = mpr; -defparam m1.opr = opr; -// Writing multiplier for 'Cyclone IV E' - -asj_nco_mady_cen m0( - .dataa_0(rcy_s), - .dataa_1(rfy_s), - .datab_0(rfy_c), - .datab_1(rcy_c), - .result(result_i), - .clock0(clk), - .clken(clken_pipelined)); -defparam m0.mpr = mpr; -defparam m0.opr = opr; -// Writing multiplier for 'Cyclone IV E' - -asj_nco_derot ux0136(.crwx_rc(rcx_c_pipelined), - .crwx_rf(rfx_c_pipelined), - .srwx_rc(rcx_s_pipelined), - .srwx_rf(rfx_s_pipelined), - .crwy_rc(rcy_c), - .crwy_rf(rfy_c), - .srwy_rc(rcy_s), - .srwy_rf(rfy_s) - ); -defparam ux0136.mpr = mpr; -defparam ux0136.rxt = rdw; - -asj_nco_mob_w blk0( .clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .data_in(result_i_pipelined), - .data_out(fsin_o_w)); - -defparam blk0.mpr = mpr; - -asj_nco_mob_w blk1( .clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .data_in(result_r_pipelined), - .data_out(fcos_o_w)); - -defparam blk1.mpr = mpr; -assign fsin_o = fsin_o_w_pipelined; -assign fcos_o = fcos_o_w_pipelined; - -asj_nco_isdr ux710isdr(.clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .data_ready(out_valid_w) - ); -defparam ux710isdr.ctc=8; -defparam ux710isdr.cpr=4; -assign out_valid = out_valid_w_pipelined; - - - -endmodule diff --git a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_cos_c.hex b/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_cos_c.hex deleted file mode 100644 index 45a4a35..0000000 --- a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_cos_c.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:0200000007fff8 -:0200010007fff7 -:0200020007fff6 -:0200030007fff5 -:0200040007fff4 -:0200050007fff3 -:0200060007fff2 -:0200070007fff1 -:0200080007fef1 -:0200090007fef0 -:02000a0007feef -:02000b0007feee -:02000c0007feed -:02000d0007fded -:02000e0007fdec -:02000f0007fdeb -:0200100007fdea -:0200110007fcea -:0200120007fce9 -:0200130007fce8 -:0200140007fbe8 -:0200150007fbe7 -:0200160007fae7 -:0200170007fae6 -:0200180007f9e6 -:0200190007f9e5 -:02001a0007f8e5 -:02001b0007f8e4 -:02001c0007f7e4 -:02001d0007f7e3 -:02001e0007f6e3 -:02001f0007f6e2 -:0200200007f5e2 -:0200210007f5e1 -:0200220007f4e1 -:0200230007f3e1 -:0200240007f3e0 -:0200250007f2e0 -:0200260007f1e0 -:0200270007f0e0 -:0200280007f0df -:0200290007efdf -:02002a0007eedf -:02002b0007eddf -:02002c0007ecdf -:02002d0007ecde -:02002e0007ebde -:02002f0007eade -:0200300007e9de -:0200310007e8de -:0200320007e7de -:0200330007e6de -:0200340007e5de -:0200350007e4de -:0200360007e3de -:0200370007e2de -:0200380007e1de -:0200390007e0de -:02003a0007dfde -:02003b0007dede -:02003c0007dcdf -:02003d0007dbdf -:02003e0007dadf -:02003f0007d9df -:0200400007d8df -:0200410007d6e0 -:0200420007d5e0 -:0200430007d4e0 -:0200440007d3e0 -:0200450007d1e1 -:0200460007d0e1 -:0200470007cfe1 -:0200480007cde2 -:0200490007cce2 -:02004a0007cae3 -:02004b0007c9e3 -:02004c0007c8e3 -:02004d0007c6e4 -:02004e0007c5e4 -:02004f0007c3e5 -:0200500007c2e5 -:0200510007c0e6 -:0200520007bfe6 -:0200530007bde7 -:0200540007bbe8 -:0200550007bae8 -:0200560007b8e9 -:0200570007b7e9 -:0200580007b5ea -:0200590007b3eb -:02005a0007b1ec -:02005b0007b0ec -:02005c0007aeed -:02005d0007acee -:02005e0007aaef -:02005f0007a9ef -:0200600007a7f0 -:0200610007a5f1 -:0200620007a3f2 -:0200630007a1f3 -:02006400079ff4 -:02006500079ef4 -:02006600079cf5 -:02006700079af6 -:020068000798f7 -:020069000796f8 -:02006a000794f9 -:02006b000792fa -:02006c000790fb -:02006d00078efc -:02006e00078cfd -:02006f000789ff -:02007000078700 -:02007100078501 -:02007200078302 -:02007300078103 -:02007400077f04 -:02007500077d05 -:02007600077a07 -:02007700077808 -:02007800077609 -:0200790007740a -:02007a0007710c -:02007b00076f0d -:02007c00076d0e -:02007d00076a10 -:02007e00076811 -:02007f00076612 -:02008000076314 -:02008100076115 -:02008200075e17 -:02008300075c18 -:0200840007591a -:0200850007571b -:0200860007541d -:0200870007521e -:02008800074f20 -:02008900074d21 -:02008a00074a23 -:02008b00074824 -:02008c00074526 -:02008d00074228 -:02008e00074029 -:02008f00073d2b -:02009000073a2d -:0200910007382e -:02009200073530 -:02009300073232 -:02009400073033 -:02009500072d35 -:02009600072a37 -:02009700072739 -:0200980007243b -:0200990007223c -:02009a00071f3e -:02009b00071c40 -:02009c00071942 -:02009d00071644 -:02009e00071346 -:02009f00071048 -:0200a000070d4a -:0200a100070a4c -:0200a20007074e -:0200a300070450 -:0200a400070152 -:0200a50006fe55 -:0200a60006fb57 -:0200a70006f859 -:0200a80006f55b -:0200a90006f25d -:0200aa0006ef5f -:0200ab0006ec61 -:0200ac0006e963 -:0200ad0006e566 -:0200ae0006e268 -:0200af0006df6a -:0200b00006dc6c -:0200b10006d96e -:0200b20006d571 -:0200b30006d273 -:0200b40006cf75 -:0200b50006cb78 -:0200b60006c87a -:0200b70006c57c -:0200b80006c17f -:0200b90006be81 -:0200ba0006bb83 -:0200bb0006b786 -:0200bc0006b488 -:0200bd0006b08b -:0200be0006ad8d -:0200bf0006a990 -:0200c00006a692 -:0200c10006a394 -:0200c200069f97 -:0200c300069b9a -:0200c40006989c -:0200c50006949f -:0200c6000691a1 -:0200c700068da4 -:0200c800068aa6 -:0200c9000686a9 -:0200ca000682ac -:0200cb00067fae -:0200cc00067bb1 -:0200cd000677b4 -:0200ce000674b6 -:0200cf000670b9 -:0200d000066cbc -:0200d1000668bf -:0200d2000665c1 -:0200d3000661c4 -:0200d400065dc7 -:0200d5000659ca -:0200d6000655cd -:0200d7000652cf -:0200d800064ed2 -:0200d900064ad5 -:0200da000646d8 -:0200db000642db -:0200dc00063ede -:0200dd00063ae1 -:0200de000636e4 -:0200df000632e7 -:0200e000062eea -:0200e100062aed -:0200e2000626f0 -:0200e3000622f3 -:0200e400061ef6 -:0200e500061af9 -:0200e6000616fc -:0200e7000612ff -:0200e800060e02 -:0200e900060a05 -:0200ea00060608 -:0200eb0006020b -:0200ec0005fd10 -:0200ed0005f913 -:0200ee0005f516 -:0200ef0005f119 -:0200f00005ed1c -:0200f10005e91f -:0200f20005e423 -:0200f30005e026 -:0200f40005dc29 -:0200f50005d72d -:0200f60005d330 -:0200f70005cf33 -:0200f80005cb36 -:0200f90005c63a -:0200fa0005c23d -:0200fb0005bd41 -:0200fc0005b944 -:0200fd0005b547 -:0200fe0005b04b -:0200ff0005ac4e -:0201000005a751 -:0201010005a354 -:02010200059f57 -:02010300059a5b -:0201040005965e -:02010500059162 -:02010600058d65 -:02010700058869 -:0201080005836d -:02010900057f70 -:02010a00057a74 -:02010b00057677 -:02010c0005717b -:02010d00056d7e -:02010e00056882 -:02010f00056386 -:02011000055f89 -:02011100055a8d -:02011200055591 -:02011300055194 -:02011400054c98 -:0201150005479c -:0201160005439f -:02011700053ea3 -:020118000539a7 -:020119000534ab -:02011a000530ae -:02011b00052bb2 -:02011c000526b6 -:02011d000521ba -:02011e00051cbe -:02011f000517c2 -:020120000513c5 -:02012100050ec9 -:020122000509cd -:020123000504d1 -:0201240004ffd6 -:0201250004fada -:0201260004f5de -:0201270004f0e2 -:0201280004ebe6 -:0201290004e6ea -:02012a0004e1ee -:02012b0004dcf2 -:02012c0004d7f6 -:02012d0004d2fa -:02012e0004cdfe -:02012f0004c802 -:0201300004c306 -:0201310004be0a -:0201320004b90e -:0201330004b412 -:0201340004af16 -:0201350004aa1a -:0201360004a51e -:0201370004a022 -:02013800049b26 -:0201390004962a -:02013a0004902f -:02013b00048b33 -:02013c00048637 -:02013d0004813b -:02013e00047c3f -:02013f00047644 -:02014000047148 -:02014100046c4c -:02014200046750 -:02014300046254 -:02014400045c59 -:0201450004575d -:02014600045261 -:02014700044c66 -:0201480004476a -:0201490004426e -:02014a00043d72 -:02014b00043777 -:02014c0004327b -:02014d00042c80 -:02014e00042784 -:02014f00042288 -:02015000041c8d -:02015100041791 -:02015200041295 -:02015300040c9a -:0201540004079e -:020155000401a3 -:0201560003fca8 -:0201570003f6ad -:0201580003f1b1 -:0201590003ebb6 -:02015a0003e6ba -:02015b0003e1be -:02015c0003dbc3 -:02015d0003d6c7 -:02015e0003d0cc -:02015f0003cad1 -:0201600003c5d5 -:0201610003bfda -:0201620003bade -:0201630003b4e3 -:0201640003afe7 -:0201650003a9ec -:0201660003a4f0 -:02016700039ef5 -:020168000398fa -:020169000393fe -:02016a00038d03 -:02016b00038708 -:02016c0003820c -:02016d00037c11 -:02016e00037715 -:02016f0003711a -:02017000036b1f -:02017100036623 -:02017200036028 -:02017300035a2d -:02017400035432 -:02017500034f36 -:0201760003493b -:02017700034340 -:02017800033e44 -:02017900033849 -:02017a0003324e -:02017b00032c53 -:02017c00032757 -:02017d0003215c -:02017e00031b61 -:02017f00031566 -:02018000030f6b -:02018100030a6f -:02018200030474 -:0201830002fe7a -:0201840002f87f -:0201850002f284 -:0201860002ec89 -:0201870002e78d -:0201880002e192 -:0201890002db97 -:02018a0002d59c -:02018b0002cfa1 -:02018c0002c9a6 -:02018d0002c3ab -:02018e0002bdb0 -:02018f0002b8b4 -:0201900002b2b9 -:0201910002acbe -:0201920002a6c3 -:0201930002a0c8 -:02019400029acd -:020195000294d2 -:02019600028ed7 -:020197000288dc -:020198000282e1 -:02019900027ce6 -:02019a000276eb -:02019b000270f0 -:02019c00026af5 -:02019d000264fa -:02019e00025eff -:02019f00025804 -:0201a000025209 -:0201a100024c0e -:0201a200024613 -:0201a300024018 -:0201a400023a1d -:0201a500023422 -:0201a600022e27 -:0201a70002282c -:0201a800022231 -:0201a900021c36 -:0201aa0002163b -:0201ab00021040 -:0201ac00020a45 -:0201ad0002044a -:0201ae0001fe50 -:0201af0001f756 -:0201b00001f15b -:0201b10001eb60 -:0201b20001e565 -:0201b30001df6a -:0201b40001d96f -:0201b50001d374 -:0201b60001cd79 -:0201b70001c77e -:0201b80001c183 -:0201b90001ba89 -:0201ba0001b48e -:0201bb0001ae93 -:0201bc0001a898 -:0201bd0001a29d -:0201be00019ca2 -:0201bf000196a7 -:0201c000018fad -:0201c1000189b2 -:0201c2000183b7 -:0201c300017dbc -:0201c4000177c1 -:0201c5000171c6 -:0201c600016acc -:0201c7000164d1 -:0201c800015ed6 -:0201c9000158db -:0201ca000152e0 -:0201cb00014be6 -:0201cc000145eb -:0201cd00013ff0 -:0201ce000139f5 -:0201cf000133fa -:0201d000012c00 -:0201d100012605 -:0201d20001200a -:0201d300011a0f -:0201d400011315 -:0201d500010d1a -:0201d60001071f -:0201d700010124 -:0201d80000fb2a -:0201d90000f430 -:0201da0000ee35 -:0201db0000e83a -:0201dc0000e23f -:0201dd0000db45 -:0201de0000d54a -:0201df0000cf4f -:0201e00000c954 -:0201e10000c25a -:0201e20000bc5f -:0201e30000b664 -:0201e40000b069 -:0201e50000a96f -:0201e60000a374 -:0201e700009d79 -:0201e80000977e -:0201e900009084 -:0201ea00008a89 -:0201eb0000848e -:0201ec00007e93 -:0201ed00007799 -:0201ee0000719e -:0201ef00006ba3 -:0201f0000064a9 -:0201f100005eae -:0201f2000058b3 -:0201f3000052b8 -:0201f400004bbe -:0201f5000045c3 -:0201f600003fc8 -:0201f7000039cd -:0201f8000032d3 -:0201f900002cd8 -:0201fa000026dd -:0201fb00001fe3 -:0201fc000019e8 -:0201fd000013ed -:0201fe00000df2 -:0201ff000006f8 -:020200000000fc -:020201000ffaf2 -:020202000ff3f8 -:020203000fedfd -:020204000fe702 -:020205000fe107 -:020206000fda0d -:020207000fd412 -:020208000fce17 -:020209000fc71d -:02020a000fc122 -:02020b000fbb27 -:02020c000fb52c -:02020d000fae32 -:02020e000fa837 -:02020f000fa23c -:020210000f9c41 -:020211000f9547 -:020212000f8f4c -:020213000f8951 -:020214000f8257 -:020215000f7c5c -:020216000f7661 -:020217000f7066 -:020218000f696c -:020219000f6371 -:02021a000f5d76 -:02021b000f577b -:02021c000f5081 -:02021d000f4a86 -:02021e000f448b -:02021f000f3e90 -:020220000f3796 -:020221000f319b -:020222000f2ba0 -:020223000f25a5 -:020224000f1eab -:020225000f18b0 -:020226000f12b5 -:020227000f0cba -:020228000f05c0 -:020229000effc6 -:02022a000ef9cb -:02022b000ef3d0 -:02022c000eedd5 -:02022d000ee6db -:02022e000ee0e0 -:02022f000edae5 -:020230000ed4ea -:020231000ecdf0 -:020232000ec7f5 -:020233000ec1fa -:020234000ebbff -:020235000eb504 -:020236000eae0a -:020237000ea80f -:020238000ea214 -:020239000e9c19 -:02023a000e961e -:02023b000e8f24 -:02023c000e8929 -:02023d000e832e -:02023e000e7d33 -:02023f000e7738 -:020240000e713d -:020241000e6a43 -:020242000e6448 -:020243000e5e4d -:020244000e5852 -:020245000e5257 -:020246000e4c5c -:020247000e4661 -:020248000e3f67 -:020249000e396c -:02024a000e3371 -:02024b000e2d76 -:02024c000e277b -:02024d000e2180 -:02024e000e1b85 -:02024f000e158a -:020250000e0f8f -:020251000e0994 -:020252000e029a -:020253000dfca0 -:020254000df6a5 -:020255000df0aa -:020256000deaaf -:020257000de4b4 -:020258000ddeb9 -:020259000dd8be -:02025a000dd2c3 -:02025b000dccc8 -:02025c000dc6cd -:02025d000dc0d2 -:02025e000dbad7 -:02025f000db4dc -:020260000daee1 -:020261000da8e6 -:020262000da2eb -:020263000d9cf0 -:020264000d96f5 -:020265000d90fa -:020266000d8aff -:020267000d8404 -:020268000d7e09 -:020269000d780e -:02026a000d7213 -:02026b000d6c18 -:02026c000d661d -:02026d000d6022 -:02026e000d5a27 -:02026f000d542c -:020270000d4e31 -:020271000d4836 -:020272000d433a -:020273000d3d3f -:020274000d3744 -:020275000d3149 -:020276000d2b4e -:020277000d2553 -:020278000d1f58 -:020279000d195d -:02027a000d1461 -:02027b000d0e66 -:02027c000d086b -:02027d000d0270 -:02027e000cfc76 -:02027f000cf67b -:020280000cf17f -:020281000ceb84 -:020282000ce589 -:020283000cdf8e -:020284000cd993 -:020285000cd497 -:020286000cce9c -:020287000cc8a1 -:020288000cc2a6 -:020289000cbdaa -:02028a000cb7af -:02028b000cb1b4 -:02028c000cacb8 -:02028d000ca6bd -:02028e000ca0c2 -:02028f000c9ac7 -:020290000c95cb -:020291000c8fd0 -:020292000c89d5 -:020293000c84d9 -:020294000c7ede -:020295000c79e2 -:020296000c73e7 -:020297000c6dec -:020298000c68f0 -:020299000c62f5 -:02029a000c5cfa -:02029b000c57fe -:02029c000c5103 -:02029d000c4c07 -:02029e000c460c -:02029f000c4110 -:0202a0000c3b15 -:0202a1000c3619 -:0202a2000c301e -:0202a3000c2a23 -:0202a4000c2527 -:0202a5000c1f2c -:0202a6000c1a30 -:0202a7000c1534 -:0202a8000c0f39 -:0202a9000c0a3d -:0202aa000c0442 -:0202ab000bff47 -:0202ac000bf94c -:0202ad000bf450 -:0202ae000bee55 -:0202af000be959 -:0202b0000be45d -:0202b1000bde62 -:0202b2000bd966 -:0202b3000bd46a -:0202b4000bce6f -:0202b5000bc973 -:0202b6000bc378 -:0202b7000bbe7c -:0202b8000bb980 -:0202b9000bb484 -:0202ba000bae89 -:0202bb000ba98d -:0202bc000ba491 -:0202bd000b9e96 -:0202be000b999a -:0202bf000b949e -:0202c0000b8fa2 -:0202c1000b8aa6 -:0202c2000b84ab -:0202c3000b7faf -:0202c4000b7ab3 -:0202c5000b75b7 -:0202c6000b70bb -:0202c7000b6ac0 -:0202c8000b65c4 -:0202c9000b60c8 -:0202ca000b5bcc -:0202cb000b56d0 -:0202cc000b51d4 -:0202cd000b4cd8 -:0202ce000b47dc -:0202cf000b42e0 -:0202d0000b3de4 -:0202d1000b38e8 -:0202d2000b33ec -:0202d3000b2ef0 -:0202d4000b29f4 -:0202d5000b24f8 -:0202d6000b1ffc -:0202d7000b1a00 -:0202d8000b1504 -:0202d9000b1008 -:0202da000b0b0c -:0202db000b0610 -:0202dc000b0114 -:0202dd000afc19 -:0202de000af71d -:0202df000af221 -:0202e0000aed25 -:0202e1000ae928 -:0202e2000ae42c -:0202e3000adf30 -:0202e4000ada34 -:0202e5000ad538 -:0202e6000ad03c -:0202e7000acc3f -:0202e8000ac743 -:0202e9000ac247 -:0202ea000abd4b -:0202eb000ab94e -:0202ec000ab452 -:0202ed000aaf56 -:0202ee000aab59 -:0202ef000aa65d -:0202f0000aa161 -:0202f1000a9d64 -:0202f2000a9868 -:0202f3000a936c -:0202f4000a8f6f -:0202f5000a8a73 -:0202f6000a8676 -:0202f7000a817a -:0202f8000a7d7d -:0202f9000a7881 -:0202fa000a7385 -:0202fb000a6f88 -:0202fc000a6a8c -:0202fd000a668f -:0202fe000a6193 -:0202ff000a5d96 -:020300000a5998 -:020301000a549c -:020302000a509f -:020303000a4ba3 -:020304000a47a6 -:020305000a43a9 -:020306000a3ead -:020307000a3ab0 -:020308000a35b4 -:020309000a31b7 -:02030a000a2dba -:02030b000a29bd -:02030c000a24c1 -:02030d000a20c4 -:02030e000a1cc7 -:02030f000a17cb -:020310000a13ce -:020311000a0fd1 -:020312000a0bd4 -:020313000a07d7 -:020314000a03da -:0203150009fedf -:0203160009fae2 -:0203170009f6e5 -:0203180009f2e8 -:0203190009eeeb -:02031a0009eaee -:02031b0009e6f1 -:02031c0009e2f4 -:02031d0009def7 -:02031e0009dafa -:02031f0009d6fd -:0203200009d200 -:0203210009ce03 -:0203220009ca06 -:0203230009c609 -:0203240009c20c -:0203250009be0f -:0203260009ba12 -:0203270009b615 -:0203280009b218 -:0203290009ae1b -:02032a0009ab1d -:02032b0009a720 -:02032c0009a323 -:02032d00099f26 -:02032e00099b29 -:02032f0009982b -:0203300009942e -:02033100099031 -:02033200098c34 -:02033300098936 -:02033400098539 -:0203350009813c -:02033600097e3e -:02033700097a41 -:02033800097644 -:02033900097346 -:02033a00096f49 -:02033b00096c4b -:02033c0009684e -:02033d00096550 -:02033e00096153 -:02033f00095d56 -:02034000095a58 -:0203410009575a -:0203420009535d -:0203430009505f -:02034400094c62 -:02034500094964 -:02034600094567 -:02034700094269 -:02034800093f6b -:02034900093b6e -:02034a00093870 -:02034b00093572 -:02034c00093175 -:02034d00092e77 -:02034e00092b79 -:02034f0009277c -:0203500009247e -:02035100092180 -:02035200091e82 -:02035300091b84 -:02035400091787 -:02035500091489 -:0203560009118b -:02035700090e8d -:02035800090b8f -:02035900090891 -:02035a00090593 -:02035b00090295 -:02035c0008ff98 -:02035d0008fc9a -:02035e0008f99c -:02035f0008f69e -:0203600008f3a0 -:0203610008f0a2 -:0203620008eda4 -:0203630008eaa6 -:0203640008e7a8 -:0203650008e4aa -:0203660008e1ac -:0203670008deae -:0203680008dcaf -:0203690008d9b1 -:02036a0008d6b3 -:02036b0008d3b5 -:02036c0008d0b7 -:02036d0008ceb8 -:02036e0008cbba -:02036f0008c8bc -:0203700008c6bd -:0203710008c3bf -:0203720008c0c1 -:0203730008bec2 -:0203740008bbc4 -:0203750008b8c6 -:0203760008b6c7 -:0203770008b3c9 -:0203780008b1ca -:0203790008aecc -:02037a0008accd -:02037b0008a9cf -:02037c0008a7d0 -:02037d0008a4d2 -:02037e0008a2d3 -:02037f00089fd5 -:02038000089dd6 -:02038100089ad8 -:020382000898d9 -:020383000896da -:020384000893dc -:020385000891dd -:02038600088fde -:02038700088ce0 -:02038800088ae1 -:020389000888e2 -:02038a000886e3 -:02038b000883e5 -:02038c000881e6 -:02038d00087fe7 -:02038e00087de8 -:02038f00087be9 -:020390000879ea -:020391000877eb -:020392000874ed -:020393000872ee -:020394000870ef -:02039500086ef0 -:02039600086cf1 -:02039700086af2 -:020398000868f3 -:020399000866f4 -:02039a000864f5 -:02039b000862f6 -:02039c000861f6 -:02039d00085ff7 -:02039e00085df8 -:02039f00085bf9 -:0203a0000859fa -:0203a1000857fb -:0203a2000856fb -:0203a3000854fc -:0203a4000852fd -:0203a5000850fe -:0203a600084ffe -:0203a700084dff -:0203a800084b00 -:0203a900084901 -:0203aa00084801 -:0203ab00084602 -:0203ac00084502 -:0203ad00084303 -:0203ae00084104 -:0203af00084004 -:0203b000083e05 -:0203b100083d05 -:0203b200083b06 -:0203b300083a06 -:0203b400083807 -:0203b500083707 -:0203b600083607 -:0203b700083408 -:0203b800083308 -:0203b900083109 -:0203ba00083009 -:0203bb00082f09 -:0203bc00082d0a -:0203bd00082c0a -:0203be00082b0a -:0203bf00082a0a -:0203c00008280b -:0203c10008270b -:0203c20008260b -:0203c30008250b -:0203c40008240b -:0203c50008220c -:0203c60008210c -:0203c70008200c -:0203c800081f0c -:0203c900081e0c -:0203ca00081d0c -:0203cb00081c0c -:0203cc00081b0c -:0203cd00081a0c -:0203ce0008190c -:0203cf0008180c -:0203d00008170c -:0203d10008160c -:0203d20008150c -:0203d30008140c -:0203d40008140b -:0203d50008130b -:0203d60008120b -:0203d70008110b -:0203d80008100b -:0203d90008100a -:0203da00080f0a -:0203db00080e0a -:0203dc00080d0a -:0203dd00080d09 -:0203de00080c09 -:0203df00080b09 -:0203e000080b08 -:0203e100080a08 -:0203e200080a07 -:0203e300080907 -:0203e400080906 -:0203e500080806 -:0203e600080805 -:0203e700080705 -:0203e800080704 -:0203e900080604 -:0203ea00080603 -:0203eb00080503 -:0203ec00080502 -:0203ed00080402 -:0203ee00080401 -:0203ef00080400 -:0203f000080300 -:0203f1000803ff -:0203f2000803fe -:0203f3000803fd -:0203f4000802fd -:0203f5000802fc -:0203f6000802fb -:0203f7000802fa -:0203f8000802f9 -:0203f9000801f9 -:0203fa000801f8 -:0203fb000801f7 -:0203fc000801f6 -:0203fd000801f5 -:0203fe000801f4 -:0203ff000801f3 -:020400000801f1 -:020401000801f0 -:020402000801ef -:020403000801ee -:020404000801ed -:020405000801ec -:020406000801eb -:020407000801ea -:020408000802e8 -:020409000802e7 -:02040a000802e6 -:02040b000802e5 -:02040c000802e4 -:02040d000803e2 -:02040e000803e1 -:02040f000803e0 -:020410000803df -:020411000804dd -:020412000804dc -:020413000804db -:020414000805d9 -:020415000805d8 -:020416000806d6 -:020417000806d5 -:020418000807d3 -:020419000807d2 -:02041a000808d0 -:02041b000808cf -:02041c000809cd -:02041d000809cc -:02041e00080aca -:02041f00080ac9 -:02042000080bc7 -:02042100080bc6 -:02042200080cc4 -:02042300080dc2 -:02042400080dc1 -:02042500080ebf -:02042600080fbd -:020427000810bb -:020428000810ba -:020429000811b8 -:02042a000812b6 -:02042b000813b4 -:02042c000814b2 -:02042d000814b1 -:02042e000815af -:02042f000816ad -:020430000817ab -:020431000818a9 -:020432000819a7 -:02043300081aa5 -:02043400081ba3 -:02043500081ca1 -:02043600081d9f -:02043700081e9d -:02043800081f9b -:02043900082099 -:02043a00082197 -:02043b00082295 -:02043c00082492 -:02043d00082590 -:02043e0008268e -:02043f0008278c -:0204400008288a -:02044100082a87 -:02044200082b85 -:02044300082c83 -:02044400082d81 -:02044500082f7e -:0204460008307c -:0204470008317a -:02044800083377 -:02044900083475 -:02044a00083672 -:02044b00083770 -:02044c0008386e -:02044d00083a6b -:02044e00083b69 -:02044f00083d66 -:02045000083e64 -:02045100084061 -:0204520008415f -:0204530008435c -:02045400084559 -:02045500084657 -:02045600084854 -:02045700084952 -:02045800084b4f -:02045900084d4c -:02045a00084f49 -:02045b00085047 -:02045c00085244 -:02045d00085441 -:02045e0008563e -:02045f0008573c -:02046000085939 -:02046100085b36 -:02046200085d33 -:02046300085f30 -:0204640008612d -:0204650008622b -:02046600086428 -:02046700086625 -:02046800086822 -:02046900086a1f -:02046a00086c1c -:02046b00086e19 -:02046c00087016 -:02046d00087213 -:02046e00087410 -:02046f0008770c -:02047000087909 -:02047100087b06 -:02047200087d03 -:02047300087f00 -:020474000881fd -:020475000883fa -:020476000886f6 -:020477000888f3 -:02047800088af0 -:02047900088ced -:02047a00088fe9 -:02047b000891e6 -:02047c000893e3 -:02047d000896df -:02047e000898dc -:02047f00089ad9 -:02048000089dd5 -:02048100089fd2 -:0204820008a2ce -:0204830008a4cb -:0204840008a7c7 -:0204850008a9c4 -:0204860008acc0 -:0204870008aebd -:0204880008b1b9 -:0204890008b3b6 -:02048a0008b6b2 -:02048b0008b8af -:02048c0008bbab -:02048d0008bea7 -:02048e0008c0a4 -:02048f0008c3a0 -:0204900008c69c -:0204910008c899 -:0204920008cb95 -:0204930008ce91 -:0204940008d08e -:0204950008d38a -:0204960008d686 -:0204970008d982 -:0204980008dc7e -:0204990008de7b -:02049a0008e177 -:02049b0008e473 -:02049c0008e76f -:02049d0008ea6b -:02049e0008ed67 -:02049f0008f063 -:0204a00008f35f -:0204a10008f65b -:0204a20008f957 -:0204a30008fc53 -:0204a40008ff4f -:0204a50009024a -:0204a600090546 -:0204a700090842 -:0204a800090b3e -:0204a900090e3a -:0204aa00091136 -:0204ab00091432 -:0204ac0009172e -:0204ad00091b29 -:0204ae00091e25 -:0204af00092121 -:0204b00009241d -:0204b100092719 -:0204b200092b14 -:0204b300092e10 -:0204b40009310c -:0204b500093507 -:0204b600093803 -:0204b700093bff -:0204b800093ffa -:0204b9000942f6 -:0204ba000945f2 -:0204bb000949ed -:0204bc00094ce9 -:0204bd000950e4 -:0204be000953e0 -:0204bf000957db -:0204c000095ad7 -:0204c100095dd3 -:0204c2000961ce -:0204c3000965c9 -:0204c4000968c5 -:0204c500096cc0 -:0204c600096fbc -:0204c7000973b7 -:0204c8000976b3 -:0204c900097aae -:0204ca00097ea9 -:0204cb000981a5 -:0204cc000985a0 -:0204cd0009899b -:0204ce00098c97 -:0204cf00099092 -:0204d00009948d -:0204d100099888 -:0204d200099b84 -:0204d300099f7f -:0204d40009a37a -:0204d50009a775 -:0204d60009ab70 -:0204d70009ae6c -:0204d80009b267 -:0204d90009b662 -:0204da0009ba5d -:0204db0009be58 -:0204dc0009c253 -:0204dd0009c64e -:0204de0009ca49 -:0204df0009ce44 -:0204e00009d23f -:0204e10009d63a -:0204e20009da35 -:0204e30009de30 -:0204e40009e22b -:0204e50009e626 -:0204e60009ea21 -:0204e70009ee1c -:0204e80009f217 -:0204e90009f612 -:0204ea0009fa0d -:0204eb0009fe08 -:0204ec000a0301 -:0204ed000a07fc -:0204ee000a0bf7 -:0204ef000a0ff2 -:0204f0000a13ed -:0204f1000a17e8 -:0204f2000a1ce2 -:0204f3000a20dd -:0204f4000a24d8 -:0204f5000a29d2 -:0204f6000a2dcd -:0204f7000a31c8 -:0204f8000a35c3 -:0204f9000a3abd -:0204fa000a3eb8 -:0204fb000a43b2 -:0204fc000a47ad -:0204fd000a4ba8 -:0204fe000a50a2 -:0204ff000a549d -:020500000a5996 -:020501000a5d91 -:020502000a618c -:020503000a6686 -:020504000a6a81 -:020505000a6f7b -:020506000a7376 -:020507000a7870 -:020508000a7d6a -:020509000a8165 -:02050a000a865f -:02050b000a8a5a -:02050c000a8f54 -:02050d000a934f -:02050e000a9849 -:02050f000a9d43 -:020510000aa13e -:020511000aa638 -:020512000aab32 -:020513000aaf2d -:020514000ab427 -:020515000ab921 -:020516000abd1c -:020517000ac216 -:020518000ac710 -:020519000acc0a -:02051a000ad005 -:02051b000ad5ff -:02051c000adaf9 -:02051d000adff3 -:02051e000ae4ed -:02051f000ae9e7 -:020520000aede2 -:020521000af2dc -:020522000af7d6 -:020523000afcd0 -:020524000b01c9 -:020525000b06c3 -:020526000b0bbd -:020527000b10b7 -:020528000b15b1 -:020529000b1aab -:02052a000b1fa5 -:02052b000b249f -:02052c000b2999 -:02052d000b2e93 -:02052e000b338d -:02052f000b3887 -:020530000b3d81 -:020531000b427b -:020532000b4775 -:020533000b4c6f -:020534000b5169 -:020535000b5663 -:020536000b5b5d -:020537000b6057 -:020538000b6551 -:020539000b6a4b -:02053a000b7044 -:02053b000b753e -:02053c000b7a38 -:02053d000b7f32 -:02053e000b842c -:02053f000b8a25 -:020540000b8f1f -:020541000b9419 -:020542000b9913 -:020543000b9e0d -:020544000ba406 -:020545000ba900 -:020546000baefa -:020547000bb4f3 -:020548000bb9ed -:020549000bbee7 -:02054a000bc3e1 -:02054b000bc9da -:02054c000bced4 -:02054d000bd4cd -:02054e000bd9c7 -:02054f000bdec1 -:020550000be4ba -:020551000be9b4 -:020552000beeae -:020553000bf4a7 -:020554000bf9a1 -:020555000bff9a -:020556000c0493 -:020557000c0a8c -:020558000c0f86 -:020559000c157f -:02055a000c1a79 -:02055b000c1f73 -:02055c000c256c -:02055d000c2a66 -:02055e000c305f -:02055f000c3658 -:020560000c3b52 -:020561000c414b -:020562000c4645 -:020563000c4c3e -:020564000c5138 -:020565000c5731 -:020566000c5c2b -:020567000c6224 -:020568000c681d -:020569000c6d17 -:02056a000c7310 -:02056b000c7909 -:02056c000c7e03 -:02056d000c84fc -:02056e000c89f6 -:02056f000c8fef -:020570000c95e8 -:020571000c9ae2 -:020572000ca0db -:020573000ca6d4 -:020574000caccd -:020575000cb1c7 -:020576000cb7c0 -:020577000cbdb9 -:020578000cc2b3 -:020579000cc8ac -:02057a000ccea5 -:02057b000cd49e -:02057c000cd998 -:02057d000cdf91 -:02057e000ce58a -:02057f000ceb83 -:020580000cf17c -:020581000cf676 -:020582000cfc6f -:020583000d0267 -:020584000d0860 -:020585000d0e59 -:020586000d1452 -:020587000d194c -:020588000d1f45 -:020589000d253e -:02058a000d2b37 -:02058b000d3130 -:02058c000d3729 -:02058d000d3d22 -:02058e000d431b -:02058f000d4815 -:020590000d4e0e -:020591000d5407 -:020592000d5a00 -:020593000d60f9 -:020594000d66f2 -:020595000d6ceb -:020596000d72e4 -:020597000d78dd -:020598000d7ed6 -:020599000d84cf -:02059a000d8ac8 -:02059b000d90c1 -:02059c000d96ba -:02059d000d9cb3 -:02059e000da2ac -:02059f000da8a5 -:0205a0000dae9e -:0205a1000db497 -:0205a2000dba90 -:0205a3000dc089 -:0205a4000dc682 -:0205a5000dcc7b -:0205a6000dd274 -:0205a7000dd86d -:0205a8000dde66 -:0205a9000de45f -:0205aa000dea58 -:0205ab000df051 -:0205ac000df64a -:0205ad000dfc43 -:0205ae000e023b -:0205af000e0933 -:0205b0000e0f2c -:0205b1000e1525 -:0205b2000e1b1e -:0205b3000e2117 -:0205b4000e2710 -:0205b5000e2d09 -:0205b6000e3302 -:0205b7000e39fb -:0205b8000e3ff4 -:0205b9000e46ec -:0205ba000e4ce5 -:0205bb000e52de -:0205bc000e58d7 -:0205bd000e5ed0 -:0205be000e64c9 -:0205bf000e6ac2 -:0205c0000e71ba -:0205c1000e77b3 -:0205c2000e7dac -:0205c3000e83a5 -:0205c4000e899e -:0205c5000e8f97 -:0205c6000e968f -:0205c7000e9c88 -:0205c8000ea281 -:0205c9000ea87a -:0205ca000eae73 -:0205cb000eb56b -:0205cc000ebb64 -:0205cd000ec15d -:0205ce000ec756 -:0205cf000ecd4f -:0205d0000ed447 -:0205d1000eda40 -:0205d2000ee039 -:0205d3000ee632 -:0205d4000eed2a -:0205d5000ef323 -:0205d6000ef91c -:0205d7000eff15 -:0205d8000f050d -:0205d9000f0c05 -:0205da000f12fe -:0205db000f18f7 -:0205dc000f1ef0 -:0205dd000f25e8 -:0205de000f2be1 -:0205df000f31da -:0205e0000f37d3 -:0205e1000f3ecb -:0205e2000f44c4 -:0205e3000f4abd -:0205e4000f50b6 -:0205e5000f57ae -:0205e6000f5da7 -:0205e7000f63a0 -:0205e8000f6999 -:0205e9000f7091 -:0205ea000f768a -:0205eb000f7c83 -:0205ec000f827c -:0205ed000f8974 -:0205ee000f8f6d -:0205ef000f9566 -:0205f0000f9c5e -:0205f1000fa257 -:0205f2000fa850 -:0205f3000fae49 -:0205f4000fb541 -:0205f5000fbb3a -:0205f6000fc133 -:0205f7000fc72c -:0205f8000fce24 -:0205f9000fd41d -:0205fa000fda16 -:0205fb000fe10e -:0205fc000fe707 -:0205fd000fed00 -:0205fe000ff3f9 -:0205ff000ffaf1 -:020600000000f8 -:020601000006f1 -:02060200000de9 -:020603000013e2 -:020604000019db -:02060500001fd4 -:020606000026cc -:02060700002cc5 -:020608000032be -:020609000039b6 -:02060a00003faf -:02060b000045a8 -:02060c00004ba1 -:02060d00005299 -:02060e00005892 -:02060f00005e8b -:02061000006484 -:02061100006b7c -:02061200007175 -:0206130000776e -:02061400007e66 -:0206150000845f -:02061600008a58 -:02061700009051 -:02061800009749 -:02061900009d42 -:02061a0000a33b -:02061b0000a934 -:02061c0000b02c -:02061d0000b625 -:02061e0000bc1e -:02061f0000c217 -:0206200000c90f -:0206210000cf08 -:0206220000d501 -:0206230000dbfa -:0206240000e2f2 -:0206250000e8eb -:0206260000eee4 -:0206270000f4dd -:0206280000fbd5 -:020629000101cd -:02062a000107c6 -:02062b00010dbf -:02062c000113b8 -:02062d00011ab0 -:02062e000120a9 -:02062f000126a2 -:02063000012c9b -:02063100013393 -:0206320001398c -:02063300013f85 -:0206340001457e -:02063500014b77 -:0206360001526f -:02063700015868 -:02063800015e61 -:0206390001645a -:02063a00016a53 -:02063b0001714b -:02063c00017744 -:02063d00017d3d -:02063e00018336 -:02063f0001892f -:02064000018f28 -:02064100019620 -:02064200019c19 -:0206430001a212 -:0206440001a80b -:0206450001ae04 -:0206460001b4fd -:0206470001baf6 -:0206480001c1ee -:0206490001c7e7 -:02064a0001cde0 -:02064b0001d3d9 -:02064c0001d9d2 -:02064d0001dfcb -:02064e0001e5c4 -:02064f0001ebbd -:0206500001f1b6 -:0206510001f7af -:0206520001fea7 -:0206530002049f -:02065400020a98 -:02065500021091 -:0206560002168a -:02065700021c83 -:0206580002227c -:02065900022875 -:02065a00022e6e -:02065b00023467 -:02065c00023a60 -:02065d00024059 -:02065e00024652 -:02065f00024c4b -:02066000025244 -:0206610002583d -:02066200025e36 -:0206630002642f -:02066400026a28 -:02066500027021 -:0206660002761a -:02066700027c13 -:0206680002820c -:02066900028805 -:02066a00028efe -:02066b000294f7 -:02066c00029af0 -:02066d0002a0e9 -:02066e0002a6e2 -:02066f0002acdb -:0206700002b2d4 -:0206710002b8cd -:0206720002bdc7 -:0206730002c3c0 -:0206740002c9b9 -:0206750002cfb2 -:0206760002d5ab -:0206770002dba4 -:0206780002e19d -:0206790002e796 -:02067a0002ec90 -:02067b0002f289 -:02067c0002f882 -:02067d0002fe7b -:02067e00030473 -:02067f00030a6c -:02068000030f66 -:0206810003155f -:02068200031b58 -:02068300032151 -:0206840003274a -:02068500032c44 -:0206860003323d -:02068700033836 -:02068800033e2f -:02068900034329 -:02068a00034922 -:02068b00034f1b -:02068c00035415 -:02068d00035a0e -:02068e00036007 -:02068f00036600 -:02069000036bfa -:020691000371f3 -:020692000377ec -:02069300037ce6 -:020694000382df -:020695000387d9 -:02069600038dd2 -:020697000393cb -:020698000398c5 -:02069900039ebe -:02069a0003a4b7 -:02069b0003a9b1 -:02069c0003afaa -:02069d0003b4a4 -:02069e0003ba9d -:02069f0003bf97 -:0206a00003c590 -:0206a10003ca8a -:0206a20003d083 -:0206a30003d67c -:0206a40003db76 -:0206a50003e16f -:0206a60003e669 -:0206a70003eb63 -:0206a80003f15c -:0206a90003f656 -:0206aa0003fc4f -:0206ab00040148 -:0206ac00040741 -:0206ad00040c3b -:0206ae00041234 -:0206af0004172e -:0206b000041c28 -:0206b100042221 -:0206b20004271b -:0206b300042c15 -:0206b40004320e -:0206b500043708 -:0206b600043d01 -:0206b7000442fb -:0206b8000447f5 -:0206b900044cef -:0206ba000452e8 -:0206bb000457e2 -:0206bc00045cdc -:0206bd000462d5 -:0206be000467cf -:0206bf00046cc9 -:0206c0000471c3 -:0206c1000476bd -:0206c200047cb6 -:0206c3000481b0 -:0206c4000486aa -:0206c500048ba4 -:0206c60004909e -:0206c700049697 -:0206c800049b91 -:0206c90004a08b -:0206ca0004a585 -:0206cb0004aa7f -:0206cc0004af79 -:0206cd0004b473 -:0206ce0004b96d -:0206cf0004be67 -:0206d00004c361 -:0206d10004c85b -:0206d20004cd55 -:0206d30004d24f -:0206d40004d749 -:0206d50004dc43 -:0206d60004e13d -:0206d70004e637 -:0206d80004eb31 -:0206d90004f02b -:0206da0004f525 -:0206db0004fa1f -:0206dc0004ff19 -:0206dd00050412 -:0206de0005090c -:0206df00050e06 -:0206e000051300 -:0206e1000517fb -:0206e200051cf5 -:0206e3000521ef -:0206e4000526e9 -:0206e500052be3 -:0206e6000530dd -:0206e7000534d8 -:0206e8000539d2 -:0206e900053ecc -:0206ea000543c6 -:0206eb000547c1 -:0206ec00054cbb -:0206ed000551b5 -:0206ee000555b0 -:0206ef00055aaa -:0206f000055fa4 -:0206f10005639f -:0206f200056899 -:0206f300056d93 -:0206f40005718e -:0206f500057688 -:0206f600057a83 -:0206f700057f7d -:0206f800058378 -:0206f900058872 -:0206fa00058d6c -:0206fb00059167 -:0206fc00059661 -:0206fd00059a5c -:0206fe00059f56 -:0206ff0005a351 -:0207000005a74b -:0207010005ac45 -:0207020005b040 -:0207030005b53a -:0207040005b935 -:0207050005bd30 -:0207060005c22a -:0207070005c625 -:0207080005cb1f -:0207090005cf1a -:02070a0005d315 -:02070b0005d710 -:02070c0005dc0a -:02070d0005e005 -:02070e0005e400 -:02070f0005e9fa -:0207100005edf5 -:0207110005f1f0 -:0207120005f5eb -:0207130005f9e6 -:0207140005fde1 -:020715000602da -:020716000606d5 -:02071700060ad0 -:02071800060ecb -:020719000612c6 -:02071a000616c1 -:02071b00061abc -:02071c00061eb7 -:02071d000622b2 -:02071e000626ad -:02071f00062aa8 -:02072000062ea3 -:0207210006329e -:02072200063699 -:02072300063a94 -:02072400063e8f -:0207250006428a -:02072600064685 -:02072700064a80 -:02072800064e7b -:02072900065276 -:02072a00065572 -:02072b0006596d -:02072c00065d68 -:02072d00066163 -:02072e0006655e -:02072f0006685a -:02073000066c55 -:02073100067050 -:0207320006744b -:02073300067747 -:02073400067b42 -:02073500067f3d -:02073600068239 -:02073700068634 -:02073800068a2f -:02073900068d2b -:02073a00069126 -:02073b00069422 -:02073c0006981d -:02073d00069b19 -:02073e00069f14 -:02073f0006a30f -:0207400006a60b -:0207410006a907 -:0207420006ad02 -:0207430006b0fe -:0207440006b4f9 -:0207450006b7f5 -:0207460006bbf0 -:0207470006beec -:0207480006c1e8 -:0207490006c5e3 -:02074a0006c8df -:02074b0006cbdb -:02074c0006cfd6 -:02074d0006d2d2 -:02074e0006d5ce -:02074f0006d9c9 -:0207500006dcc5 -:0207510006dfc1 -:0207520006e2bd -:0207530006e5b9 -:0207540006e9b4 -:0207550006ecb0 -:0207560006efac -:0207570006f2a8 -:0207580006f5a4 -:0207590006f8a0 -:02075a0006fb9c -:02075b0006fe98 -:02075c00070193 -:02075d0007048f -:02075e0007078b -:02075f00070a87 -:02076000070d83 -:0207610007107f -:0207620007137b -:02076300071677 -:02076400071973 -:02076500071c6f -:02076600071f6b -:02076700072267 -:02076800072464 -:02076900072760 -:02076a00072a5c -:02076b00072d58 -:02076c00073054 -:02076d00073251 -:02076e0007354d -:02076f00073849 -:02077000073a46 -:02077100073d42 -:0207720007403e -:0207730007423b -:02077400074537 -:02077500074833 -:02077600074a30 -:02077700074d2c -:02077800074f29 -:02077900075225 -:02077a00075422 -:02077b0007571e -:02077c0007591b -:02077d00075c17 -:02077e00075e14 -:02077f00076110 -:0207800007630d -:02078100076609 -:02078200076806 -:02078300076a03 -:02078400076dff -:02078500076ffc -:020786000771f9 -:020787000774f5 -:020788000776f2 -:020789000778ef -:02078a00077aec -:02078b00077de8 -:02078c00077fe5 -:02078d000781e2 -:02078e000783df -:02078f000785dc -:020790000787d9 -:020791000789d6 -:02079200078cd2 -:02079300078ecf -:020794000790cc -:020795000792c9 -:020796000794c6 -:020797000796c3 -:020798000798c0 -:02079900079abd -:02079a00079cba -:02079b00079eb7 -:02079c00079fb5 -:02079d0007a1b2 -:02079e0007a3af -:02079f0007a5ac -:0207a00007a7a9 -:0207a10007a9a6 -:0207a20007aaa4 -:0207a30007aca1 -:0207a40007ae9e -:0207a50007b09b -:0207a60007b199 -:0207a70007b396 -:0207a80007b593 -:0207a90007b790 -:0207aa0007b88e -:0207ab0007ba8b -:0207ac0007bb89 -:0207ad0007bd86 -:0207ae0007bf83 -:0207af0007c081 -:0207b00007c27e -:0207b10007c37c -:0207b20007c579 -:0207b30007c677 -:0207b40007c874 -:0207b50007c972 -:0207b60007ca70 -:0207b70007cc6d -:0207b80007cd6b -:0207b90007cf68 -:0207ba0007d066 -:0207bb0007d164 -:0207bc0007d361 -:0207bd0007d45f -:0207be0007d55d -:0207bf0007d65b -:0207c00007d858 -:0207c10007d956 -:0207c20007da54 -:0207c30007db52 -:0207c40007dc50 -:0207c50007de4d -:0207c60007df4b -:0207c70007e049 -:0207c80007e147 -:0207c90007e245 -:0207ca0007e343 -:0207cb0007e441 -:0207cc0007e53f -:0207cd0007e63d -:0207ce0007e73b -:0207cf0007e839 -:0207d00007e937 -:0207d10007ea35 -:0207d20007eb33 -:0207d30007ec31 -:0207d40007ec30 -:0207d50007ed2e -:0207d60007ee2c -:0207d70007ef2a -:0207d80007f028 -:0207d90007f027 -:0207da0007f125 -:0207db0007f223 -:0207dc0007f321 -:0207dd0007f320 -:0207de0007f41e -:0207df0007f51c -:0207e00007f51b -:0207e10007f619 -:0207e20007f618 -:0207e30007f716 -:0207e40007f715 -:0207e50007f813 -:0207e60007f812 -:0207e70007f910 -:0207e80007f90f -:0207e90007fa0d -:0207ea0007fa0c -:0207eb0007fb0a -:0207ec0007fb09 -:0207ed0007fc07 -:0207ee0007fc06 -:0207ef0007fc05 -:0207f00007fd03 -:0207f10007fd02 -:0207f20007fd01 -:0207f30007fd00 -:0207f40007fefe -:0207f50007fefd -:0207f60007fefc -:0207f70007fefb -:0207f80007fefa -:0207f90007fff8 -:0207fa0007fff7 -:0207fb0007fff6 -:0207fc0007fff5 -:0207fd0007fff4 -:0207fe0007fff3 -:0207ff0007fff2 -:00000001FF diff --git a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_cos_f.hex b/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_cos_f.hex deleted file mode 100644 index 432a3f3..0000000 --- a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_cos_f.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:0200000007fff8 -:0200010007fff7 -:0200020007fff6 -:0200030007fff5 -:0200040007fff4 -:0200050007fff3 -:0200060007fff2 -:0200070007fff1 -:0200080007fff0 -:0200090007ffef -:02000a0007ffee -:02000b0007ffed -:02000c0007ffec -:02000d0007ffeb -:02000e0007ffea -:02000f0007ffe9 -:0200100007ffe8 -:0200110007ffe7 -:0200120007ffe6 -:0200130007ffe5 -:0200140007ffe4 -:0200150007ffe3 -:0200160007ffe2 -:0200170007ffe1 -:0200180007ffe0 -:0200190007ffdf -:02001a0007ffde -:02001b0007ffdd -:02001c0007ffdc -:02001d0007ffdb -:02001e0007ffda -:02001f0007ffd9 -:0200200007ffd8 -:0200210007ffd7 -:0200220007ffd6 -:0200230007ffd5 -:0200240007ffd4 -:0200250007ffd3 -:0200260007ffd2 -:0200270007ffd1 -:0200280007ffd0 -:0200290007ffcf -:02002a0007ffce -:02002b0007ffcd -:02002c0007ffcc -:02002d0007ffcb -:02002e0007ffca -:02002f0007ffc9 -:0200300007ffc8 -:0200310007ffc7 -:0200320007ffc6 -:0200330007ffc5 -:0200340007ffc4 -:0200350007ffc3 -:0200360007ffc2 -:0200370007ffc1 -:0200380007ffc0 -:0200390007ffbf -:02003a0007ffbe -:02003b0007ffbd -:02003c0007ffbc -:02003d0007ffbb -:02003e0007ffba -:02003f0007ffb9 -:0200400007ffb8 -:0200410007ffb7 -:0200420007ffb6 -:0200430007ffb5 -:0200440007ffb4 -:0200450007ffb3 -:0200460007ffb2 -:0200470007ffb1 -:0200480007ffb0 -:0200490007ffaf -:02004a0007ffae -:02004b0007ffad -:02004c0007ffac -:02004d0007ffab -:02004e0007ffaa -:02004f0007ffa9 -:0200500007ffa8 -:0200510007ffa7 -:0200520007ffa6 -:0200530007ffa5 -:0200540007ffa4 -:0200550007ffa3 -:0200560007ffa2 -:0200570007ffa1 -:0200580007ffa0 -:0200590007ff9f -:02005a0007ff9e -:02005b0007ff9d -:02005c0007ff9c -:02005d0007ff9b -:02005e0007ff9a -:02005f0007ff99 -:0200600007ff98 -:0200610007ff97 -:0200620007ff96 -:0200630007ff95 -:0200640007ff94 -:0200650007ff93 -:0200660007ff92 -:0200670007ff91 -:0200680007ff90 -:0200690007ff8f -:02006a0007ff8e -:02006b0007ff8d -:02006c0007ff8c -:02006d0007ff8b -:02006e0007ff8a -:02006f0007ff89 -:0200700007ff88 -:0200710007ff87 -:0200720007ff86 -:0200730007ff85 -:0200740007ff84 -:0200750007ff83 -:0200760007ff82 -:0200770007ff81 -:0200780007ff80 -:0200790007ff7f -:02007a0007ff7e -:02007b0007ff7d -:02007c0007ff7c -:02007d0007ff7b -:02007e0007ff7a -:02007f0007ff79 -:0200800007ff78 -:0200810007ff77 -:0200820007ff76 -:0200830007ff75 -:0200840007ff74 -:0200850007ff73 -:0200860007ff72 -:0200870007ff71 -:0200880007ff70 -:0200890007ff6f -:02008a0007ff6e -:02008b0007ff6d -:02008c0007ff6c -:02008d0007ff6b -:02008e0007ff6a -:02008f0007ff69 -:0200900007ff68 -:0200910007ff67 -:0200920007ff66 -:0200930007ff65 -:0200940007ff64 -:0200950007ff63 -:0200960007ff62 -:0200970007ff61 -:0200980007ff60 -:0200990007ff5f -:02009a0007ff5e -:02009b0007ff5d -:02009c0007ff5c -:02009d0007ff5b -:02009e0007ff5a -:02009f0007ff59 -:0200a00007ff58 -:0200a10007ff57 -:0200a20007ff56 -:0200a30007ff55 -:0200a40007ff54 -:0200a50007ff53 -:0200a60007ff52 -:0200a70007ff51 -:0200a80007ff50 -:0200a90007ff4f -:0200aa0007ff4e -:0200ab0007ff4d -:0200ac0007ff4c -:0200ad0007ff4b -:0200ae0007ff4a -:0200af0007ff49 -:0200b00007ff48 -:0200b10007ff47 -:0200b20007ff46 -:0200b30007ff45 -:0200b40007ff44 -:0200b50007ff43 -:0200b60007ff42 -:0200b70007ff41 -:0200b80007ff40 -:0200b90007ff3f -:0200ba0007ff3e -:0200bb0007ff3d -:0200bc0007ff3c -:0200bd0007ff3b -:0200be0007ff3a -:0200bf0007ff39 -:0200c00007ff38 -:0200c10007ff37 -:0200c20007ff36 -:0200c30007ff35 -:0200c40007ff34 -:0200c50007ff33 -:0200c60007ff32 -:0200c70007ff31 -:0200c80007ff30 -:0200c90007ff2f -:0200ca0007ff2e -:0200cb0007ff2d -:0200cc0007ff2c -:0200cd0007ff2b -:0200ce0007ff2a -:0200cf0007ff29 -:0200d00007ff28 -:0200d10007ff27 -:0200d20007ff26 -:0200d30007ff25 -:0200d40007ff24 -:0200d50007ff23 -:0200d60007ff22 -:0200d70007ff21 -:0200d80007ff20 -:0200d90007ff1f -:0200da0007ff1e -:0200db0007ff1d -:0200dc0007ff1c -:0200dd0007ff1b -:0200de0007ff1a -:0200df0007ff19 -:0200e00007ff18 -:0200e10007ff17 -:0200e20007ff16 -:0200e30007ff15 -:0200e40007ff14 -:0200e50007ff13 -:0200e60007ff12 -:0200e70007ff11 -:0200e80007ff10 -:0200e90007ff0f -:0200ea0007ff0e -:0200eb0007ff0d -:0200ec0007ff0c -:0200ed0007ff0b -:0200ee0007ff0a -:0200ef0007ff09 -:0200f00007ff08 -:0200f10007ff07 -:0200f20007ff06 -:0200f30007ff05 -:0200f40007ff04 -:0200f50007ff03 -:0200f60007ff02 -:0200f70007ff01 -:0200f80007ff00 -:0200f90007ffff -:0200fa0007fffe -:0200fb0007fffd -:0200fc0007fffc -:0200fd0007fffb -:0200fe0007fffa -:0200ff0007fff9 -:0201000007fff7 -:0201010007fff6 -:0201020007fff5 -:0201030007fff4 -:0201040007fff3 -:0201050007fff2 -:0201060007fff1 -:0201070007fff0 -:0201080007ffef -:0201090007ffee -:02010a0007ffed -:02010b0007ffec -:02010c0007ffeb -:02010d0007ffea -:02010e0007ffe9 -:02010f0007ffe8 -:0201100007ffe7 -:0201110007ffe6 -:0201120007ffe5 -:0201130007ffe4 -:0201140007ffe3 -:0201150007ffe2 -:0201160007ffe1 -:0201170007ffe0 -:0201180007ffdf -:0201190007ffde -:02011a0007ffdd -:02011b0007ffdc -:02011c0007ffdb -:02011d0007ffda -:02011e0007ffd9 -:02011f0007ffd8 -:0201200007ffd7 -:0201210007ffd6 -:0201220007ffd5 -:0201230007ffd4 -:0201240007ffd3 -:0201250007ffd2 -:0201260007ffd1 -:0201270007ffd0 -:0201280007ffcf -:0201290007ffce -:02012a0007ffcd -:02012b0007ffcc -:02012c0007ffcb -:02012d0007ffca -:02012e0007ffc9 -:02012f0007ffc8 -:0201300007ffc7 -:0201310007ffc6 -:0201320007ffc5 -:0201330007ffc4 -:0201340007ffc3 -:0201350007ffc2 -:0201360007ffc1 -:0201370007ffc0 -:0201380007ffbf -:0201390007ffbe -:02013a0007ffbd -:02013b0007ffbc -:02013c0007ffbb -:02013d0007ffba -:02013e0007ffb9 -:02013f0007ffb8 -:0201400007ffb7 -:0201410007ffb6 -:0201420007ffb5 -:0201430007ffb4 -:0201440007ffb3 -:0201450007ffb2 -:0201460007ffb1 -:0201470007ffb0 -:0201480007ffaf -:0201490007ffae -:02014a0007ffad -:02014b0007ffac -:02014c0007ffab -:02014d0007ffaa -:02014e0007ffa9 -:02014f0007ffa8 -:0201500007ffa7 -:0201510007ffa6 -:0201520007ffa5 -:0201530007ffa4 -:0201540007ffa3 -:0201550007ffa2 -:0201560007ffa1 -:0201570007ffa0 -:0201580007ff9f -:0201590007ff9e -:02015a0007ff9d -:02015b0007ff9c -:02015c0007ff9b -:02015d0007ff9a -:02015e0007ff99 -:02015f0007ff98 -:0201600007ff97 -:0201610007ff96 -:0201620007ff95 -:0201630007ff94 -:0201640007ff93 -:0201650007ff92 -:0201660007ff91 -:0201670007ff90 -:0201680007ff8f -:0201690007ff8e -:02016a0007ff8d -:02016b0007ff8c -:02016c0007ff8b -:02016d0007ff8a -:02016e0007ff89 -:02016f0007ff88 -:0201700007ff87 -:0201710007ff86 -:0201720007ff85 -:0201730007ff84 -:0201740007ff83 -:0201750007ff82 -:0201760007ff81 -:0201770007ff80 -:0201780007ff7f -:0201790007ff7e -:02017a0007ff7d -:02017b0007ff7c -:02017c0007ff7b -:02017d0007ff7a -:02017e0007ff79 -:02017f0007ff78 -:0201800007ff77 -:0201810007ff76 -:0201820007ff75 -:0201830007ff74 -:0201840007ff73 -:0201850007ff72 -:0201860007ff71 -:0201870007ff70 -:0201880007ff6f -:0201890007ff6e -:02018a0007ff6d -:02018b0007ff6c -:02018c0007ff6b -:02018d0007ff6a -:02018e0007ff69 -:02018f0007ff68 -:0201900007ff67 -:0201910007ff66 -:0201920007ff65 -:0201930007ff64 -:0201940007ff63 -:0201950007ff62 -:0201960007ff61 -:0201970007ff60 -:0201980007ff5f -:0201990007ff5e -:02019a0007ff5d -:02019b0007ff5c -:02019c0007ff5b -:02019d0007ff5a -:02019e0007ff59 -:02019f0007ff58 -:0201a00007ff57 -:0201a10007ff56 -:0201a20007ff55 -:0201a30007ff54 -:0201a40007ff53 -:0201a50007ff52 -:0201a60007ff51 -:0201a70007ff50 -:0201a80007ff4f -:0201a90007ff4e -:0201aa0007ff4d -:0201ab0007ff4c -:0201ac0007ff4b -:0201ad0007ff4a -:0201ae0007ff49 -:0201af0007ff48 -:0201b00007ff47 -:0201b10007ff46 -:0201b20007ff45 -:0201b30007ff44 -:0201b40007ff43 -:0201b50007ff42 -:0201b60007ff41 -:0201b70007ff40 -:0201b80007ff3f -:0201b90007ff3e -:0201ba0007ff3d -:0201bb0007ff3c -:0201bc0007ff3b -:0201bd0007ff3a -:0201be0007ff39 -:0201bf0007ff38 -:0201c00007ff37 -:0201c10007ff36 -:0201c20007ff35 -:0201c30007ff34 -:0201c40007ff33 -:0201c50007ff32 -:0201c60007ff31 -:0201c70007ff30 -:0201c80007ff2f -:0201c90007ff2e -:0201ca0007ff2d -:0201cb0007ff2c -:0201cc0007ff2b -:0201cd0007ff2a -:0201ce0007ff29 -:0201cf0007ff28 -:0201d00007ff27 -:0201d10007ff26 -:0201d20007ff25 -:0201d30007ff24 -:0201d40007ff23 -:0201d50007ff22 -:0201d60007ff21 -:0201d70007ff20 -:0201d80007ff1f -:0201d90007ff1e -:0201da0007ff1d -:0201db0007ff1c -:0201dc0007ff1b -:0201dd0007ff1a -:0201de0007ff19 -:0201df0007ff18 -:0201e00007ff17 -:0201e10007ff16 -:0201e20007ff15 -:0201e30007ff14 -:0201e40007ff13 -:0201e50007ff12 -:0201e60007ff11 -:0201e70007ff10 -:0201e80007ff0f -:0201e90007ff0e -:0201ea0007ff0d -:0201eb0007ff0c -:0201ec0007ff0b -:0201ed0007ff0a -:0201ee0007ff09 -:0201ef0007ff08 -:0201f00007ff07 -:0201f10007ff06 -:0201f20007ff05 -:0201f30007ff04 -:0201f40007ff03 -:0201f50007ff02 -:0201f60007ff01 -:0201f70007ff00 -:0201f80007ffff -:0201f90007fffe -:0201fa0007fffd -:0201fb0007fffc -:0201fc0007fffb -:0201fd0007fffa -:0201fe0007fff9 -:0201ff0007fff8 -:0202000007fff6 -:0202010007fff5 -:0202020007fff4 -:0202030007fff3 -:0202040007fff2 -:0202050007fff1 -:0202060007fff0 -:0202070007ffef -:0202080007ffee -:0202090007ffed -:02020a0007ffec -:02020b0007ffeb -:02020c0007ffea -:02020d0007ffe9 -:02020e0007ffe8 -:02020f0007ffe7 -:0202100007ffe6 -:0202110007ffe5 -:0202120007ffe4 -:0202130007ffe3 -:0202140007ffe2 -:0202150007ffe1 -:0202160007ffe0 -:0202170007ffdf -:0202180007ffde -:0202190007ffdd -:02021a0007ffdc -:02021b0007ffdb -:02021c0007ffda -:02021d0007ffd9 -:02021e0007ffd8 -:02021f0007ffd7 -:0202200007ffd6 -:0202210007ffd5 -:0202220007ffd4 -:0202230007ffd3 -:0202240007ffd2 -:0202250007ffd1 -:0202260007ffd0 -:0202270007ffcf -:0202280007ffce -:0202290007ffcd -:02022a0007ffcc -:02022b0007ffcb -:02022c0007ffca -:02022d0007ffc9 -:02022e0007ffc8 -:02022f0007ffc7 -:0202300007ffc6 -:0202310007ffc5 -:0202320007ffc4 -:0202330007ffc3 -:0202340007ffc2 -:0202350007ffc1 -:0202360007ffc0 -:0202370007ffbf -:0202380007ffbe -:0202390007ffbd -:02023a0007ffbc -:02023b0007ffbb -:02023c0007ffba -:02023d0007ffb9 -:02023e0007ffb8 -:02023f0007ffb7 -:0202400007ffb6 -:0202410007ffb5 -:0202420007ffb4 -:0202430007ffb3 -:0202440007ffb2 -:0202450007ffb1 -:0202460007ffb0 -:0202470007ffaf -:0202480007ffae -:0202490007ffad -:02024a0007ffac -:02024b0007ffab -:02024c0007ffaa -:02024d0007ffa9 -:02024e0007ffa8 -:02024f0007ffa7 -:0202500007ffa6 -:0202510007ffa5 -:0202520007ffa4 -:0202530007ffa3 -:0202540007ffa2 -:0202550007ffa1 -:0202560007ffa0 -:0202570007ff9f -:0202580007ff9e -:0202590007ff9d -:02025a0007ff9c -:02025b0007ff9b -:02025c0007ff9a -:02025d0007ff99 -:02025e0007ff98 -:02025f0007ff97 -:0202600007ff96 -:0202610007ff95 -:0202620007ff94 -:0202630007ff93 -:0202640007ff92 -:0202650007ff91 -:0202660007ff90 -:0202670007ff8f -:0202680007ff8e -:0202690007ff8d -:02026a0007ff8c -:02026b0007ff8b -:02026c0007ff8a -:02026d0007ff89 -:02026e0007ff88 -:02026f0007ff87 -:0202700007ff86 -:0202710007ff85 -:0202720007ff84 -:0202730007ff83 -:0202740007ff82 -:0202750007ff81 -:0202760007ff80 -:0202770007ff7f -:0202780007ff7e -:0202790007ff7d -:02027a0007ff7c -:02027b0007ff7b -:02027c0007ff7a -:02027d0007ff79 -:02027e0007ff78 -:02027f0007ff77 -:0202800007ff76 -:0202810007ff75 -:0202820007ff74 -:0202830007ff73 -:0202840007ff72 -:0202850007ff71 -:0202860007ff70 -:0202870007ff6f -:0202880007ff6e -:0202890007ff6d -:02028a0007ff6c -:02028b0007ff6b -:02028c0007ff6a -:02028d0007ff69 -:02028e0007ff68 -:02028f0007ff67 -:0202900007ff66 -:0202910007ff65 -:0202920007ff64 -:0202930007ff63 -:0202940007ff62 -:0202950007ff61 -:0202960007ff60 -:0202970007ff5f -:0202980007ff5e -:0202990007ff5d -:02029a0007ff5c -:02029b0007ff5b -:02029c0007ff5a -:02029d0007ff59 -:02029e0007ff58 -:02029f0007ff57 -:0202a00007ff56 -:0202a10007ff55 -:0202a20007ff54 -:0202a30007ff53 -:0202a40007ff52 -:0202a50007ff51 -:0202a60007ff50 -:0202a70007ff4f -:0202a80007ff4e -:0202a90007ff4d -:0202aa0007ff4c -:0202ab0007ff4b -:0202ac0007ff4a -:0202ad0007ff49 -:0202ae0007ff48 -:0202af0007ff47 -:0202b00007ff46 -:0202b10007ff45 -:0202b20007ff44 -:0202b30007ff43 -:0202b40007ff42 -:0202b50007ff41 -:0202b60007ff40 -:0202b70007ff3f -:0202b80007ff3e -:0202b90007ff3d -:0202ba0007ff3c -:0202bb0007ff3b -:0202bc0007ff3a -:0202bd0007ff39 -:0202be0007ff38 -:0202bf0007ff37 -:0202c00007ff36 -:0202c10007ff35 -:0202c20007ff34 -:0202c30007ff33 -:0202c40007ff32 -:0202c50007ff31 -:0202c60007ff30 -:0202c70007ff2f -:0202c80007ff2e -:0202c90007ff2d -:0202ca0007ff2c -:0202cb0007ff2b -:0202cc0007ff2a -:0202cd0007ff29 -:0202ce0007ff28 -:0202cf0007ff27 -:0202d00007ff26 -:0202d10007ff25 -:0202d20007ff24 -:0202d30007ff23 -:0202d40007ff22 -:0202d50007ff21 -:0202d60007ff20 -:0202d70007ff1f -:0202d80007ff1e -:0202d90007ff1d -:0202da0007ff1c -:0202db0007ff1b -:0202dc0007ff1a -:0202dd0007ff19 -:0202de0007ff18 -:0202df0007ff17 -:0202e00007ff16 -:0202e10007ff15 -:0202e20007ff14 -:0202e30007ff13 -:0202e40007ff12 -:0202e50007ff11 -:0202e60007ff10 -:0202e70007ff0f -:0202e80007ff0e -:0202e90007ff0d -:0202ea0007ff0c -:0202eb0007ff0b -:0202ec0007ff0a -:0202ed0007ff09 -:0202ee0007ff08 -:0202ef0007ff07 -:0202f00007ff06 -:0202f10007ff05 -:0202f20007ff04 -:0202f30007ff03 -:0202f40007ff02 -:0202f50007ff01 -:0202f60007ff00 -:0202f70007ffff -:0202f80007fffe -:0202f90007fffd -:0202fa0007fffc -:0202fb0007fffb -:0202fc0007fffa -:0202fd0007fff9 -:0202fe0007fff8 -:0202ff0007fff7 -:0203000007fff5 -:0203010007fff4 -:0203020007fff3 -:0203030007fff2 -:0203040007fff1 -:0203050007fff0 -:0203060007ffef -:0203070007ffee -:0203080007ffed -:0203090007ffec -:02030a0007ffeb -:02030b0007ffea -:02030c0007ffe9 -:02030d0007ffe8 -:02030e0007ffe7 -:02030f0007ffe6 -:0203100007ffe5 -:0203110007ffe4 -:0203120007ffe3 -:0203130007ffe2 -:0203140007ffe1 -:0203150007ffe0 -:0203160007ffdf -:0203170007ffde -:0203180007ffdd -:0203190007ffdc -:02031a0007ffdb -:02031b0007ffda -:02031c0007ffd9 -:02031d0007ffd8 -:02031e0007ffd7 -:02031f0007ffd6 -:0203200007ffd5 -:0203210007ffd4 -:0203220007ffd3 -:0203230007ffd2 -:0203240007ffd1 -:0203250007ffd0 -:0203260007ffcf -:0203270007ffce -:0203280007ffcd -:0203290007ffcc -:02032a0007ffcb -:02032b0007ffca -:02032c0007ffc9 -:02032d0007ffc8 -:02032e0007ffc7 -:02032f0007ffc6 -:0203300007ffc5 -:0203310007ffc4 -:0203320007ffc3 -:0203330007ffc2 -:0203340007ffc1 -:0203350007ffc0 -:0203360007ffbf -:0203370007ffbe -:0203380007ffbd -:0203390007ffbc -:02033a0007ffbb -:02033b0007ffba -:02033c0007ffb9 -:02033d0007ffb8 -:02033e0007ffb7 -:02033f0007ffb6 -:0203400007ffb5 -:0203410007ffb4 -:0203420007ffb3 -:0203430007ffb2 -:0203440007ffb1 -:0203450007ffb0 -:0203460007ffaf -:0203470007ffae -:0203480007ffad -:0203490007ffac -:02034a0007ffab -:02034b0007ffaa -:02034c0007ffa9 -:02034d0007ffa8 -:02034e0007ffa7 -:02034f0007ffa6 -:0203500007ffa5 -:0203510007ffa4 -:0203520007ffa3 -:0203530007ffa2 -:0203540007ffa1 -:0203550007ffa0 -:0203560007ff9f -:0203570007ff9e -:0203580007ff9d -:0203590007ff9c -:02035a0007ff9b -:02035b0007ff9a -:02035c0007ff99 -:02035d0007ff98 -:02035e0007ff97 -:02035f0007ff96 -:0203600007ff95 -:0203610007ff94 -:0203620007ff93 -:0203630007ff92 -:0203640007ff91 -:0203650007ff90 -:0203660007ff8f -:0203670007ff8e -:0203680007ff8d -:0203690007ff8c -:02036a0007ff8b -:02036b0007ff8a -:02036c0007ff89 -:02036d0007ff88 -:02036e0007ff87 -:02036f0007ff86 -:0203700007ff85 -:0203710007ff84 -:0203720007ff83 -:0203730007ff82 -:0203740007ff81 -:0203750007ff80 -:0203760007ff7f -:0203770007ff7e -:0203780007ff7d -:0203790007ff7c -:02037a0007ff7b -:02037b0007ff7a -:02037c0007ff79 -:02037d0007ff78 -:02037e0007ff77 -:02037f0007ff76 -:0203800007ff75 -:0203810007ff74 -:0203820007ff73 -:0203830007ff72 -:0203840007ff71 -:0203850007ff70 -:0203860007ff6f -:0203870007ff6e -:0203880007ff6d -:0203890007ff6c -:02038a0007ff6b -:02038b0007ff6a -:02038c0007ff69 -:02038d0007ff68 -:02038e0007ff67 -:02038f0007ff66 -:0203900007ff65 -:0203910007ff64 -:0203920007ff63 -:0203930007ff62 -:0203940007ff61 -:0203950007ff60 -:0203960007ff5f -:0203970007ff5e -:0203980007ff5d -:0203990007ff5c -:02039a0007ff5b -:02039b0007ff5a -:02039c0007ff59 -:02039d0007ff58 -:02039e0007ff57 -:02039f0007ff56 -:0203a00007ff55 -:0203a10007ff54 -:0203a20007ff53 -:0203a30007ff52 -:0203a40007ff51 -:0203a50007ff50 -:0203a60007ff4f -:0203a70007ff4e -:0203a80007ff4d -:0203a90007ff4c -:0203aa0007ff4b -:0203ab0007ff4a -:0203ac0007ff49 -:0203ad0007ff48 -:0203ae0007ff47 -:0203af0007ff46 -:0203b00007ff45 -:0203b10007ff44 -:0203b20007ff43 -:0203b30007ff42 -:0203b40007ff41 -:0203b50007ff40 -:0203b60007ff3f -:0203b70007ff3e -:0203b80007ff3d -:0203b90007ff3c -:0203ba0007ff3b -:0203bb0007ff3a -:0203bc0007ff39 -:0203bd0007ff38 -:0203be0007ff37 -:0203bf0007ff36 -:0203c00007ff35 -:0203c10007ff34 -:0203c20007ff33 -:0203c30007ff32 -:0203c40007ff31 -:0203c50007ff30 -:0203c60007ff2f -:0203c70007ff2e -:0203c80007ff2d -:0203c90007ff2c -:0203ca0007ff2b -:0203cb0007ff2a -:0203cc0007ff29 -:0203cd0007ff28 -:0203ce0007ff27 -:0203cf0007ff26 -:0203d00007ff25 -:0203d10007ff24 -:0203d20007ff23 -:0203d30007ff22 -:0203d40007ff21 -:0203d50007ff20 -:0203d60007ff1f -:0203d70007ff1e -:0203d80007ff1d -:0203d90007ff1c -:0203da0007ff1b -:0203db0007ff1a -:0203dc0007ff19 -:0203dd0007ff18 -:0203de0007ff17 -:0203df0007ff16 -:0203e00007ff15 -:0203e10007ff14 -:0203e20007ff13 -:0203e30007ff12 -:0203e40007ff11 -:0203e50007ff10 -:0203e60007ff0f -:0203e70007ff0e -:0203e80007ff0d -:0203e90007ff0c -:0203ea0007ff0b -:0203eb0007ff0a -:0203ec0007ff09 -:0203ed0007ff08 -:0203ee0007ff07 -:0203ef0007ff06 -:0203f00007ff05 -:0203f10007ff04 -:0203f20007ff03 -:0203f30007ff02 -:0203f40007ff01 -:0203f50007ff00 -:0203f60007ffff -:0203f70007fffe -:0203f80007fffd -:0203f90007fffc -:0203fa0007fffb -:0203fb0007fffa -:0203fc0007fff9 -:0203fd0007fff8 -:0203fe0007fff7 -:0203ff0007fff6 -:0204000007fff4 -:0204010007fff3 -:0204020007fff2 -:0204030007fff1 -:0204040007fff0 -:0204050007ffef -:0204060007ffee -:0204070007ffed -:0204080007ffec -:0204090007ffeb -:02040a0007ffea -:02040b0007ffe9 -:02040c0007ffe8 -:02040d0007ffe7 -:02040e0007ffe6 -:02040f0007ffe5 -:0204100007ffe4 -:0204110007ffe3 -:0204120007ffe2 -:0204130007ffe1 -:0204140007ffe0 -:0204150007ffdf -:0204160007ffde -:0204170007ffdd -:0204180007ffdc -:0204190007ffdb -:02041a0007ffda -:02041b0007ffd9 -:02041c0007ffd8 -:02041d0007ffd7 -:02041e0007ffd6 -:02041f0007ffd5 -:0204200007ffd4 -:0204210007ffd3 -:0204220007ffd2 -:0204230007ffd1 -:0204240007ffd0 -:0204250007ffcf -:0204260007ffce -:0204270007ffcd -:0204280007ffcc -:0204290007ffcb -:02042a0007ffca -:02042b0007ffc9 -:02042c0007ffc8 -:02042d0007ffc7 -:02042e0007ffc6 -:02042f0007ffc5 -:0204300007ffc4 -:0204310007ffc3 -:0204320007ffc2 -:0204330007ffc1 -:0204340007ffc0 -:0204350007ffbf -:0204360007ffbe -:0204370007ffbd -:0204380007ffbc -:0204390007ffbb -:02043a0007ffba -:02043b0007ffb9 -:02043c0007ffb8 -:02043d0007ffb7 -:02043e0007ffb6 -:02043f0007ffb5 -:0204400007ffb4 -:0204410007ffb3 -:0204420007ffb2 -:0204430007ffb1 -:0204440007ffb0 -:0204450007ffaf -:0204460007ffae -:0204470007ffad -:0204480007ffac -:0204490007ffab -:02044a0007ffaa -:02044b0007ffa9 -:02044c0007ffa8 -:02044d0007ffa7 -:02044e0007ffa6 -:02044f0007ffa5 -:0204500007ffa4 -:0204510007ffa3 -:0204520007ffa2 -:0204530007ffa1 -:0204540007ffa0 -:0204550007ff9f -:0204560007ff9e -:0204570007ff9d -:0204580007ff9c -:0204590007ff9b -:02045a0007ff9a -:02045b0007ff99 -:02045c0007ff98 -:02045d0007ff97 -:02045e0007ff96 -:02045f0007ff95 -:0204600007ff94 -:0204610007ff93 -:0204620007ff92 -:0204630007ff91 -:0204640007ff90 -:0204650007ff8f -:0204660007ff8e -:0204670007ff8d -:0204680007ff8c -:0204690007ff8b -:02046a0007ff8a -:02046b0007ff89 -:02046c0007ff88 -:02046d0007ff87 -:02046e0007ff86 -:02046f0007ff85 -:0204700007ff84 -:0204710007ff83 -:0204720007ff82 -:0204730007ff81 -:0204740007ff80 -:0204750007ff7f -:0204760007ff7e -:0204770007ff7d -:0204780007ff7c -:0204790007ff7b -:02047a0007ff7a -:02047b0007ff79 -:02047c0007ff78 -:02047d0007ff77 -:02047e0007ff76 -:02047f0007ff75 -:0204800007ff74 -:0204810007ff73 -:0204820007ff72 -:0204830007ff71 -:0204840007ff70 -:0204850007ff6f -:0204860007ff6e -:0204870007ff6d -:0204880007ff6c -:0204890007ff6b -:02048a0007ff6a -:02048b0007ff69 -:02048c0007ff68 -:02048d0007ff67 -:02048e0007ff66 -:02048f0007ff65 -:0204900007ff64 -:0204910007ff63 -:0204920007ff62 -:0204930007ff61 -:0204940007ff60 -:0204950007ff5f -:0204960007ff5e -:0204970007ff5d -:0204980007ff5c -:0204990007ff5b -:02049a0007ff5a -:02049b0007ff59 -:02049c0007ff58 -:02049d0007ff57 -:02049e0007ff56 -:02049f0007ff55 -:0204a00007ff54 -:0204a10007ff53 -:0204a20007ff52 -:0204a30007ff51 -:0204a40007ff50 -:0204a50007ff4f -:0204a60007ff4e -:0204a70007ff4d -:0204a80007ff4c -:0204a90007ff4b -:0204aa0007ff4a -:0204ab0007ff49 -:0204ac0007ff48 -:0204ad0007ff47 -:0204ae0007ff46 -:0204af0007ff45 -:0204b00007ff44 -:0204b10007ff43 -:0204b20007ff42 -:0204b30007ff41 -:0204b40007ff40 -:0204b50007ff3f -:0204b60007ff3e -:0204b70007ff3d -:0204b80007ff3c -:0204b90007ff3b -:0204ba0007ff3a -:0204bb0007ff39 -:0204bc0007ff38 -:0204bd0007ff37 -:0204be0007ff36 -:0204bf0007ff35 -:0204c00007ff34 -:0204c10007ff33 -:0204c20007ff32 -:0204c30007ff31 -:0204c40007ff30 -:0204c50007ff2f -:0204c60007ff2e -:0204c70007ff2d -:0204c80007ff2c -:0204c90007ff2b -:0204ca0007ff2a -:0204cb0007ff29 -:0204cc0007ff28 -:0204cd0007ff27 -:0204ce0007ff26 -:0204cf0007ff25 -:0204d00007ff24 -:0204d10007ff23 -:0204d20007ff22 -:0204d30007ff21 -:0204d40007ff20 -:0204d50007ff1f -:0204d60007ff1e -:0204d70007ff1d -:0204d80007ff1c -:0204d90007ff1b -:0204da0007ff1a -:0204db0007ff19 -:0204dc0007ff18 -:0204dd0007ff17 -:0204de0007ff16 -:0204df0007ff15 -:0204e00007ff14 -:0204e10007ff13 -:0204e20007ff12 -:0204e30007ff11 -:0204e40007ff10 -:0204e50007ff0f -:0204e60007ff0e -:0204e70007ff0d -:0204e80007ff0c -:0204e90007ff0b -:0204ea0007ff0a -:0204eb0007ff09 -:0204ec0007ff08 -:0204ed0007ff07 -:0204ee0007ff06 -:0204ef0007ff05 -:0204f00007ff04 -:0204f10007ff03 -:0204f20007ff02 -:0204f30007ff01 -:0204f40007ff00 -:0204f50007ffff -:0204f60007fffe -:0204f70007fffd -:0204f80007fffc -:0204f90007fffb -:0204fa0007fffa -:0204fb0007fff9 -:0204fc0007fff8 -:0204fd0007fff7 -:0204fe0007fff6 -:0204ff0007fff5 -:0205000007fff3 -:0205010007fff2 -:0205020007fff1 -:0205030007fff0 -:0205040007ffef -:0205050007ffee -:0205060007ffed -:0205070007ffec -:0205080007ffeb -:0205090007ffea -:02050a0007ffe9 -:02050b0007ffe8 -:02050c0007ffe7 -:02050d0007ffe6 -:02050e0007ffe5 -:02050f0007ffe4 -:0205100007ffe3 -:0205110007ffe2 -:0205120007ffe1 -:0205130007ffe0 -:0205140007ffdf -:0205150007ffde -:0205160007ffdd -:0205170007ffdc -:0205180007ffdb -:0205190007ffda -:02051a0007ffd9 -:02051b0007ffd8 -:02051c0007ffd7 -:02051d0007ffd6 -:02051e0007ffd5 -:02051f0007ffd4 -:0205200007ffd3 -:0205210007ffd2 -:0205220007ffd1 -:0205230007ffd0 -:0205240007ffcf -:0205250007ffce -:0205260007ffcd -:0205270007ffcc -:0205280007ffcb -:0205290007ffca -:02052a0007ffc9 -:02052b0007ffc8 -:02052c0007ffc7 -:02052d0007ffc6 -:02052e0007ffc5 -:02052f0007ffc4 -:0205300007ffc3 -:0205310007ffc2 -:0205320007ffc1 -:0205330007ffc0 -:0205340007ffbf -:0205350007ffbe -:0205360007ffbd -:0205370007ffbc -:0205380007ffbb -:0205390007ffba -:02053a0007ffb9 -:02053b0007ffb8 -:02053c0007ffb7 -:02053d0007ffb6 -:02053e0007ffb5 -:02053f0007ffb4 -:0205400007ffb3 -:0205410007ffb2 -:0205420007ffb1 -:0205430007ffb0 -:0205440007ffaf -:0205450007ffae -:0205460007ffad -:0205470007ffac -:0205480007ffab -:0205490007ffaa -:02054a0007ffa9 -:02054b0007ffa8 -:02054c0007ffa7 -:02054d0007ffa6 -:02054e0007ffa5 -:02054f0007ffa4 -:0205500007ffa3 -:0205510007ffa2 -:0205520007ffa1 -:0205530007ffa0 -:0205540007ff9f -:0205550007ff9e -:0205560007ff9d -:0205570007ff9c -:0205580007ff9b -:0205590007ff9a -:02055a0007ff99 -:02055b0007ff98 -:02055c0007ff97 -:02055d0007ff96 -:02055e0007ff95 -:02055f0007ff94 -:0205600007ff93 -:0205610007ff92 -:0205620007ff91 -:0205630007ff90 -:0205640007ff8f -:0205650007ff8e -:0205660007ff8d -:0205670007ff8c -:0205680007ff8b -:0205690007ff8a -:02056a0007ff89 -:02056b0007ff88 -:02056c0007ff87 -:02056d0007ff86 -:02056e0007ff85 -:02056f0007ff84 -:0205700007ff83 -:0205710007ff82 -:0205720007ff81 -:0205730007ff80 -:0205740007ff7f -:0205750007ff7e -:0205760007ff7d -:0205770007ff7c -:0205780007ff7b -:0205790007ff7a -:02057a0007ff79 -:02057b0007ff78 -:02057c0007ff77 -:02057d0007ff76 -:02057e0007ff75 -:02057f0007ff74 -:0205800007ff73 -:0205810007ff72 -:0205820007ff71 -:0205830007ff70 -:0205840007ff6f -:0205850007ff6e -:0205860007ff6d -:0205870007ff6c -:0205880007ff6b -:0205890007ff6a -:02058a0007ff69 -:02058b0007ff68 -:02058c0007ff67 -:02058d0007ff66 -:02058e0007ff65 -:02058f0007ff64 -:0205900007ff63 -:0205910007ff62 -:0205920007ff61 -:0205930007ff60 -:0205940007ff5f -:0205950007ff5e -:0205960007ff5d -:0205970007ff5c -:0205980007ff5b -:0205990007ff5a -:02059a0007ff59 -:02059b0007ff58 -:02059c0007ff57 -:02059d0007ff56 -:02059e0007ff55 -:02059f0007ff54 -:0205a00007ff53 -:0205a10007ff52 -:0205a20007ff51 -:0205a30007ff50 -:0205a40007ff4f -:0205a50007ff4e -:0205a60007ff4d -:0205a70007ff4c -:0205a80007ff4b -:0205a90007ff4a -:0205aa0007ff49 -:0205ab0007ff48 -:0205ac0007ff47 -:0205ad0007ff46 -:0205ae0007ff45 -:0205af0007ff44 -:0205b00007ff43 -:0205b10007ff42 -:0205b20007ff41 -:0205b30007ff40 -:0205b40007ff3f -:0205b50007ff3e -:0205b60007ff3d -:0205b70007ff3c -:0205b80007ff3b -:0205b90007ff3a -:0205ba0007ff39 -:0205bb0007ff38 -:0205bc0007ff37 -:0205bd0007ff36 -:0205be0007ff35 -:0205bf0007ff34 -:0205c00007ff33 -:0205c10007ff32 -:0205c20007ff31 -:0205c30007ff30 -:0205c40007ff2f -:0205c50007ff2e -:0205c60007ff2d -:0205c70007ff2c -:0205c80007ff2b -:0205c90007ff2a -:0205ca0007ff29 -:0205cb0007ff28 -:0205cc0007ff27 -:0205cd0007ff26 -:0205ce0007ff25 -:0205cf0007ff24 -:0205d00007ff23 -:0205d10007ff22 -:0205d20007ff21 -:0205d30007ff20 -:0205d40007ff1f -:0205d50007ff1e -:0205d60007ff1d -:0205d70007ff1c -:0205d80007ff1b -:0205d90007ff1a -:0205da0007ff19 -:0205db0007ff18 -:0205dc0007ff17 -:0205dd0007ff16 -:0205de0007ff15 -:0205df0007ff14 -:0205e00007ff13 -:0205e10007ff12 -:0205e20007ff11 -:0205e30007ff10 -:0205e40007ff0f -:0205e50007ff0e -:0205e60007ff0d -:0205e70007ff0c -:0205e80007ff0b -:0205e90007ff0a -:0205ea0007ff09 -:0205eb0007ff08 -:0205ec0007ff07 -:0205ed0007ff06 -:0205ee0007ff05 -:0205ef0007ff04 -:0205f00007ff03 -:0205f10007ff02 -:0205f20007ff01 -:0205f30007ff00 -:0205f40007ffff -:0205f50007fffe -:0205f60007fffd -:0205f70007fffc -:0205f80007fffb -:0205f90007fffa -:0205fa0007fff9 -:0205fb0007fff8 -:0205fc0007fff7 -:0205fd0007fff6 -:0205fe0007fff5 -:0205ff0007fff4 -:0206000007fff2 -:0206010007fff1 -:0206020007fff0 -:0206030007ffef -:0206040007ffee -:0206050007ffed -:0206060007ffec -:0206070007ffeb -:0206080007ffea -:0206090007ffe9 -:02060a0007ffe8 -:02060b0007ffe7 -:02060c0007ffe6 -:02060d0007ffe5 -:02060e0007ffe4 -:02060f0007ffe3 -:0206100007ffe2 -:0206110007ffe1 -:0206120007ffe0 -:0206130007ffdf -:0206140007ffde -:0206150007ffdd -:0206160007ffdc -:0206170007ffdb -:0206180007ffda -:0206190007ffd9 -:02061a0007ffd8 -:02061b0007ffd7 -:02061c0007ffd6 -:02061d0007ffd5 -:02061e0007ffd4 -:02061f0007ffd3 -:0206200007ffd2 -:0206210007ffd1 -:0206220007ffd0 -:0206230007ffcf -:0206240007ffce -:0206250007ffcd -:0206260007ffcc -:0206270007ffcb -:0206280007ffca -:0206290007ffc9 -:02062a0007ffc8 -:02062b0007ffc7 -:02062c0007ffc6 -:02062d0007ffc5 -:02062e0007ffc4 -:02062f0007ffc3 -:0206300007ffc2 -:0206310007ffc1 -:0206320007ffc0 -:0206330007ffbf -:0206340007ffbe -:0206350007ffbd -:0206360007ffbc -:0206370007ffbb -:0206380007ffba -:0206390007ffb9 -:02063a0007ffb8 -:02063b0007ffb7 -:02063c0007ffb6 -:02063d0007ffb5 -:02063e0007ffb4 -:02063f0007ffb3 -:0206400007ffb2 -:0206410007ffb1 -:0206420007ffb0 -:0206430007ffaf -:0206440007ffae -:0206450007ffad -:0206460007ffac -:0206470007ffab -:0206480007ffaa -:0206490007ffa9 -:02064a0007ffa8 -:02064b0007ffa7 -:02064c0007ffa6 -:02064d0007ffa5 -:02064e0007ffa4 -:02064f0007ffa3 -:0206500007ffa2 -:0206510007ffa1 -:0206520007ffa0 -:0206530007ff9f -:0206540007ff9e -:0206550007ff9d -:0206560007ff9c -:0206570007ff9b -:0206580007ff9a -:0206590007ff99 -:02065a0007ff98 -:02065b0007ff97 -:02065c0007ff96 -:02065d0007ff95 -:02065e0007ff94 -:02065f0007ff93 -:0206600007ff92 -:0206610007ff91 -:0206620007ff90 -:0206630007ff8f -:0206640007ff8e -:0206650007ff8d -:0206660007ff8c -:0206670007ff8b -:0206680007ff8a -:0206690007ff89 -:02066a0007ff88 -:02066b0007ff87 -:02066c0007ff86 -:02066d0007ff85 -:02066e0007ff84 -:02066f0007ff83 -:0206700007ff82 -:0206710007ff81 -:0206720007ff80 -:0206730007ff7f -:0206740007ff7e -:0206750007ff7d -:0206760007ff7c -:0206770007ff7b -:0206780007ff7a -:0206790007ff79 -:02067a0007ff78 -:02067b0007ff77 -:02067c0007ff76 -:02067d0007ff75 -:02067e0007ff74 -:02067f0007ff73 -:0206800007ff72 -:0206810007ff71 -:0206820007ff70 -:0206830007ff6f -:0206840007ff6e -:0206850007ff6d -:0206860007ff6c -:0206870007ff6b -:0206880007ff6a -:0206890007ff69 -:02068a0007ff68 -:02068b0007ff67 -:02068c0007ff66 -:02068d0007ff65 -:02068e0007ff64 -:02068f0007ff63 -:0206900007ff62 -:0206910007ff61 -:0206920007ff60 -:0206930007ff5f -:0206940007ff5e -:0206950007ff5d -:0206960007ff5c -:0206970007ff5b -:0206980007ff5a -:0206990007ff59 -:02069a0007ff58 -:02069b0007ff57 -:02069c0007ff56 -:02069d0007ff55 -:02069e0007ff54 -:02069f0007ff53 -:0206a00007ff52 -:0206a10007ff51 -:0206a20007ff50 -:0206a30007ff4f -:0206a40007ff4e -:0206a50007ff4d -:0206a60007ff4c -:0206a70007ff4b -:0206a80007ff4a -:0206a90007ff49 -:0206aa0007ff48 -:0206ab0007ff47 -:0206ac0007ff46 -:0206ad0007ff45 -:0206ae0007ff44 -:0206af0007ff43 -:0206b00007ff42 -:0206b10007ff41 -:0206b20007ff40 -:0206b30007ff3f -:0206b40007ff3e -:0206b50007ff3d -:0206b60007ff3c -:0206b70007ff3b -:0206b80007ff3a -:0206b90007ff39 -:0206ba0007ff38 -:0206bb0007ff37 -:0206bc0007ff36 -:0206bd0007ff35 -:0206be0007ff34 -:0206bf0007ff33 -:0206c00007ff32 -:0206c10007ff31 -:0206c20007ff30 -:0206c30007ff2f -:0206c40007ff2e -:0206c50007ff2d -:0206c60007ff2c -:0206c70007ff2b -:0206c80007ff2a -:0206c90007ff29 -:0206ca0007ff28 -:0206cb0007ff27 -:0206cc0007ff26 -:0206cd0007ff25 -:0206ce0007ff24 -:0206cf0007ff23 -:0206d00007ff22 -:0206d10007ff21 -:0206d20007ff20 -:0206d30007ff1f -:0206d40007ff1e -:0206d50007ff1d -:0206d60007ff1c -:0206d70007ff1b -:0206d80007ff1a -:0206d90007ff19 -:0206da0007ff18 -:0206db0007ff17 -:0206dc0007ff16 -:0206dd0007ff15 -:0206de0007ff14 -:0206df0007ff13 -:0206e00007ff12 -:0206e10007ff11 -:0206e20007ff10 -:0206e30007ff0f -:0206e40007ff0e -:0206e50007ff0d -:0206e60007ff0c -:0206e70007ff0b -:0206e80007ff0a -:0206e90007ff09 -:0206ea0007ff08 -:0206eb0007ff07 -:0206ec0007ff06 -:0206ed0007ff05 -:0206ee0007ff04 -:0206ef0007ff03 -:0206f00007ff02 -:0206f10007ff01 -:0206f20007ff00 -:0206f30007ffff -:0206f40007fffe -:0206f50007fffd -:0206f60007fffc -:0206f70007fffb -:0206f80007fffa -:0206f90007fff9 -:0206fa0007fff8 -:0206fb0007fff7 -:0206fc0007fff6 -:0206fd0007fff5 -:0206fe0007fff4 -:0206ff0007fff3 -:0207000007fff1 -:0207010007fff0 -:0207020007ffef -:0207030007ffee -:0207040007ffed -:0207050007ffec -:0207060007ffeb -:0207070007ffea -:0207080007ffe9 -:0207090007ffe8 -:02070a0007ffe7 -:02070b0007ffe6 -:02070c0007ffe5 -:02070d0007ffe4 -:02070e0007ffe3 -:02070f0007ffe2 -:0207100007ffe1 -:0207110007ffe0 -:0207120007ffdf -:0207130007ffde -:0207140007ffdd -:0207150007ffdc -:0207160007ffdb -:0207170007ffda -:0207180007ffd9 -:0207190007ffd8 -:02071a0007ffd7 -:02071b0007ffd6 -:02071c0007ffd5 -:02071d0007ffd4 -:02071e0007ffd3 -:02071f0007ffd2 -:0207200007ffd1 -:0207210007ffd0 -:0207220007ffcf -:0207230007ffce -:0207240007ffcd -:0207250007ffcc -:0207260007ffcb -:0207270007ffca -:0207280007ffc9 -:0207290007ffc8 -:02072a0007ffc7 -:02072b0007ffc6 -:02072c0007ffc5 -:02072d0007ffc4 -:02072e0007ffc3 -:02072f0007ffc2 -:0207300007ffc1 -:0207310007ffc0 -:0207320007ffbf -:0207330007ffbe -:0207340007ffbd -:0207350007ffbc -:0207360007ffbb -:0207370007ffba -:0207380007ffb9 -:0207390007ffb8 -:02073a0007ffb7 -:02073b0007ffb6 -:02073c0007ffb5 -:02073d0007ffb4 -:02073e0007ffb3 -:02073f0007ffb2 -:0207400007ffb1 -:0207410007ffb0 -:0207420007ffaf -:0207430007ffae -:0207440007ffad -:0207450007ffac -:0207460007ffab -:0207470007ffaa -:0207480007ffa9 -:0207490007ffa8 -:02074a0007ffa7 -:02074b0007ffa6 -:02074c0007ffa5 -:02074d0007ffa4 -:02074e0007ffa3 -:02074f0007ffa2 -:0207500007ffa1 -:0207510007ffa0 -:0207520007ff9f -:0207530007ff9e -:0207540007ff9d -:0207550007ff9c -:0207560007ff9b -:0207570007ff9a -:0207580007ff99 -:0207590007ff98 -:02075a0007ff97 -:02075b0007ff96 -:02075c0007ff95 -:02075d0007ff94 -:02075e0007ff93 -:02075f0007ff92 -:0207600007ff91 -:0207610007ff90 -:0207620007ff8f -:0207630007ff8e -:0207640007ff8d -:0207650007ff8c -:0207660007ff8b -:0207670007ff8a -:0207680007ff89 -:0207690007ff88 -:02076a0007ff87 -:02076b0007ff86 -:02076c0007ff85 -:02076d0007ff84 -:02076e0007ff83 -:02076f0007ff82 -:0207700007ff81 -:0207710007ff80 -:0207720007ff7f -:0207730007ff7e -:0207740007ff7d -:0207750007ff7c -:0207760007ff7b -:0207770007ff7a -:0207780007ff79 -:0207790007ff78 -:02077a0007ff77 -:02077b0007ff76 -:02077c0007ff75 -:02077d0007ff74 -:02077e0007ff73 -:02077f0007ff72 -:0207800007ff71 -:0207810007ff70 -:0207820007ff6f -:0207830007ff6e -:0207840007ff6d -:0207850007ff6c -:0207860007ff6b -:0207870007ff6a -:0207880007ff69 -:0207890007ff68 -:02078a0007ff67 -:02078b0007ff66 -:02078c0007ff65 -:02078d0007ff64 -:02078e0007ff63 -:02078f0007ff62 -:0207900007ff61 -:0207910007ff60 -:0207920007ff5f -:0207930007ff5e -:0207940007ff5d -:0207950007ff5c -:0207960007ff5b -:0207970007ff5a -:0207980007ff59 -:0207990007ff58 -:02079a0007ff57 -:02079b0007ff56 -:02079c0007ff55 -:02079d0007ff54 -:02079e0007ff53 -:02079f0007ff52 -:0207a00007ff51 -:0207a10007ff50 -:0207a20007ff4f -:0207a30007ff4e -:0207a40007ff4d -:0207a50007ff4c -:0207a60007ff4b -:0207a70007ff4a -:0207a80007ff49 -:0207a90007ff48 -:0207aa0007ff47 -:0207ab0007ff46 -:0207ac0007ff45 -:0207ad0007ff44 -:0207ae0007ff43 -:0207af0007ff42 -:0207b00007ff41 -:0207b10007ff40 -:0207b20007ff3f -:0207b30007ff3e -:0207b40007ff3d -:0207b50007ff3c -:0207b60007ff3b -:0207b70007ff3a -:0207b80007ff39 -:0207b90007ff38 -:0207ba0007ff37 -:0207bb0007ff36 -:0207bc0007ff35 -:0207bd0007ff34 -:0207be0007ff33 -:0207bf0007ff32 -:0207c00007ff31 -:0207c10007ff30 -:0207c20007ff2f -:0207c30007ff2e -:0207c40007ff2d -:0207c50007ff2c -:0207c60007ff2b -:0207c70007ff2a -:0207c80007ff29 -:0207c90007ff28 -:0207ca0007ff27 -:0207cb0007ff26 -:0207cc0007ff25 -:0207cd0007ff24 -:0207ce0007ff23 -:0207cf0007ff22 -:0207d00007ff21 -:0207d10007ff20 -:0207d20007ff1f -:0207d30007ff1e -:0207d40007ff1d -:0207d50007ff1c -:0207d60007ff1b -:0207d70007ff1a -:0207d80007ff19 -:0207d90007ff18 -:0207da0007ff17 -:0207db0007ff16 -:0207dc0007ff15 -:0207dd0007ff14 -:0207de0007ff13 -:0207df0007ff12 -:0207e00007ff11 -:0207e10007ff10 -:0207e20007ff0f -:0207e30007ff0e -:0207e40007ff0d -:0207e50007ff0c -:0207e60007ff0b -:0207e70007ff0a -:0207e80007ff09 -:0207e90007ff08 -:0207ea0007ff07 -:0207eb0007ff06 -:0207ec0007ff05 -:0207ed0007ff04 -:0207ee0007ff03 -:0207ef0007ff02 -:0207f00007ff01 -:0207f10007ff00 -:0207f20007ffff -:0207f30007fffe -:0207f40007fffd -:0207f50007fffc -:0207f60007fffb -:0207f70007fffa -:0207f80007fff9 -:0207f90007fff8 -:0207fa0007fff7 -:0207fb0007fff6 -:0207fc0007fff5 -:0207fd0007fff4 -:0207fe0007fff3 -:0207ff0007fff2 -:00000001FF diff --git a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_sin_c.hex b/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_sin_c.hex deleted file mode 100644 index 3ba06a7..0000000 --- a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_sin_c.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:020000000000fe -:020001000006f7 -:02000200000def -:020003000013e8 -:020004000019e1 -:02000500001fda -:020006000026d2 -:02000700002ccb -:020008000032c4 -:020009000039bc -:02000a00003fb5 -:02000b000045ae -:02000c00004ba7 -:02000d0000529f -:02000e00005898 -:02000f00005e91 -:0200100000648a -:02001100006b82 -:0200120000717b -:02001300007774 -:02001400007e6c -:02001500008465 -:02001600008a5e -:02001700009057 -:0200180000974f -:02001900009d48 -:02001a0000a341 -:02001b0000a93a -:02001c0000b032 -:02001d0000b62b -:02001e0000bc24 -:02001f0000c21d -:0200200000c915 -:0200210000cf0e -:0200220000d507 -:0200230000db00 -:0200240000e2f8 -:0200250000e8f1 -:0200260000eeea -:0200270000f4e3 -:0200280000fbdb -:020029000101d3 -:02002a000107cc -:02002b00010dc5 -:02002c000113be -:02002d00011ab6 -:02002e000120af -:02002f000126a8 -:02003000012ca1 -:02003100013399 -:02003200013992 -:02003300013f8b -:02003400014584 -:02003500014b7d -:02003600015275 -:0200370001586e -:02003800015e67 -:02003900016460 -:02003a00016a59 -:02003b00017151 -:02003c0001774a -:02003d00017d43 -:02003e0001833c -:02003f00018935 -:02004000018f2e -:02004100019626 -:02004200019c1f -:0200430001a218 -:0200440001a811 -:0200450001ae0a -:0200460001b403 -:0200470001bafc -:0200480001c1f4 -:0200490001c7ed -:02004a0001cde6 -:02004b0001d3df -:02004c0001d9d8 -:02004d0001dfd1 -:02004e0001e5ca -:02004f0001ebc3 -:0200500001f1bc -:0200510001f7b5 -:0200520001fead -:020053000204a5 -:02005400020a9e -:02005500021097 -:02005600021690 -:02005700021c89 -:02005800022282 -:0200590002287b -:02005a00022e74 -:02005b0002346d -:02005c00023a66 -:02005d0002405f -:02005e00024658 -:02005f00024c51 -:0200600002524a -:02006100025843 -:02006200025e3c -:02006300026435 -:02006400026a2e -:02006500027027 -:02006600027620 -:02006700027c19 -:02006800028212 -:0200690002880b -:02006a00028e04 -:02006b000294fd -:02006c00029af6 -:02006d0002a0ef -:02006e0002a6e8 -:02006f0002ace1 -:0200700002b2da -:0200710002b8d3 -:0200720002bdcd -:0200730002c3c6 -:0200740002c9bf -:0200750002cfb8 -:0200760002d5b1 -:0200770002dbaa -:0200780002e1a3 -:0200790002e79c -:02007a0002ec96 -:02007b0002f28f -:02007c0002f888 -:02007d0002fe81 -:02007e00030479 -:02007f00030a72 -:02008000030f6c -:02008100031565 -:02008200031b5e -:02008300032157 -:02008400032750 -:02008500032c4a -:02008600033243 -:0200870003383c -:02008800033e35 -:0200890003432f -:02008a00034928 -:02008b00034f21 -:02008c0003541b -:02008d00035a14 -:02008e0003600d -:02008f00036606 -:02009000036b00 -:020091000371f9 -:020092000377f2 -:02009300037cec -:020094000382e5 -:020095000387df -:02009600038dd8 -:020097000393d1 -:020098000398cb -:02009900039ec4 -:02009a0003a4bd -:02009b0003a9b7 -:02009c0003afb0 -:02009d0003b4aa -:02009e0003baa3 -:02009f0003bf9d -:0200a00003c596 -:0200a10003ca90 -:0200a20003d089 -:0200a30003d682 -:0200a40003db7c -:0200a50003e175 -:0200a60003e66f -:0200a70003eb69 -:0200a80003f162 -:0200a90003f65c -:0200aa0003fc55 -:0200ab0004014e -:0200ac00040747 -:0200ad00040c41 -:0200ae0004123a -:0200af00041734 -:0200b000041c2e -:0200b100042227 -:0200b200042721 -:0200b300042c1b -:0200b400043214 -:0200b50004370e -:0200b600043d07 -:0200b700044201 -:0200b8000447fb -:0200b900044cf5 -:0200ba000452ee -:0200bb000457e8 -:0200bc00045ce2 -:0200bd000462db -:0200be000467d5 -:0200bf00046ccf -:0200c0000471c9 -:0200c1000476c3 -:0200c200047cbc -:0200c3000481b6 -:0200c4000486b0 -:0200c500048baa -:0200c6000490a4 -:0200c70004969d -:0200c800049b97 -:0200c90004a091 -:0200ca0004a58b -:0200cb0004aa85 -:0200cc0004af7f -:0200cd0004b479 -:0200ce0004b973 -:0200cf0004be6d -:0200d00004c367 -:0200d10004c861 -:0200d20004cd5b -:0200d30004d255 -:0200d40004d74f -:0200d50004dc49 -:0200d60004e143 -:0200d70004e63d -:0200d80004eb37 -:0200d90004f031 -:0200da0004f52b -:0200db0004fa25 -:0200dc0004ff1f -:0200dd00050418 -:0200de00050912 -:0200df00050e0c -:0200e000051306 -:0200e100051701 -:0200e200051cfb -:0200e3000521f5 -:0200e4000526ef -:0200e500052be9 -:0200e6000530e3 -:0200e7000534de -:0200e8000539d8 -:0200e900053ed2 -:0200ea000543cc -:0200eb000547c7 -:0200ec00054cc1 -:0200ed000551bb -:0200ee000555b6 -:0200ef00055ab0 -:0200f000055faa -:0200f1000563a5 -:0200f20005689f -:0200f300056d99 -:0200f400057194 -:0200f50005768e -:0200f600057a89 -:0200f700057f83 -:0200f80005837e -:0200f900058878 -:0200fa00058d72 -:0200fb0005916d -:0200fc00059667 -:0200fd00059a62 -:0200fe00059f5c -:0200ff0005a357 -:0201000005a751 -:0201010005ac4b -:0201020005b046 -:0201030005b540 -:0201040005b93b -:0201050005bd36 -:0201060005c230 -:0201070005c62b -:0201080005cb25 -:0201090005cf20 -:02010a0005d31b -:02010b0005d716 -:02010c0005dc10 -:02010d0005e00b -:02010e0005e406 -:02010f0005e900 -:0201100005edfb -:0201110005f1f6 -:0201120005f5f1 -:0201130005f9ec -:0201140005fde7 -:020115000602e0 -:020116000606db -:02011700060ad6 -:02011800060ed1 -:020119000612cc -:02011a000616c7 -:02011b00061ac2 -:02011c00061ebd -:02011d000622b8 -:02011e000626b3 -:02011f00062aae -:02012000062ea9 -:020121000632a4 -:0201220006369f -:02012300063a9a -:02012400063e95 -:02012500064290 -:0201260006468b -:02012700064a86 -:02012800064e81 -:0201290006527c -:02012a00065578 -:02012b00065973 -:02012c00065d6e -:02012d00066169 -:02012e00066564 -:02012f00066860 -:02013000066c5b -:02013100067056 -:02013200067451 -:0201330006774d -:02013400067b48 -:02013500067f43 -:0201360006823f -:0201370006863a -:02013800068a35 -:02013900068d31 -:02013a0006912c -:02013b00069428 -:02013c00069823 -:02013d00069b1f -:02013e00069f1a -:02013f0006a315 -:0201400006a611 -:0201410006a90d -:0201420006ad08 -:0201430006b004 -:0201440006b4ff -:0201450006b7fb -:0201460006bbf6 -:0201470006bef2 -:0201480006c1ee -:0201490006c5e9 -:02014a0006c8e5 -:02014b0006cbe1 -:02014c0006cfdc -:02014d0006d2d8 -:02014e0006d5d4 -:02014f0006d9cf -:0201500006dccb -:0201510006dfc7 -:0201520006e2c3 -:0201530006e5bf -:0201540006e9ba -:0201550006ecb6 -:0201560006efb2 -:0201570006f2ae -:0201580006f5aa -:0201590006f8a6 -:02015a0006fba2 -:02015b0006fe9e -:02015c00070199 -:02015d00070495 -:02015e00070791 -:02015f00070a8d -:02016000070d89 -:02016100071085 -:02016200071381 -:0201630007167d -:02016400071979 -:02016500071c75 -:02016600071f71 -:0201670007226d -:0201680007246a -:02016900072766 -:02016a00072a62 -:02016b00072d5e -:02016c0007305a -:02016d00073257 -:02016e00073553 -:02016f0007384f -:02017000073a4c -:02017100073d48 -:02017200074044 -:02017300074241 -:0201740007453d -:02017500074839 -:02017600074a36 -:02017700074d32 -:02017800074f2f -:0201790007522b -:02017a00075428 -:02017b00075724 -:02017c00075921 -:02017d00075c1d -:02017e00075e1a -:02017f00076116 -:02018000076313 -:0201810007660f -:0201820007680c -:02018300076a09 -:02018400076d05 -:02018500076f02 -:020186000771ff -:020187000774fb -:020188000776f8 -:020189000778f5 -:02018a00077af2 -:02018b00077dee -:02018c00077feb -:02018d000781e8 -:02018e000783e5 -:02018f000785e2 -:020190000787df -:020191000789dc -:02019200078cd8 -:02019300078ed5 -:020194000790d2 -:020195000792cf -:020196000794cc -:020197000796c9 -:020198000798c6 -:02019900079ac3 -:02019a00079cc0 -:02019b00079ebd -:02019c00079fbb -:02019d0007a1b8 -:02019e0007a3b5 -:02019f0007a5b2 -:0201a00007a7af -:0201a10007a9ac -:0201a20007aaaa -:0201a30007aca7 -:0201a40007aea4 -:0201a50007b0a1 -:0201a60007b19f -:0201a70007b39c -:0201a80007b599 -:0201a90007b796 -:0201aa0007b894 -:0201ab0007ba91 -:0201ac0007bb8f -:0201ad0007bd8c -:0201ae0007bf89 -:0201af0007c087 -:0201b00007c284 -:0201b10007c382 -:0201b20007c57f -:0201b30007c67d -:0201b40007c87a -:0201b50007c978 -:0201b60007ca76 -:0201b70007cc73 -:0201b80007cd71 -:0201b90007cf6e -:0201ba0007d06c -:0201bb0007d16a -:0201bc0007d367 -:0201bd0007d465 -:0201be0007d563 -:0201bf0007d661 -:0201c00007d85e -:0201c10007d95c -:0201c20007da5a -:0201c30007db58 -:0201c40007dc56 -:0201c50007de53 -:0201c60007df51 -:0201c70007e04f -:0201c80007e14d -:0201c90007e24b -:0201ca0007e349 -:0201cb0007e447 -:0201cc0007e545 -:0201cd0007e643 -:0201ce0007e741 -:0201cf0007e83f -:0201d00007e93d -:0201d10007ea3b -:0201d20007eb39 -:0201d30007ec37 -:0201d40007ec36 -:0201d50007ed34 -:0201d60007ee32 -:0201d70007ef30 -:0201d80007f02e -:0201d90007f02d -:0201da0007f12b -:0201db0007f229 -:0201dc0007f327 -:0201dd0007f326 -:0201de0007f424 -:0201df0007f522 -:0201e00007f521 -:0201e10007f61f -:0201e20007f61e -:0201e30007f71c -:0201e40007f71b -:0201e50007f819 -:0201e60007f818 -:0201e70007f916 -:0201e80007f915 -:0201e90007fa13 -:0201ea0007fa12 -:0201eb0007fb10 -:0201ec0007fb0f -:0201ed0007fc0d -:0201ee0007fc0c -:0201ef0007fc0b -:0201f00007fd09 -:0201f10007fd08 -:0201f20007fd07 -:0201f30007fd06 -:0201f40007fe04 -:0201f50007fe03 -:0201f60007fe02 -:0201f70007fe01 -:0201f80007fe00 -:0201f90007fffe -:0201fa0007fffd -:0201fb0007fffc -:0201fc0007fffb -:0201fd0007fffa -:0201fe0007fff9 -:0201ff0007fff8 -:0202000007fff6 -:0202010007fff5 -:0202020007fff4 -:0202030007fff3 -:0202040007fff2 -:0202050007fff1 -:0202060007fff0 -:0202070007ffef -:0202080007feef -:0202090007feee -:02020a0007feed -:02020b0007feec -:02020c0007feeb -:02020d0007fdeb -:02020e0007fdea -:02020f0007fde9 -:0202100007fde8 -:0202110007fce8 -:0202120007fce7 -:0202130007fce6 -:0202140007fbe6 -:0202150007fbe5 -:0202160007fae5 -:0202170007fae4 -:0202180007f9e4 -:0202190007f9e3 -:02021a0007f8e3 -:02021b0007f8e2 -:02021c0007f7e2 -:02021d0007f7e1 -:02021e0007f6e1 -:02021f0007f6e0 -:0202200007f5e0 -:0202210007f5df -:0202220007f4df -:0202230007f3df -:0202240007f3de -:0202250007f2de -:0202260007f1de -:0202270007f0de -:0202280007f0dd -:0202290007efdd -:02022a0007eedd -:02022b0007eddd -:02022c0007ecdd -:02022d0007ecdc -:02022e0007ebdc -:02022f0007eadc -:0202300007e9dc -:0202310007e8dc -:0202320007e7dc -:0202330007e6dc -:0202340007e5dc -:0202350007e4dc -:0202360007e3dc -:0202370007e2dc -:0202380007e1dc -:0202390007e0dc -:02023a0007dfdc -:02023b0007dedc -:02023c0007dcdd -:02023d0007dbdd -:02023e0007dadd -:02023f0007d9dd -:0202400007d8dd -:0202410007d6de -:0202420007d5de -:0202430007d4de -:0202440007d3de -:0202450007d1df -:0202460007d0df -:0202470007cfdf -:0202480007cde0 -:0202490007cce0 -:02024a0007cae1 -:02024b0007c9e1 -:02024c0007c8e1 -:02024d0007c6e2 -:02024e0007c5e2 -:02024f0007c3e3 -:0202500007c2e3 -:0202510007c0e4 -:0202520007bfe4 -:0202530007bde5 -:0202540007bbe6 -:0202550007bae6 -:0202560007b8e7 -:0202570007b7e7 -:0202580007b5e8 -:0202590007b3e9 -:02025a0007b1ea -:02025b0007b0ea -:02025c0007aeeb -:02025d0007acec -:02025e0007aaed -:02025f0007a9ed -:0202600007a7ee -:0202610007a5ef -:0202620007a3f0 -:0202630007a1f1 -:02026400079ff2 -:02026500079ef2 -:02026600079cf3 -:02026700079af4 -:020268000798f5 -:020269000796f6 -:02026a000794f7 -:02026b000792f8 -:02026c000790f9 -:02026d00078efa -:02026e00078cfb -:02026f000789fd -:020270000787fe -:020271000785ff -:02027200078300 -:02027300078101 -:02027400077f02 -:02027500077d03 -:02027600077a05 -:02027700077806 -:02027800077607 -:02027900077408 -:02027a0007710a -:02027b00076f0b -:02027c00076d0c -:02027d00076a0e -:02027e0007680f -:02027f00076610 -:02028000076312 -:02028100076113 -:02028200075e15 -:02028300075c16 -:02028400075918 -:02028500075719 -:0202860007541b -:0202870007521c -:02028800074f1e -:02028900074d1f -:02028a00074a21 -:02028b00074822 -:02028c00074524 -:02028d00074226 -:02028e00074027 -:02028f00073d29 -:02029000073a2b -:0202910007382c -:0202920007352e -:02029300073230 -:02029400073031 -:02029500072d33 -:02029600072a35 -:02029700072737 -:02029800072439 -:0202990007223a -:02029a00071f3c -:02029b00071c3e -:02029c00071940 -:02029d00071642 -:02029e00071344 -:02029f00071046 -:0202a000070d48 -:0202a100070a4a -:0202a20007074c -:0202a30007044e -:0202a400070150 -:0202a50006fe53 -:0202a60006fb55 -:0202a70006f857 -:0202a80006f559 -:0202a90006f25b -:0202aa0006ef5d -:0202ab0006ec5f -:0202ac0006e961 -:0202ad0006e564 -:0202ae0006e266 -:0202af0006df68 -:0202b00006dc6a -:0202b10006d96c -:0202b20006d56f -:0202b30006d271 -:0202b40006cf73 -:0202b50006cb76 -:0202b60006c878 -:0202b70006c57a -:0202b80006c17d -:0202b90006be7f -:0202ba0006bb81 -:0202bb0006b784 -:0202bc0006b486 -:0202bd0006b089 -:0202be0006ad8b -:0202bf0006a98e -:0202c00006a690 -:0202c10006a392 -:0202c200069f95 -:0202c300069b98 -:0202c40006989a -:0202c50006949d -:0202c60006919f -:0202c700068da2 -:0202c800068aa4 -:0202c9000686a7 -:0202ca000682aa -:0202cb00067fac -:0202cc00067baf -:0202cd000677b2 -:0202ce000674b4 -:0202cf000670b7 -:0202d000066cba -:0202d1000668bd -:0202d2000665bf -:0202d3000661c2 -:0202d400065dc5 -:0202d5000659c8 -:0202d6000655cb -:0202d7000652cd -:0202d800064ed0 -:0202d900064ad3 -:0202da000646d6 -:0202db000642d9 -:0202dc00063edc -:0202dd00063adf -:0202de000636e2 -:0202df000632e5 -:0202e000062ee8 -:0202e100062aeb -:0202e2000626ee -:0202e3000622f1 -:0202e400061ef4 -:0202e500061af7 -:0202e6000616fa -:0202e7000612fd -:0202e800060e00 -:0202e900060a03 -:0202ea00060606 -:0202eb00060209 -:0202ec0005fd0e -:0202ed0005f911 -:0202ee0005f514 -:0202ef0005f117 -:0202f00005ed1a -:0202f10005e91d -:0202f20005e421 -:0202f30005e024 -:0202f40005dc27 -:0202f50005d72b -:0202f60005d32e -:0202f70005cf31 -:0202f80005cb34 -:0202f90005c638 -:0202fa0005c23b -:0202fb0005bd3f -:0202fc0005b942 -:0202fd0005b545 -:0202fe0005b049 -:0202ff0005ac4c -:0203000005a74f -:0203010005a352 -:02030200059f55 -:02030300059a59 -:0203040005965c -:02030500059160 -:02030600058d63 -:02030700058867 -:0203080005836b -:02030900057f6e -:02030a00057a72 -:02030b00057675 -:02030c00057179 -:02030d00056d7c -:02030e00056880 -:02030f00056384 -:02031000055f87 -:02031100055a8b -:0203120005558f -:02031300055192 -:02031400054c96 -:0203150005479a -:0203160005439d -:02031700053ea1 -:020318000539a5 -:020319000534a9 -:02031a000530ac -:02031b00052bb0 -:02031c000526b4 -:02031d000521b8 -:02031e00051cbc -:02031f000517c0 -:020320000513c3 -:02032100050ec7 -:020322000509cb -:020323000504cf -:0203240004ffd4 -:0203250004fad8 -:0203260004f5dc -:0203270004f0e0 -:0203280004ebe4 -:0203290004e6e8 -:02032a0004e1ec -:02032b0004dcf0 -:02032c0004d7f4 -:02032d0004d2f8 -:02032e0004cdfc -:02032f0004c800 -:0203300004c304 -:0203310004be08 -:0203320004b90c -:0203330004b410 -:0203340004af14 -:0203350004aa18 -:0203360004a51c -:0203370004a020 -:02033800049b24 -:02033900049628 -:02033a0004902d -:02033b00048b31 -:02033c00048635 -:02033d00048139 -:02033e00047c3d -:02033f00047642 -:02034000047146 -:02034100046c4a -:0203420004674e -:02034300046252 -:02034400045c57 -:0203450004575b -:0203460004525f -:02034700044c64 -:02034800044768 -:0203490004426c -:02034a00043d70 -:02034b00043775 -:02034c00043279 -:02034d00042c7e -:02034e00042782 -:02034f00042286 -:02035000041c8b -:0203510004178f -:02035200041293 -:02035300040c98 -:0203540004079c -:020355000401a1 -:0203560003fca6 -:0203570003f6ab -:0203580003f1af -:0203590003ebb4 -:02035a0003e6b8 -:02035b0003e1bc -:02035c0003dbc1 -:02035d0003d6c5 -:02035e0003d0ca -:02035f0003cacf -:0203600003c5d3 -:0203610003bfd8 -:0203620003badc -:0203630003b4e1 -:0203640003afe5 -:0203650003a9ea -:0203660003a4ee -:02036700039ef3 -:020368000398f8 -:020369000393fc -:02036a00038d01 -:02036b00038706 -:02036c0003820a -:02036d00037c0f -:02036e00037713 -:02036f00037118 -:02037000036b1d -:02037100036621 -:02037200036026 -:02037300035a2b -:02037400035430 -:02037500034f34 -:02037600034939 -:0203770003433e -:02037800033e42 -:02037900033847 -:02037a0003324c -:02037b00032c51 -:02037c00032755 -:02037d0003215a -:02037e00031b5f -:02037f00031564 -:02038000030f69 -:02038100030a6d -:02038200030472 -:0203830002fe78 -:0203840002f87d -:0203850002f282 -:0203860002ec87 -:0203870002e78b -:0203880002e190 -:0203890002db95 -:02038a0002d59a -:02038b0002cf9f -:02038c0002c9a4 -:02038d0002c3a9 -:02038e0002bdae -:02038f0002b8b2 -:0203900002b2b7 -:0203910002acbc -:0203920002a6c1 -:0203930002a0c6 -:02039400029acb -:020395000294d0 -:02039600028ed5 -:020397000288da -:020398000282df -:02039900027ce4 -:02039a000276e9 -:02039b000270ee -:02039c00026af3 -:02039d000264f8 -:02039e00025efd -:02039f00025802 -:0203a000025207 -:0203a100024c0c -:0203a200024611 -:0203a300024016 -:0203a400023a1b -:0203a500023420 -:0203a600022e25 -:0203a70002282a -:0203a80002222f -:0203a900021c34 -:0203aa00021639 -:0203ab0002103e -:0203ac00020a43 -:0203ad00020448 -:0203ae0001fe4e -:0203af0001f754 -:0203b00001f159 -:0203b10001eb5e -:0203b20001e563 -:0203b30001df68 -:0203b40001d96d -:0203b50001d372 -:0203b60001cd77 -:0203b70001c77c -:0203b80001c181 -:0203b90001ba87 -:0203ba0001b48c -:0203bb0001ae91 -:0203bc0001a896 -:0203bd0001a29b -:0203be00019ca0 -:0203bf000196a5 -:0203c000018fab -:0203c1000189b0 -:0203c2000183b5 -:0203c300017dba -:0203c4000177bf -:0203c5000171c4 -:0203c600016aca -:0203c7000164cf -:0203c800015ed4 -:0203c9000158d9 -:0203ca000152de -:0203cb00014be4 -:0203cc000145e9 -:0203cd00013fee -:0203ce000139f3 -:0203cf000133f8 -:0203d000012cfe -:0203d100012603 -:0203d200012008 -:0203d300011a0d -:0203d400011313 -:0203d500010d18 -:0203d60001071d -:0203d700010122 -:0203d80000fb28 -:0203d90000f42e -:0203da0000ee33 -:0203db0000e838 -:0203dc0000e23d -:0203dd0000db43 -:0203de0000d548 -:0203df0000cf4d -:0203e00000c952 -:0203e10000c258 -:0203e20000bc5d -:0203e30000b662 -:0203e40000b067 -:0203e50000a96d -:0203e60000a372 -:0203e700009d77 -:0203e80000977c -:0203e900009082 -:0203ea00008a87 -:0203eb0000848c -:0203ec00007e91 -:0203ed00007797 -:0203ee0000719c -:0203ef00006ba1 -:0203f0000064a7 -:0203f100005eac -:0203f2000058b1 -:0203f3000052b6 -:0203f400004bbc -:0203f5000045c1 -:0203f600003fc6 -:0203f7000039cb -:0203f8000032d1 -:0203f900002cd6 -:0203fa000026db -:0203fb00001fe1 -:0203fc000019e6 -:0203fd000013eb -:0203fe00000df0 -:0203ff000006f6 -:020400000000fa -:020401000ffaf0 -:020402000ff3f6 -:020403000fedfb -:020404000fe700 -:020405000fe105 -:020406000fda0b -:020407000fd410 -:020408000fce15 -:020409000fc71b -:02040a000fc120 -:02040b000fbb25 -:02040c000fb52a -:02040d000fae30 -:02040e000fa835 -:02040f000fa23a -:020410000f9c3f -:020411000f9545 -:020412000f8f4a -:020413000f894f -:020414000f8255 -:020415000f7c5a -:020416000f765f -:020417000f7064 -:020418000f696a -:020419000f636f -:02041a000f5d74 -:02041b000f5779 -:02041c000f507f -:02041d000f4a84 -:02041e000f4489 -:02041f000f3e8e -:020420000f3794 -:020421000f3199 -:020422000f2b9e -:020423000f25a3 -:020424000f1ea9 -:020425000f18ae -:020426000f12b3 -:020427000f0cb8 -:020428000f05be -:020429000effc4 -:02042a000ef9c9 -:02042b000ef3ce -:02042c000eedd3 -:02042d000ee6d9 -:02042e000ee0de -:02042f000edae3 -:020430000ed4e8 -:020431000ecdee -:020432000ec7f3 -:020433000ec1f8 -:020434000ebbfd -:020435000eb502 -:020436000eae08 -:020437000ea80d -:020438000ea212 -:020439000e9c17 -:02043a000e961c -:02043b000e8f22 -:02043c000e8927 -:02043d000e832c -:02043e000e7d31 -:02043f000e7736 -:020440000e713b -:020441000e6a41 -:020442000e6446 -:020443000e5e4b -:020444000e5850 -:020445000e5255 -:020446000e4c5a -:020447000e465f -:020448000e3f65 -:020449000e396a -:02044a000e336f -:02044b000e2d74 -:02044c000e2779 -:02044d000e217e -:02044e000e1b83 -:02044f000e1588 -:020450000e0f8d -:020451000e0992 -:020452000e0298 -:020453000dfc9e -:020454000df6a3 -:020455000df0a8 -:020456000deaad -:020457000de4b2 -:020458000ddeb7 -:020459000dd8bc -:02045a000dd2c1 -:02045b000dccc6 -:02045c000dc6cb -:02045d000dc0d0 -:02045e000dbad5 -:02045f000db4da -:020460000daedf -:020461000da8e4 -:020462000da2e9 -:020463000d9cee -:020464000d96f3 -:020465000d90f8 -:020466000d8afd -:020467000d8402 -:020468000d7e07 -:020469000d780c -:02046a000d7211 -:02046b000d6c16 -:02046c000d661b -:02046d000d6020 -:02046e000d5a25 -:02046f000d542a -:020470000d4e2f -:020471000d4834 -:020472000d4338 -:020473000d3d3d -:020474000d3742 -:020475000d3147 -:020476000d2b4c -:020477000d2551 -:020478000d1f56 -:020479000d195b -:02047a000d145f -:02047b000d0e64 -:02047c000d0869 -:02047d000d026e -:02047e000cfc74 -:02047f000cf679 -:020480000cf17d -:020481000ceb82 -:020482000ce587 -:020483000cdf8c -:020484000cd991 -:020485000cd495 -:020486000cce9a -:020487000cc89f -:020488000cc2a4 -:020489000cbda8 -:02048a000cb7ad -:02048b000cb1b2 -:02048c000cacb6 -:02048d000ca6bb -:02048e000ca0c0 -:02048f000c9ac5 -:020490000c95c9 -:020491000c8fce -:020492000c89d3 -:020493000c84d7 -:020494000c7edc -:020495000c79e0 -:020496000c73e5 -:020497000c6dea -:020498000c68ee -:020499000c62f3 -:02049a000c5cf8 -:02049b000c57fc -:02049c000c5101 -:02049d000c4c05 -:02049e000c460a -:02049f000c410e -:0204a0000c3b13 -:0204a1000c3617 -:0204a2000c301c -:0204a3000c2a21 -:0204a4000c2525 -:0204a5000c1f2a -:0204a6000c1a2e -:0204a7000c1532 -:0204a8000c0f37 -:0204a9000c0a3b -:0204aa000c0440 -:0204ab000bff45 -:0204ac000bf94a -:0204ad000bf44e -:0204ae000bee53 -:0204af000be957 -:0204b0000be45b -:0204b1000bde60 -:0204b2000bd964 -:0204b3000bd468 -:0204b4000bce6d -:0204b5000bc971 -:0204b6000bc376 -:0204b7000bbe7a -:0204b8000bb97e -:0204b9000bb482 -:0204ba000bae87 -:0204bb000ba98b -:0204bc000ba48f -:0204bd000b9e94 -:0204be000b9998 -:0204bf000b949c -:0204c0000b8fa0 -:0204c1000b8aa4 -:0204c2000b84a9 -:0204c3000b7fad -:0204c4000b7ab1 -:0204c5000b75b5 -:0204c6000b70b9 -:0204c7000b6abe -:0204c8000b65c2 -:0204c9000b60c6 -:0204ca000b5bca -:0204cb000b56ce -:0204cc000b51d2 -:0204cd000b4cd6 -:0204ce000b47da -:0204cf000b42de -:0204d0000b3de2 -:0204d1000b38e6 -:0204d2000b33ea -:0204d3000b2eee -:0204d4000b29f2 -:0204d5000b24f6 -:0204d6000b1ffa -:0204d7000b1afe -:0204d8000b1502 -:0204d9000b1006 -:0204da000b0b0a -:0204db000b060e -:0204dc000b0112 -:0204dd000afc17 -:0204de000af71b -:0204df000af21f -:0204e0000aed23 -:0204e1000ae926 -:0204e2000ae42a -:0204e3000adf2e -:0204e4000ada32 -:0204e5000ad536 -:0204e6000ad03a -:0204e7000acc3d -:0204e8000ac741 -:0204e9000ac245 -:0204ea000abd49 -:0204eb000ab94c -:0204ec000ab450 -:0204ed000aaf54 -:0204ee000aab57 -:0204ef000aa65b -:0204f0000aa15f -:0204f1000a9d62 -:0204f2000a9866 -:0204f3000a936a -:0204f4000a8f6d -:0204f5000a8a71 -:0204f6000a8674 -:0204f7000a8178 -:0204f8000a7d7b -:0204f9000a787f -:0204fa000a7383 -:0204fb000a6f86 -:0204fc000a6a8a -:0204fd000a668d -:0204fe000a6191 -:0204ff000a5d94 -:020500000a5996 -:020501000a549a -:020502000a509d -:020503000a4ba1 -:020504000a47a4 -:020505000a43a7 -:020506000a3eab -:020507000a3aae -:020508000a35b2 -:020509000a31b5 -:02050a000a2db8 -:02050b000a29bb -:02050c000a24bf -:02050d000a20c2 -:02050e000a1cc5 -:02050f000a17c9 -:020510000a13cc -:020511000a0fcf -:020512000a0bd2 -:020513000a07d5 -:020514000a03d8 -:0205150009fedd -:0205160009fae0 -:0205170009f6e3 -:0205180009f2e6 -:0205190009eee9 -:02051a0009eaec -:02051b0009e6ef -:02051c0009e2f2 -:02051d0009def5 -:02051e0009daf8 -:02051f0009d6fb -:0205200009d2fe -:0205210009ce01 -:0205220009ca04 -:0205230009c607 -:0205240009c20a -:0205250009be0d -:0205260009ba10 -:0205270009b613 -:0205280009b216 -:0205290009ae19 -:02052a0009ab1b -:02052b0009a71e -:02052c0009a321 -:02052d00099f24 -:02052e00099b27 -:02052f00099829 -:0205300009942c -:0205310009902f -:02053200098c32 -:02053300098934 -:02053400098537 -:0205350009813a -:02053600097e3c -:02053700097a3f -:02053800097642 -:02053900097344 -:02053a00096f47 -:02053b00096c49 -:02053c0009684c -:02053d0009654e -:02053e00096151 -:02053f00095d54 -:02054000095a56 -:02054100095758 -:0205420009535b -:0205430009505d -:02054400094c60 -:02054500094962 -:02054600094565 -:02054700094267 -:02054800093f69 -:02054900093b6c -:02054a0009386e -:02054b00093570 -:02054c00093173 -:02054d00092e75 -:02054e00092b77 -:02054f0009277a -:0205500009247c -:0205510009217e -:02055200091e80 -:02055300091b82 -:02055400091785 -:02055500091487 -:02055600091189 -:02055700090e8b -:02055800090b8d -:0205590009088f -:02055a00090591 -:02055b00090293 -:02055c0008ff96 -:02055d0008fc98 -:02055e0008f99a -:02055f0008f69c -:0205600008f39e -:0205610008f0a0 -:0205620008eda2 -:0205630008eaa4 -:0205640008e7a6 -:0205650008e4a8 -:0205660008e1aa -:0205670008deac -:0205680008dcad -:0205690008d9af -:02056a0008d6b1 -:02056b0008d3b3 -:02056c0008d0b5 -:02056d0008ceb6 -:02056e0008cbb8 -:02056f0008c8ba -:0205700008c6bb -:0205710008c3bd -:0205720008c0bf -:0205730008bec0 -:0205740008bbc2 -:0205750008b8c4 -:0205760008b6c5 -:0205770008b3c7 -:0205780008b1c8 -:0205790008aeca -:02057a0008accb -:02057b0008a9cd -:02057c0008a7ce -:02057d0008a4d0 -:02057e0008a2d1 -:02057f00089fd3 -:02058000089dd4 -:02058100089ad6 -:020582000898d7 -:020583000896d8 -:020584000893da -:020585000891db -:02058600088fdc -:02058700088cde -:02058800088adf -:020589000888e0 -:02058a000886e1 -:02058b000883e3 -:02058c000881e4 -:02058d00087fe5 -:02058e00087de6 -:02058f00087be7 -:020590000879e8 -:020591000877e9 -:020592000874eb -:020593000872ec -:020594000870ed -:02059500086eee -:02059600086cef -:02059700086af0 -:020598000868f1 -:020599000866f2 -:02059a000864f3 -:02059b000862f4 -:02059c000861f4 -:02059d00085ff5 -:02059e00085df6 -:02059f00085bf7 -:0205a0000859f8 -:0205a1000857f9 -:0205a2000856f9 -:0205a3000854fa -:0205a4000852fb -:0205a5000850fc -:0205a600084ffc -:0205a700084dfd -:0205a800084bfe -:0205a9000849ff -:0205aa000848ff -:0205ab00084600 -:0205ac00084500 -:0205ad00084301 -:0205ae00084102 -:0205af00084002 -:0205b000083e03 -:0205b100083d03 -:0205b200083b04 -:0205b300083a04 -:0205b400083805 -:0205b500083705 -:0205b600083605 -:0205b700083406 -:0205b800083306 -:0205b900083107 -:0205ba00083007 -:0205bb00082f07 -:0205bc00082d08 -:0205bd00082c08 -:0205be00082b08 -:0205bf00082a08 -:0205c000082809 -:0205c100082709 -:0205c200082609 -:0205c300082509 -:0205c400082409 -:0205c50008220a -:0205c60008210a -:0205c70008200a -:0205c800081f0a -:0205c900081e0a -:0205ca00081d0a -:0205cb00081c0a -:0205cc00081b0a -:0205cd00081a0a -:0205ce0008190a -:0205cf0008180a -:0205d00008170a -:0205d10008160a -:0205d20008150a -:0205d30008140a -:0205d400081409 -:0205d500081309 -:0205d600081209 -:0205d700081109 -:0205d800081009 -:0205d900081008 -:0205da00080f08 -:0205db00080e08 -:0205dc00080d08 -:0205dd00080d07 -:0205de00080c07 -:0205df00080b07 -:0205e000080b06 -:0205e100080a06 -:0205e200080a05 -:0205e300080905 -:0205e400080904 -:0205e500080804 -:0205e600080803 -:0205e700080703 -:0205e800080702 -:0205e900080602 -:0205ea00080601 -:0205eb00080501 -:0205ec00080500 -:0205ed00080400 -:0205ee000804ff -:0205ef000804fe -:0205f0000803fe -:0205f1000803fd -:0205f2000803fc -:0205f3000803fb -:0205f4000802fb -:0205f5000802fa -:0205f6000802f9 -:0205f7000802f8 -:0205f8000802f7 -:0205f9000801f7 -:0205fa000801f6 -:0205fb000801f5 -:0205fc000801f4 -:0205fd000801f3 -:0205fe000801f2 -:0205ff000801f1 -:020600000801ef -:020601000801ee -:020602000801ed -:020603000801ec -:020604000801eb -:020605000801ea -:020606000801e9 -:020607000801e8 -:020608000802e6 -:020609000802e5 -:02060a000802e4 -:02060b000802e3 -:02060c000802e2 -:02060d000803e0 -:02060e000803df -:02060f000803de -:020610000803dd -:020611000804db -:020612000804da -:020613000804d9 -:020614000805d7 -:020615000805d6 -:020616000806d4 -:020617000806d3 -:020618000807d1 -:020619000807d0 -:02061a000808ce -:02061b000808cd -:02061c000809cb -:02061d000809ca -:02061e00080ac8 -:02061f00080ac7 -:02062000080bc5 -:02062100080bc4 -:02062200080cc2 -:02062300080dc0 -:02062400080dbf -:02062500080ebd -:02062600080fbb -:020627000810b9 -:020628000810b8 -:020629000811b6 -:02062a000812b4 -:02062b000813b2 -:02062c000814b0 -:02062d000814af -:02062e000815ad -:02062f000816ab -:020630000817a9 -:020631000818a7 -:020632000819a5 -:02063300081aa3 -:02063400081ba1 -:02063500081c9f -:02063600081d9d -:02063700081e9b -:02063800081f99 -:02063900082097 -:02063a00082195 -:02063b00082293 -:02063c00082490 -:02063d0008258e -:02063e0008268c -:02063f0008278a -:02064000082888 -:02064100082a85 -:02064200082b83 -:02064300082c81 -:02064400082d7f -:02064500082f7c -:0206460008307a -:02064700083178 -:02064800083375 -:02064900083473 -:02064a00083670 -:02064b0008376e -:02064c0008386c -:02064d00083a69 -:02064e00083b67 -:02064f00083d64 -:02065000083e62 -:0206510008405f -:0206520008415d -:0206530008435a -:02065400084557 -:02065500084655 -:02065600084852 -:02065700084950 -:02065800084b4d -:02065900084d4a -:02065a00084f47 -:02065b00085045 -:02065c00085242 -:02065d0008543f -:02065e0008563c -:02065f0008573a -:02066000085937 -:02066100085b34 -:02066200085d31 -:02066300085f2e -:0206640008612b -:02066500086229 -:02066600086426 -:02066700086623 -:02066800086820 -:02066900086a1d -:02066a00086c1a -:02066b00086e17 -:02066c00087014 -:02066d00087211 -:02066e0008740e -:02066f0008770a -:02067000087907 -:02067100087b04 -:02067200087d01 -:02067300087ffe -:020674000881fb -:020675000883f8 -:020676000886f4 -:020677000888f1 -:02067800088aee -:02067900088ceb -:02067a00088fe7 -:02067b000891e4 -:02067c000893e1 -:02067d000896dd -:02067e000898da -:02067f00089ad7 -:02068000089dd3 -:02068100089fd0 -:0206820008a2cc -:0206830008a4c9 -:0206840008a7c5 -:0206850008a9c2 -:0206860008acbe -:0206870008aebb -:0206880008b1b7 -:0206890008b3b4 -:02068a0008b6b0 -:02068b0008b8ad -:02068c0008bba9 -:02068d0008bea5 -:02068e0008c0a2 -:02068f0008c39e -:0206900008c69a -:0206910008c897 -:0206920008cb93 -:0206930008ce8f -:0206940008d08c -:0206950008d388 -:0206960008d684 -:0206970008d980 -:0206980008dc7c -:0206990008de79 -:02069a0008e175 -:02069b0008e471 -:02069c0008e76d -:02069d0008ea69 -:02069e0008ed65 -:02069f0008f061 -:0206a00008f35d -:0206a10008f659 -:0206a20008f955 -:0206a30008fc51 -:0206a40008ff4d -:0206a500090248 -:0206a600090544 -:0206a700090840 -:0206a800090b3c -:0206a900090e38 -:0206aa00091134 -:0206ab00091430 -:0206ac0009172c -:0206ad00091b27 -:0206ae00091e23 -:0206af0009211f -:0206b00009241b -:0206b100092717 -:0206b200092b12 -:0206b300092e0e -:0206b40009310a -:0206b500093505 -:0206b600093801 -:0206b700093bfd -:0206b800093ff8 -:0206b9000942f4 -:0206ba000945f0 -:0206bb000949eb -:0206bc00094ce7 -:0206bd000950e2 -:0206be000953de -:0206bf000957d9 -:0206c000095ad5 -:0206c100095dd1 -:0206c2000961cc -:0206c3000965c7 -:0206c4000968c3 -:0206c500096cbe -:0206c600096fba -:0206c7000973b5 -:0206c8000976b1 -:0206c900097aac -:0206ca00097ea7 -:0206cb000981a3 -:0206cc0009859e -:0206cd00098999 -:0206ce00098c95 -:0206cf00099090 -:0206d00009948b -:0206d100099886 -:0206d200099b82 -:0206d300099f7d -:0206d40009a378 -:0206d50009a773 -:0206d60009ab6e -:0206d70009ae6a -:0206d80009b265 -:0206d90009b660 -:0206da0009ba5b -:0206db0009be56 -:0206dc0009c251 -:0206dd0009c64c -:0206de0009ca47 -:0206df0009ce42 -:0206e00009d23d -:0206e10009d638 -:0206e20009da33 -:0206e30009de2e -:0206e40009e229 -:0206e50009e624 -:0206e60009ea1f -:0206e70009ee1a -:0206e80009f215 -:0206e90009f610 -:0206ea0009fa0b -:0206eb0009fe06 -:0206ec000a03ff -:0206ed000a07fa -:0206ee000a0bf5 -:0206ef000a0ff0 -:0206f0000a13eb -:0206f1000a17e6 -:0206f2000a1ce0 -:0206f3000a20db -:0206f4000a24d6 -:0206f5000a29d0 -:0206f6000a2dcb -:0206f7000a31c6 -:0206f8000a35c1 -:0206f9000a3abb -:0206fa000a3eb6 -:0206fb000a43b0 -:0206fc000a47ab -:0206fd000a4ba6 -:0206fe000a50a0 -:0206ff000a549b -:020700000a5994 -:020701000a5d8f -:020702000a618a -:020703000a6684 -:020704000a6a7f -:020705000a6f79 -:020706000a7374 -:020707000a786e -:020708000a7d68 -:020709000a8163 -:02070a000a865d -:02070b000a8a58 -:02070c000a8f52 -:02070d000a934d -:02070e000a9847 -:02070f000a9d41 -:020710000aa13c -:020711000aa636 -:020712000aab30 -:020713000aaf2b -:020714000ab425 -:020715000ab91f -:020716000abd1a -:020717000ac214 -:020718000ac70e -:020719000acc08 -:02071a000ad003 -:02071b000ad5fd -:02071c000adaf7 -:02071d000adff1 -:02071e000ae4eb -:02071f000ae9e5 -:020720000aede0 -:020721000af2da -:020722000af7d4 -:020723000afcce -:020724000b01c7 -:020725000b06c1 -:020726000b0bbb -:020727000b10b5 -:020728000b15af -:020729000b1aa9 -:02072a000b1fa3 -:02072b000b249d -:02072c000b2997 -:02072d000b2e91 -:02072e000b338b -:02072f000b3885 -:020730000b3d7f -:020731000b4279 -:020732000b4773 -:020733000b4c6d -:020734000b5167 -:020735000b5661 -:020736000b5b5b -:020737000b6055 -:020738000b654f -:020739000b6a49 -:02073a000b7042 -:02073b000b753c -:02073c000b7a36 -:02073d000b7f30 -:02073e000b842a -:02073f000b8a23 -:020740000b8f1d -:020741000b9417 -:020742000b9911 -:020743000b9e0b -:020744000ba404 -:020745000ba9fe -:020746000baef8 -:020747000bb4f1 -:020748000bb9eb -:020749000bbee5 -:02074a000bc3df -:02074b000bc9d8 -:02074c000bced2 -:02074d000bd4cb -:02074e000bd9c5 -:02074f000bdebf -:020750000be4b8 -:020751000be9b2 -:020752000beeac -:020753000bf4a5 -:020754000bf99f -:020755000bff98 -:020756000c0491 -:020757000c0a8a -:020758000c0f84 -:020759000c157d -:02075a000c1a77 -:02075b000c1f71 -:02075c000c256a -:02075d000c2a64 -:02075e000c305d -:02075f000c3656 -:020760000c3b50 -:020761000c4149 -:020762000c4643 -:020763000c4c3c -:020764000c5136 -:020765000c572f -:020766000c5c29 -:020767000c6222 -:020768000c681b -:020769000c6d15 -:02076a000c730e -:02076b000c7907 -:02076c000c7e01 -:02076d000c84fa -:02076e000c89f4 -:02076f000c8fed -:020770000c95e6 -:020771000c9ae0 -:020772000ca0d9 -:020773000ca6d2 -:020774000caccb -:020775000cb1c5 -:020776000cb7be -:020777000cbdb7 -:020778000cc2b1 -:020779000cc8aa -:02077a000ccea3 -:02077b000cd49c -:02077c000cd996 -:02077d000cdf8f -:02077e000ce588 -:02077f000ceb81 -:020780000cf17a -:020781000cf674 -:020782000cfc6d -:020783000d0265 -:020784000d085e -:020785000d0e57 -:020786000d1450 -:020787000d194a -:020788000d1f43 -:020789000d253c -:02078a000d2b35 -:02078b000d312e -:02078c000d3727 -:02078d000d3d20 -:02078e000d4319 -:02078f000d4813 -:020790000d4e0c -:020791000d5405 -:020792000d5afe -:020793000d60f7 -:020794000d66f0 -:020795000d6ce9 -:020796000d72e2 -:020797000d78db -:020798000d7ed4 -:020799000d84cd -:02079a000d8ac6 -:02079b000d90bf -:02079c000d96b8 -:02079d000d9cb1 -:02079e000da2aa -:02079f000da8a3 -:0207a0000dae9c -:0207a1000db495 -:0207a2000dba8e -:0207a3000dc087 -:0207a4000dc680 -:0207a5000dcc79 -:0207a6000dd272 -:0207a7000dd86b -:0207a8000dde64 -:0207a9000de45d -:0207aa000dea56 -:0207ab000df04f -:0207ac000df648 -:0207ad000dfc41 -:0207ae000e0239 -:0207af000e0931 -:0207b0000e0f2a -:0207b1000e1523 -:0207b2000e1b1c -:0207b3000e2115 -:0207b4000e270e -:0207b5000e2d07 -:0207b6000e3300 -:0207b7000e39f9 -:0207b8000e3ff2 -:0207b9000e46ea -:0207ba000e4ce3 -:0207bb000e52dc -:0207bc000e58d5 -:0207bd000e5ece -:0207be000e64c7 -:0207bf000e6ac0 -:0207c0000e71b8 -:0207c1000e77b1 -:0207c2000e7daa -:0207c3000e83a3 -:0207c4000e899c -:0207c5000e8f95 -:0207c6000e968d -:0207c7000e9c86 -:0207c8000ea27f -:0207c9000ea878 -:0207ca000eae71 -:0207cb000eb569 -:0207cc000ebb62 -:0207cd000ec15b -:0207ce000ec754 -:0207cf000ecd4d -:0207d0000ed445 -:0207d1000eda3e -:0207d2000ee037 -:0207d3000ee630 -:0207d4000eed28 -:0207d5000ef321 -:0207d6000ef91a -:0207d7000eff13 -:0207d8000f050b -:0207d9000f0c03 -:0207da000f12fc -:0207db000f18f5 -:0207dc000f1eee -:0207dd000f25e6 -:0207de000f2bdf -:0207df000f31d8 -:0207e0000f37d1 -:0207e1000f3ec9 -:0207e2000f44c2 -:0207e3000f4abb -:0207e4000f50b4 -:0207e5000f57ac -:0207e6000f5da5 -:0207e7000f639e -:0207e8000f6997 -:0207e9000f708f -:0207ea000f7688 -:0207eb000f7c81 -:0207ec000f827a -:0207ed000f8972 -:0207ee000f8f6b -:0207ef000f9564 -:0207f0000f9c5c -:0207f1000fa255 -:0207f2000fa84e -:0207f3000fae47 -:0207f4000fb53f -:0207f5000fbb38 -:0207f6000fc131 -:0207f7000fc72a -:0207f8000fce22 -:0207f9000fd41b -:0207fa000fda14 -:0207fb000fe10c -:0207fc000fe705 -:0207fd000fedfe -:0207fe000ff3f7 -:0207ff000ffaef -:00000001FF diff --git a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_sin_f.hex b/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_sin_f.hex deleted file mode 100644 index 3fd5662..0000000 --- a/FPGA_61.440/db/ip/nco/submodules/nco_nco_ii_0_sin_f.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:020000000000fe -:020001000000fd -:020002000000fc -:020003000000fb -:020004000000fa -:020005000000f9 -:020006000000f8 -:020007000000f7 -:020008000000f6 -:020009000000f5 -:02000a000000f4 -:02000b000000f3 -:02000c000000f2 -:02000d000000f1 -:02000e000000f0 -:02000f000000ef -:020010000000ee -:020011000000ed -:020012000000ec -:020013000000eb -:020014000000ea -:020015000000e9 -:020016000000e8 -:020017000000e7 -:020018000000e6 -:020019000000e5 -:02001a000000e4 -:02001b000000e3 -:02001c000000e2 -:02001d000000e1 -:02001e000000e0 -:02001f000000df -:020020000000de -:020021000000dd -:020022000000dc -:020023000000db -:020024000000da -:020025000000d9 -:020026000000d8 -:020027000000d7 -:020028000000d6 -:020029000000d5 -:02002a000000d4 -:02002b000000d3 -:02002c000000d2 -:02002d000000d1 -:02002e000000d0 -:02002f000000cf -:020030000000ce -:020031000000cd -:020032000000cc -:020033000000cb -:020034000000ca -:020035000000c9 -:020036000000c8 -:020037000000c7 -:020038000000c6 -:020039000000c5 -:02003a000000c4 -:02003b000000c3 -:02003c000000c2 -:02003d000000c1 -:02003e000000c0 -:02003f000000bf -:020040000000be -:020041000000bd -:020042000000bc -:020043000000bb -:020044000000ba -:020045000000b9 -:020046000000b8 -:020047000000b7 -:020048000000b6 -:020049000000b5 -:02004a000000b4 -:02004b000000b3 -:02004c000000b2 -:02004d000000b1 -:02004e000000b0 -:02004f000000af -:020050000000ae -:020051000000ad -:020052000000ac -:020053000000ab -:020054000000aa -:020055000000a9 -:020056000000a8 -:020057000000a7 -:020058000000a6 -:020059000000a5 -:02005a000000a4 -:02005b000000a3 -:02005c000000a2 -:02005d000000a1 -:02005e000000a0 -:02005f0000009f -:0200600000009e -:0200610000009d -:0200620000009c -:0200630000009b -:0200640000009a -:02006500000099 -:02006600000098 -:02006700000097 -:02006800000096 -:02006900000095 -:02006a00000094 -:02006b00000093 -:02006c00000092 -:02006d00000091 -:02006e00000090 -:02006f0000008f -:0200700000008e -:0200710000008d -:0200720000008c -:0200730000008b -:0200740000008a -:02007500000089 -:02007600000088 -:02007700000087 -:02007800000086 -:02007900000085 -:02007a00000084 -:02007b00000083 -:02007c00000082 -:02007d00000081 -:02007e00000080 -:02007f0000007f -:0200800000007e -:0200810000007d -:0200820000007c -:0200830000007b -:0200840000007a -:02008500000079 -:02008600000078 -:02008700000077 -:02008800000076 -:02008900000075 -:02008a00000074 -:02008b00000073 -:02008c00000072 -:02008d00000071 -:02008e00000070 -:02008f0000006f -:0200900000006e -:0200910000006d -:0200920000006c -:0200930000006b -:0200940000006a -:02009500000069 -:02009600000068 -:02009700000067 -:02009800000066 -:02009900000065 -:02009a00000064 -:02009b00000063 -:02009c00000062 -:02009d00000061 -:02009e00000060 -:02009f0000005f -:0200a00000005e -:0200a10000005d -:0200a20000005c -:0200a30000005b -:0200a400000159 -:0200a500000158 -:0200a600000157 -:0200a700000156 -:0200a800000155 -:0200a900000154 -:0200aa00000153 -:0200ab00000152 -:0200ac00000151 -:0200ad00000150 -:0200ae0000014f -:0200af0000014e -:0200b00000014d -:0200b10000014c -:0200b20000014b -:0200b30000014a -:0200b400000149 -:0200b500000148 -:0200b600000147 -:0200b700000146 -:0200b800000145 -:0200b900000144 -:0200ba00000143 -:0200bb00000142 -:0200bc00000141 -:0200bd00000140 -:0200be0000013f -:0200bf0000013e -:0200c00000013d -:0200c10000013c -:0200c20000013b -:0200c30000013a -:0200c400000139 -:0200c500000138 -:0200c600000137 -:0200c700000136 -:0200c800000135 -:0200c900000134 -:0200ca00000133 -:0200cb00000132 -:0200cc00000131 -:0200cd00000130 -:0200ce0000012f -:0200cf0000012e -:0200d00000012d -:0200d10000012c -:0200d20000012b -:0200d30000012a -:0200d400000129 -:0200d500000128 -:0200d600000127 -:0200d700000126 -:0200d800000125 -:0200d900000124 -:0200da00000123 -:0200db00000122 -:0200dc00000121 -:0200dd00000120 -:0200de0000011f -:0200df0000011e -:0200e00000011d -:0200e10000011c -:0200e20000011b -:0200e30000011a -:0200e400000119 -:0200e500000118 -:0200e600000117 -:0200e700000116 -:0200e800000115 -:0200e900000114 -:0200ea00000113 -:0200eb00000112 -:0200ec00000111 -:0200ed00000110 -:0200ee0000010f -:0200ef0000010e -:0200f00000010d -:0200f10000010c -:0200f20000010b -:0200f30000010a -:0200f400000109 -:0200f500000108 -:0200f600000107 -:0200f700000106 -:0200f800000105 -:0200f900000104 -:0200fa00000103 -:0200fb00000102 -:0200fc00000101 -:0200fd00000100 -:0200fe000001ff -:0200ff000001fe -:020100000001fc -:020101000001fb -:020102000001fa -:020103000001f9 -:020104000001f8 -:020105000001f7 -:020106000001f6 -:020107000001f5 -:020108000001f4 -:020109000001f3 -:02010a000001f2 -:02010b000001f1 -:02010c000001f0 -:02010d000001ef -:02010e000001ee -:02010f000001ed -:020110000001ec -:020111000001eb -:020112000001ea -:020113000001e9 -:020114000001e8 -:020115000001e7 -:020116000001e6 -:020117000001e5 -:020118000001e4 -:020119000001e3 -:02011a000001e2 -:02011b000001e1 -:02011c000001e0 -:02011d000001df -:02011e000001de -:02011f000001dd -:020120000001dc -:020121000001db -:020122000001da -:020123000001d9 -:020124000001d8 -:020125000001d7 -:020126000001d6 -:020127000001d5 -:020128000001d4 -:020129000001d3 -:02012a000001d2 -:02012b000001d1 -:02012c000001d0 -:02012d000001cf -:02012e000001ce -:02012f000001cd -:020130000001cc -:020131000001cb -:020132000001ca -:020133000001c9 -:020134000001c8 -:020135000001c7 -:020136000001c6 -:020137000001c5 -:020138000001c4 -:020139000001c3 -:02013a000001c2 -:02013b000001c1 -:02013c000001c0 -:02013d000001bf -:02013e000001be -:02013f000001bd -:020140000001bc -:020141000001bb -:020142000001ba -:020143000001b9 -:020144000001b8 -:020145000001b7 -:020146000001b6 -:020147000001b5 -:020148000001b4 -:020149000001b3 -:02014a000001b2 -:02014b000001b1 -:02014c000001b0 -:02014d000001af -:02014e000001ae -:02014f000001ad -:020150000001ac -:020151000001ab -:020152000001aa -:020153000001a9 -:020154000001a8 -:020155000001a7 -:020156000001a6 -:020157000001a5 -:020158000001a4 -:020159000001a3 -:02015a000001a2 -:02015b000001a1 -:02015c000001a0 -:02015d0000019f -:02015e0000019e -:02015f0000019d -:0201600000019c -:0201610000019b -:0201620000019a -:02016300000199 -:02016400000198 -:02016500000197 -:02016600000196 -:02016700000195 -:02016800000194 -:02016900000193 -:02016a00000192 -:02016b00000191 -:02016c00000190 -:02016d0000018f -:02016e0000018e -:02016f0000018d -:0201700000018c -:0201710000018b -:0201720000018a -:02017300000189 -:02017400000188 -:02017500000187 -:02017600000186 -:02017700000185 -:02017800000184 -:02017900000183 -:02017a00000182 -:02017b00000181 -:02017c00000180 -:02017d0000017f -:02017e0000017e -:02017f0000017d -:0201800000017c -:0201810000017b -:0201820000017a -:02018300000179 -:02018400000178 -:02018500000177 -:02018600000176 -:02018700000175 -:02018800000174 -:02018900000173 -:02018a00000172 -:02018b00000171 -:02018c00000170 -:02018d0000016f -:02018e0000016e -:02018f0000016d -:0201900000016c -:0201910000016b -:0201920000016a -:02019300000169 -:02019400000168 -:02019500000167 -:02019600000166 -:02019700000165 -:02019800000164 -:02019900000163 -:02019a00000162 -:02019b00000161 -:02019c00000160 -:02019d0000015f -:02019e0000015e -:02019f0000015d -:0201a00000015c -:0201a10000015b -:0201a20000015a -:0201a300000159 -:0201a400000158 -:0201a500000157 -:0201a600000156 -:0201a700000155 -:0201a800000154 -:0201a900000153 -:0201aa00000152 -:0201ab00000151 -:0201ac00000150 -:0201ad0000014f -:0201ae0000014e -:0201af0000014d -:0201b00000014c -:0201b10000014b -:0201b20000014a -:0201b300000149 -:0201b400000148 -:0201b500000147 -:0201b600000146 -:0201b700000145 -:0201b800000144 -:0201b900000143 -:0201ba00000142 -:0201bb00000141 -:0201bc00000140 -:0201bd0000013f -:0201be0000013e -:0201bf0000013d -:0201c00000013c -:0201c10000013b -:0201c20000013a -:0201c300000139 -:0201c400000138 -:0201c500000137 -:0201c600000136 -:0201c700000135 -:0201c800000134 -:0201c900000133 -:0201ca00000132 -:0201cb00000131 -:0201cc00000130 -:0201cd0000012f -:0201ce0000012e -:0201cf0000012d -:0201d00000012c -:0201d10000012b -:0201d20000012a -:0201d300000129 -:0201d400000128 -:0201d500000127 -:0201d600000126 -:0201d700000125 -:0201d800000124 -:0201d900000123 -:0201da00000122 -:0201db00000121 -:0201dc00000120 -:0201dd0000011f -:0201de0000011e -:0201df0000011d -:0201e00000011c -:0201e10000011b -:0201e20000011a -:0201e300000119 -:0201e400000118 -:0201e500000117 -:0201e600000116 -:0201e700000115 -:0201e800000114 -:0201e900000113 -:0201ea00000211 -:0201eb00000210 -:0201ec0000020f -:0201ed0000020e -:0201ee0000020d -:0201ef0000020c -:0201f00000020b -:0201f10000020a -:0201f200000209 -:0201f300000208 -:0201f400000207 -:0201f500000206 -:0201f600000205 -:0201f700000204 -:0201f800000203 -:0201f900000202 -:0201fa00000201 -:0201fb00000200 -:0201fc000002ff -:0201fd000002fe -:0201fe000002fd -:0201ff000002fc -:020200000002fa -:020201000002f9 -:020202000002f8 -:020203000002f7 -:020204000002f6 -:020205000002f5 -:020206000002f4 -:020207000002f3 -:020208000002f2 -:020209000002f1 -:02020a000002f0 -:02020b000002ef -:02020c000002ee -:02020d000002ed -:02020e000002ec -:02020f000002eb -:020210000002ea -:020211000002e9 -:020212000002e8 -:020213000002e7 -:020214000002e6 -:020215000002e5 -:020216000002e4 -:020217000002e3 -:020218000002e2 -:020219000002e1 -:02021a000002e0 -:02021b000002df -:02021c000002de -:02021d000002dd -:02021e000002dc -:02021f000002db -:020220000002da -:020221000002d9 -:020222000002d8 -:020223000002d7 -:020224000002d6 -:020225000002d5 -:020226000002d4 -:020227000002d3 -:020228000002d2 -:020229000002d1 -:02022a000002d0 -:02022b000002cf -:02022c000002ce -:02022d000002cd -:02022e000002cc -:02022f000002cb -:020230000002ca -:020231000002c9 -:020232000002c8 -:020233000002c7 -:020234000002c6 -:020235000002c5 -:020236000002c4 -:020237000002c3 -:020238000002c2 -:020239000002c1 -:02023a000002c0 -:02023b000002bf -:02023c000002be -:02023d000002bd -:02023e000002bc -:02023f000002bb -:020240000002ba -:020241000002b9 -:020242000002b8 -:020243000002b7 -:020244000002b6 -:020245000002b5 -:020246000002b4 -:020247000002b3 -:020248000002b2 -:020249000002b1 -:02024a000002b0 -:02024b000002af -:02024c000002ae -:02024d000002ad -:02024e000002ac -:02024f000002ab -:020250000002aa -:020251000002a9 -:020252000002a8 -:020253000002a7 -:020254000002a6 -:020255000002a5 -:020256000002a4 -:020257000002a3 -:020258000002a2 -:020259000002a1 -:02025a000002a0 -:02025b0000029f -:02025c0000029e -:02025d0000029d -:02025e0000029c -:02025f0000029b -:0202600000029a -:02026100000299 -:02026200000298 -:02026300000297 -:02026400000296 -:02026500000295 -:02026600000294 -:02026700000293 -:02026800000292 -:02026900000291 -:02026a00000290 -:02026b0000028f -:02026c0000028e -:02026d0000028d -:02026e0000028c -:02026f0000028b -:0202700000028a -:02027100000289 -:02027200000288 -:02027300000287 -:02027400000286 -:02027500000285 -:02027600000284 -:02027700000283 -:02027800000282 -:02027900000281 -:02027a00000280 -:02027b0000027f -:02027c0000027e -:02027d0000027d -:02027e0000027c -:02027f0000027b -:0202800000027a -:02028100000279 -:02028200000278 -:02028300000277 -:02028400000276 -:02028500000275 -:02028600000274 -:02028700000273 -:02028800000272 -:02028900000271 -:02028a00000270 -:02028b0000026f -:02028c0000026e -:02028d0000026d -:02028e0000026c -:02028f0000026b -:0202900000026a -:02029100000269 -:02029200000268 -:02029300000267 -:02029400000266 -:02029500000265 -:02029600000264 -:02029700000263 -:02029800000262 -:02029900000261 -:02029a00000260 -:02029b0000025f -:02029c0000025e -:02029d0000025d -:02029e0000025c -:02029f0000025b -:0202a00000025a -:0202a100000259 -:0202a200000258 -:0202a300000257 -:0202a400000256 -:0202a500000255 -:0202a600000254 -:0202a700000253 -:0202a800000252 -:0202a900000251 -:0202aa00000250 -:0202ab0000024f -:0202ac0000024e -:0202ad0000024d -:0202ae0000024c -:0202af0000024b -:0202b00000024a -:0202b100000249 -:0202b200000248 -:0202b300000247 -:0202b400000246 -:0202b500000245 -:0202b600000244 -:0202b700000243 -:0202b800000242 -:0202b900000241 -:0202ba00000240 -:0202bb0000023f -:0202bc0000023e -:0202bd0000023d -:0202be0000023c -:0202bf0000023b -:0202c00000023a -:0202c100000239 -:0202c200000238 -:0202c300000237 -:0202c400000236 -:0202c500000235 -:0202c600000234 -:0202c700000233 -:0202c800000232 -:0202c900000231 -:0202ca00000230 -:0202cb0000022f -:0202cc0000022e -:0202cd0000022d -:0202ce0000022c -:0202cf0000022b -:0202d00000022a -:0202d100000229 -:0202d200000228 -:0202d300000227 -:0202d400000226 -:0202d500000225 -:0202d600000224 -:0202d700000223 -:0202d800000222 -:0202d900000221 -:0202da00000220 -:0202db0000021f -:0202dc0000021e -:0202dd0000021d -:0202de0000021c -:0202df0000021b -:0202e00000021a -:0202e100000219 -:0202e200000218 -:0202e300000217 -:0202e400000216 -:0202e500000215 -:0202e600000214 -:0202e700000213 -:0202e800000212 -:0202e900000211 -:0202ea00000210 -:0202eb0000020f -:0202ec0000020e -:0202ed0000020d -:0202ee0000020c -:0202ef0000020b -:0202f00000020a -:0202f100000209 -:0202f200000208 -:0202f300000207 -:0202f400000206 -:0202f500000205 -:0202f600000204 -:0202f700000203 -:0202f800000202 -:0202f900000201 -:0202fa00000200 -:0202fb000002ff -:0202fc000002fe -:0202fd000002fd -:0202fe000002fc -:0202ff000002fb -:020300000002f9 -:020301000002f8 -:020302000002f7 -:020303000002f6 -:020304000002f5 -:020305000002f4 -:020306000002f3 -:020307000002f2 -:020308000002f1 -:020309000002f0 -:02030a000002ef -:02030b000002ee -:02030c000002ed -:02030d000002ec -:02030e000002eb -:02030f000002ea -:020310000002e9 -:020311000002e8 -:020312000002e7 -:020313000002e6 -:020314000002e5 -:020315000002e4 -:020316000002e3 -:020317000002e2 -:020318000002e1 -:020319000002e0 -:02031a000002df -:02031b000002de -:02031c000002dd -:02031d000002dc -:02031e000002db -:02031f000002da -:020320000002d9 -:020321000002d8 -:020322000002d7 -:020323000002d6 -:020324000002d5 -:020325000002d4 -:020326000002d3 -:020327000002d2 -:020328000002d1 -:020329000002d0 -:02032a000002cf -:02032b000002ce -:02032c000002cd -:02032d000002cc -:02032e000002cb -:02032f000002ca -:020330000003c8 -:020331000003c7 -:020332000003c6 -:020333000003c5 -:020334000003c4 -:020335000003c3 -:020336000003c2 -:020337000003c1 -:020338000003c0 -:020339000003bf -:02033a000003be -:02033b000003bd -:02033c000003bc -:02033d000003bb -:02033e000003ba -:02033f000003b9 -:020340000003b8 -:020341000003b7 -:020342000003b6 -:020343000003b5 -:020344000003b4 -:020345000003b3 -:020346000003b2 -:020347000003b1 -:020348000003b0 -:020349000003af -:02034a000003ae -:02034b000003ad -:02034c000003ac -:02034d000003ab -:02034e000003aa -:02034f000003a9 -:020350000003a8 -:020351000003a7 -:020352000003a6 -:020353000003a5 -:020354000003a4 -:020355000003a3 -:020356000003a2 -:020357000003a1 -:020358000003a0 -:0203590000039f -:02035a0000039e -:02035b0000039d -:02035c0000039c -:02035d0000039b -:02035e0000039a -:02035f00000399 -:02036000000398 -:02036100000397 -:02036200000396 -:02036300000395 -:02036400000394 -:02036500000393 -:02036600000392 -:02036700000391 -:02036800000390 -:0203690000038f -:02036a0000038e -:02036b0000038d -:02036c0000038c -:02036d0000038b -:02036e0000038a -:02036f00000389 -:02037000000388 -:02037100000387 -:02037200000386 -:02037300000385 -:02037400000384 -:02037500000383 -:02037600000382 -:02037700000381 -:02037800000380 -:0203790000037f -:02037a0000037e -:02037b0000037d -:02037c0000037c -:02037d0000037b -:02037e0000037a -:02037f00000379 -:02038000000378 -:02038100000377 -:02038200000376 -:02038300000375 -:02038400000374 -:02038500000373 -:02038600000372 -:02038700000371 -:02038800000370 -:0203890000036f -:02038a0000036e -:02038b0000036d -:02038c0000036c -:02038d0000036b -:02038e0000036a -:02038f00000369 -:02039000000368 -:02039100000367 -:02039200000366 -:02039300000365 -:02039400000364 -:02039500000363 -:02039600000362 -:02039700000361 -:02039800000360 -:0203990000035f -:02039a0000035e -:02039b0000035d -:02039c0000035c -:02039d0000035b -:02039e0000035a -:02039f00000359 -:0203a000000358 -:0203a100000357 -:0203a200000356 -:0203a300000355 -:0203a400000354 -:0203a500000353 -:0203a600000352 -:0203a700000351 -:0203a800000350 -:0203a90000034f -:0203aa0000034e -:0203ab0000034d -:0203ac0000034c -:0203ad0000034b -:0203ae0000034a -:0203af00000349 -:0203b000000348 -:0203b100000347 -:0203b200000346 -:0203b300000345 -:0203b400000344 -:0203b500000343 -:0203b600000342 -:0203b700000341 -:0203b800000340 -:0203b90000033f -:0203ba0000033e -:0203bb0000033d -:0203bc0000033c -:0203bd0000033b -:0203be0000033a -:0203bf00000339 -:0203c000000338 -:0203c100000337 -:0203c200000336 -:0203c300000335 -:0203c400000334 -:0203c500000333 -:0203c600000332 -:0203c700000331 -:0203c800000330 -:0203c90000032f -:0203ca0000032e -:0203cb0000032d -:0203cc0000032c -:0203cd0000032b -:0203ce0000032a -:0203cf00000329 -:0203d000000328 -:0203d100000327 -:0203d200000326 -:0203d300000325 -:0203d400000324 -:0203d500000323 -:0203d600000322 -:0203d700000321 -:0203d800000320 -:0203d90000031f -:0203da0000031e -:0203db0000031d -:0203dc0000031c -:0203dd0000031b -:0203de0000031a -:0203df00000319 -:0203e000000318 -:0203e100000317 -:0203e200000316 -:0203e300000315 -:0203e400000314 -:0203e500000313 -:0203e600000312 -:0203e700000311 -:0203e800000310 -:0203e90000030f -:0203ea0000030e -:0203eb0000030d -:0203ec0000030c -:0203ed0000030b -:0203ee0000030a -:0203ef00000309 -:0203f000000308 -:0203f100000307 -:0203f200000306 -:0203f300000305 -:0203f400000304 -:0203f500000303 -:0203f600000302 -:0203f700000301 -:0203f800000300 -:0203f9000003ff -:0203fa000003fe -:0203fb000003fd -:0203fc000003fc -:0203fd000003fb -:0203fe000003fa -:0203ff000003f9 -:020400000003f7 -:020401000003f6 -:020402000003f5 -:020403000003f4 -:020404000003f3 -:020405000003f2 -:020406000003f1 -:020407000003f0 -:020408000003ef -:020409000003ee -:02040a000003ed -:02040b000003ec -:02040c000003eb -:02040d000003ea -:02040e000003e9 -:02040f000003e8 -:020410000003e7 -:020411000003e6 -:020412000003e5 -:020413000003e4 -:020414000003e3 -:020415000003e2 -:020416000003e1 -:020417000003e0 -:020418000003df -:020419000003de -:02041a000003dd -:02041b000003dc -:02041c000003db -:02041d000003da -:02041e000003d9 -:02041f000003d8 -:020420000003d7 -:020421000003d6 -:020422000003d5 -:020423000003d4 -:020424000003d3 -:020425000003d2 -:020426000003d1 -:020427000003d0 -:020428000003cf -:020429000003ce -:02042a000003cd -:02042b000003cc -:02042c000003cb -:02042d000003ca -:02042e000003c9 -:02042f000003c8 -:020430000003c7 -:020431000003c6 -:020432000003c5 -:020433000003c4 -:020434000003c3 -:020435000003c2 -:020436000003c1 -:020437000003c0 -:020438000003bf -:020439000003be -:02043a000003bd -:02043b000003bc -:02043c000003bb -:02043d000003ba -:02043e000003b9 -:02043f000003b8 -:020440000003b7 -:020441000003b6 -:020442000003b5 -:020443000003b4 -:020444000003b3 -:020445000003b2 -:020446000003b1 -:020447000003b0 -:020448000003af -:020449000003ae -:02044a000003ad -:02044b000003ac -:02044c000003ab -:02044d000003aa -:02044e000003a9 -:02044f000003a8 -:020450000003a7 -:020451000003a6 -:020452000003a5 -:020453000003a4 -:020454000003a3 -:020455000003a2 -:020456000003a1 -:020457000003a0 -:0204580000039f -:0204590000039e -:02045a0000039d -:02045b0000039c -:02045c0000039b -:02045d0000039a -:02045e00000399 -:02045f00000398 -:02046000000397 -:02046100000396 -:02046200000395 -:02046300000394 -:02046400000393 -:02046500000392 -:02046600000391 -:02046700000390 -:0204680000038f -:0204690000038e -:02046a0000038d -:02046b0000038c -:02046c0000038b -:02046d0000038a -:02046e00000389 -:02046f00000388 -:02047000000387 -:02047100000386 -:02047200000385 -:02047300000384 -:02047400000383 -:02047500000382 -:02047600000480 -:0204770000047f -:0204780000047e -:0204790000047d -:02047a0000047c -:02047b0000047b -:02047c0000047a -:02047d00000479 -:02047e00000478 -:02047f00000477 -:02048000000476 -:02048100000475 -:02048200000474 -:02048300000473 -:02048400000472 -:02048500000471 -:02048600000470 -:0204870000046f -:0204880000046e -:0204890000046d -:02048a0000046c -:02048b0000046b -:02048c0000046a -:02048d00000469 -:02048e00000468 -:02048f00000467 -:02049000000466 -:02049100000465 -:02049200000464 -:02049300000463 -:02049400000462 -:02049500000461 -:02049600000460 -:0204970000045f -:0204980000045e -:0204990000045d -:02049a0000045c -:02049b0000045b -:02049c0000045a -:02049d00000459 -:02049e00000458 -:02049f00000457 -:0204a000000456 -:0204a100000455 -:0204a200000454 -:0204a300000453 -:0204a400000452 -:0204a500000451 -:0204a600000450 -:0204a70000044f -:0204a80000044e -:0204a90000044d -:0204aa0000044c -:0204ab0000044b -:0204ac0000044a -:0204ad00000449 -:0204ae00000448 -:0204af00000447 -:0204b000000446 -:0204b100000445 -:0204b200000444 -:0204b300000443 -:0204b400000442 -:0204b500000441 -:0204b600000440 -:0204b70000043f -:0204b80000043e -:0204b90000043d -:0204ba0000043c -:0204bb0000043b -:0204bc0000043a -:0204bd00000439 -:0204be00000438 -:0204bf00000437 -:0204c000000436 -:0204c100000435 -:0204c200000434 -:0204c300000433 -:0204c400000432 -:0204c500000431 -:0204c600000430 -:0204c70000042f -:0204c80000042e -:0204c90000042d -:0204ca0000042c -:0204cb0000042b -:0204cc0000042a -:0204cd00000429 -:0204ce00000428 -:0204cf00000427 -:0204d000000426 -:0204d100000425 -:0204d200000424 -:0204d300000423 -:0204d400000422 -:0204d500000421 -:0204d600000420 -:0204d70000041f -:0204d80000041e -:0204d90000041d -:0204da0000041c -:0204db0000041b -:0204dc0000041a -:0204dd00000419 -:0204de00000418 -:0204df00000417 -:0204e000000416 -:0204e100000415 -:0204e200000414 -:0204e300000413 -:0204e400000412 -:0204e500000411 -:0204e600000410 -:0204e70000040f -:0204e80000040e -:0204e90000040d -:0204ea0000040c -:0204eb0000040b -:0204ec0000040a -:0204ed00000409 -:0204ee00000408 -:0204ef00000407 -:0204f000000406 -:0204f100000405 -:0204f200000404 -:0204f300000403 -:0204f400000402 -:0204f500000401 -:0204f600000400 -:0204f7000004ff -:0204f8000004fe -:0204f9000004fd -:0204fa000004fc -:0204fb000004fb -:0204fc000004fa -:0204fd000004f9 -:0204fe000004f8 -:0204ff000004f7 -:020500000004f5 -:020501000004f4 -:020502000004f3 -:020503000004f2 -:020504000004f1 -:020505000004f0 -:020506000004ef -:020507000004ee -:020508000004ed -:020509000004ec -:02050a000004eb -:02050b000004ea -:02050c000004e9 -:02050d000004e8 -:02050e000004e7 -:02050f000004e6 -:020510000004e5 -:020511000004e4 -:020512000004e3 -:020513000004e2 -:020514000004e1 -:020515000004e0 -:020516000004df -:020517000004de -:020518000004dd -:020519000004dc -:02051a000004db -:02051b000004da -:02051c000004d9 -:02051d000004d8 -:02051e000004d7 -:02051f000004d6 -:020520000004d5 -:020521000004d4 -:020522000004d3 -:020523000004d2 -:020524000004d1 -:020525000004d0 -:020526000004cf -:020527000004ce -:020528000004cd -:020529000004cc -:02052a000004cb -:02052b000004ca -:02052c000004c9 -:02052d000004c8 -:02052e000004c7 -:02052f000004c6 -:020530000004c5 -:020531000004c4 -:020532000004c3 -:020533000004c2 -:020534000004c1 -:020535000004c0 -:020536000004bf -:020537000004be -:020538000004bd -:020539000004bc -:02053a000004bb -:02053b000004ba -:02053c000004b9 -:02053d000004b8 -:02053e000004b7 -:02053f000004b6 -:020540000004b5 -:020541000004b4 -:020542000004b3 -:020543000004b2 -:020544000004b1 -:020545000004b0 -:020546000004af -:020547000004ae -:020548000004ad -:020549000004ac -:02054a000004ab -:02054b000004aa -:02054c000004a9 -:02054d000004a8 -:02054e000004a7 -:02054f000004a6 -:020550000004a5 -:020551000004a4 -:020552000004a3 -:020553000004a2 -:020554000004a1 -:020555000004a0 -:0205560000049f -:0205570000049e -:0205580000049d -:0205590000049c -:02055a0000049b -:02055b0000049a -:02055c00000499 -:02055d00000498 -:02055e00000497 -:02055f00000496 -:02056000000495 -:02056100000494 -:02056200000493 -:02056300000492 -:02056400000491 -:02056500000490 -:0205660000048f -:0205670000048e -:0205680000048d -:0205690000048c -:02056a0000048b -:02056b0000048a -:02056c00000489 -:02056d00000488 -:02056e00000487 -:02056f00000486 -:02057000000485 -:02057100000484 -:02057200000483 -:02057300000482 -:02057400000481 -:02057500000480 -:0205760000047f -:0205770000047e -:0205780000047d -:0205790000047c -:02057a0000047b -:02057b0000047a -:02057c00000479 -:02057d00000478 -:02057e00000477 -:02057f00000476 -:02058000000475 -:02058100000474 -:02058200000473 -:02058300000472 -:02058400000471 -:02058500000470 -:0205860000046f -:0205870000046e -:0205880000046d -:0205890000046c -:02058a0000046b -:02058b0000046a -:02058c00000469 -:02058d00000468 -:02058e00000467 -:02058f00000466 -:02059000000465 -:02059100000464 -:02059200000463 -:02059300000462 -:02059400000461 -:02059500000460 -:0205960000045f -:0205970000045e -:0205980000045d -:0205990000045c -:02059a0000045b -:02059b0000045a -:02059c00000459 -:02059d00000458 -:02059e00000457 -:02059f00000456 -:0205a000000455 -:0205a100000454 -:0205a200000453 -:0205a300000452 -:0205a400000451 -:0205a500000450 -:0205a60000044f -:0205a70000044e -:0205a80000044d -:0205a90000044c -:0205aa0000044b -:0205ab0000044a -:0205ac00000449 -:0205ad00000448 -:0205ae00000447 -:0205af00000446 -:0205b000000445 -:0205b100000444 -:0205b200000443 -:0205b300000442 -:0205b400000441 -:0205b500000440 -:0205b60000043f -:0205b70000043e -:0205b80000043d -:0205b90000043c -:0205ba0000043b -:0205bb0000043a -:0205bc00000538 -:0205bd00000537 -:0205be00000536 -:0205bf00000535 -:0205c000000534 -:0205c100000533 -:0205c200000532 -:0205c300000531 -:0205c400000530 -:0205c50000052f -:0205c60000052e -:0205c70000052d -:0205c80000052c -:0205c90000052b -:0205ca0000052a -:0205cb00000529 -:0205cc00000528 -:0205cd00000527 -:0205ce00000526 -:0205cf00000525 -:0205d000000524 -:0205d100000523 -:0205d200000522 -:0205d300000521 -:0205d400000520 -:0205d50000051f -:0205d60000051e -:0205d70000051d -:0205d80000051c -:0205d90000051b -:0205da0000051a -:0205db00000519 -:0205dc00000518 -:0205dd00000517 -:0205de00000516 -:0205df00000515 -:0205e000000514 -:0205e100000513 -:0205e200000512 -:0205e300000511 -:0205e400000510 -:0205e50000050f -:0205e60000050e -:0205e70000050d -:0205e80000050c -:0205e90000050b -:0205ea0000050a -:0205eb00000509 -:0205ec00000508 -:0205ed00000507 -:0205ee00000506 -:0205ef00000505 -:0205f000000504 -:0205f100000503 -:0205f200000502 -:0205f300000501 -:0205f400000500 -:0205f5000005ff -:0205f6000005fe -:0205f7000005fd -:0205f8000005fc -:0205f9000005fb -:0205fa000005fa -:0205fb000005f9 -:0205fc000005f8 -:0205fd000005f7 -:0205fe000005f6 -:0205ff000005f5 -:020600000005f3 -:020601000005f2 -:020602000005f1 -:020603000005f0 -:020604000005ef -:020605000005ee -:020606000005ed -:020607000005ec -:020608000005eb -:020609000005ea -:02060a000005e9 -:02060b000005e8 -:02060c000005e7 -:02060d000005e6 -:02060e000005e5 -:02060f000005e4 -:020610000005e3 -:020611000005e2 -:020612000005e1 -:020613000005e0 -:020614000005df -:020615000005de -:020616000005dd -:020617000005dc -:020618000005db -:020619000005da -:02061a000005d9 -:02061b000005d8 -:02061c000005d7 -:02061d000005d6 -:02061e000005d5 -:02061f000005d4 -:020620000005d3 -:020621000005d2 -:020622000005d1 -:020623000005d0 -:020624000005cf -:020625000005ce -:020626000005cd -:020627000005cc -:020628000005cb -:020629000005ca -:02062a000005c9 -:02062b000005c8 -:02062c000005c7 -:02062d000005c6 -:02062e000005c5 -:02062f000005c4 -:020630000005c3 -:020631000005c2 -:020632000005c1 -:020633000005c0 -:020634000005bf -:020635000005be -:020636000005bd -:020637000005bc -:020638000005bb -:020639000005ba -:02063a000005b9 -:02063b000005b8 -:02063c000005b7 -:02063d000005b6 -:02063e000005b5 -:02063f000005b4 -:020640000005b3 -:020641000005b2 -:020642000005b1 -:020643000005b0 -:020644000005af -:020645000005ae -:020646000005ad -:020647000005ac -:020648000005ab -:020649000005aa -:02064a000005a9 -:02064b000005a8 -:02064c000005a7 -:02064d000005a6 -:02064e000005a5 -:02064f000005a4 -:020650000005a3 -:020651000005a2 -:020652000005a1 -:020653000005a0 -:0206540000059f -:0206550000059e -:0206560000059d -:0206570000059c -:0206580000059b -:0206590000059a -:02065a00000599 -:02065b00000598 -:02065c00000597 -:02065d00000596 -:02065e00000595 -:02065f00000594 -:02066000000593 -:02066100000592 -:02066200000591 -:02066300000590 -:0206640000058f -:0206650000058e -:0206660000058d -:0206670000058c -:0206680000058b -:0206690000058a -:02066a00000589 -:02066b00000588 -:02066c00000587 -:02066d00000586 -:02066e00000585 -:02066f00000584 -:02067000000583 -:02067100000582 -:02067200000581 -:02067300000580 -:0206740000057f -:0206750000057e -:0206760000057d -:0206770000057c -:0206780000057b -:0206790000057a -:02067a00000579 -:02067b00000578 -:02067c00000577 -:02067d00000576 -:02067e00000575 -:02067f00000574 -:02068000000573 -:02068100000572 -:02068200000571 -:02068300000570 -:0206840000056f -:0206850000056e -:0206860000056d -:0206870000056c -:0206880000056b -:0206890000056a -:02068a00000569 -:02068b00000568 -:02068c00000567 -:02068d00000566 -:02068e00000565 -:02068f00000564 -:02069000000563 -:02069100000562 -:02069200000561 -:02069300000560 -:0206940000055f -:0206950000055e -:0206960000055d -:0206970000055c -:0206980000055b -:0206990000055a -:02069a00000559 -:02069b00000558 -:02069c00000557 -:02069d00000556 -:02069e00000555 -:02069f00000554 -:0206a000000553 -:0206a100000552 -:0206a200000551 -:0206a300000550 -:0206a40000054f -:0206a50000054e -:0206a60000054d -:0206a70000054c -:0206a80000054b -:0206a90000054a -:0206aa00000549 -:0206ab00000548 -:0206ac00000547 -:0206ad00000546 -:0206ae00000545 -:0206af00000544 -:0206b000000543 -:0206b100000542 -:0206b200000541 -:0206b300000540 -:0206b40000053f -:0206b50000053e -:0206b60000053d -:0206b70000053c -:0206b80000053b -:0206b90000053a -:0206ba00000539 -:0206bb00000538 -:0206bc00000537 -:0206bd00000536 -:0206be00000535 -:0206bf00000534 -:0206c000000533 -:0206c100000532 -:0206c200000531 -:0206c300000530 -:0206c40000052f -:0206c50000052e -:0206c60000052d -:0206c70000052c -:0206c80000052b -:0206c90000052a -:0206ca00000529 -:0206cb00000528 -:0206cc00000527 -:0206cd00000526 -:0206ce00000525 -:0206cf00000524 -:0206d000000523 -:0206d100000522 -:0206d200000521 -:0206d300000520 -:0206d40000051f -:0206d50000051e -:0206d60000051d -:0206d70000051c -:0206d80000051b -:0206d90000051a -:0206da00000519 -:0206db00000518 -:0206dc00000517 -:0206dd00000516 -:0206de00000515 -:0206df00000514 -:0206e000000513 -:0206e100000512 -:0206e200000511 -:0206e300000510 -:0206e40000050f -:0206e50000050e -:0206e60000050d -:0206e70000050c -:0206e80000050b -:0206e90000050a -:0206ea00000509 -:0206eb00000508 -:0206ec00000507 -:0206ed00000506 -:0206ee00000505 -:0206ef00000504 -:0206f000000503 -:0206f100000502 -:0206f200000501 -:0206f300000500 -:0206f4000005ff -:0206f5000005fe -:0206f6000005fd -:0206f7000005fc -:0206f8000005fb -:0206f9000005fa -:0206fa000005f9 -:0206fb000005f8 -:0206fc000005f7 -:0206fd000005f6 -:0206fe000005f5 -:0206ff000005f4 -:020700000005f2 -:020701000005f1 -:020702000006ef -:020703000006ee -:020704000006ed -:020705000006ec -:020706000006eb -:020707000006ea -:020708000006e9 -:020709000006e8 -:02070a000006e7 -:02070b000006e6 -:02070c000006e5 -:02070d000006e4 -:02070e000006e3 -:02070f000006e2 -:020710000006e1 -:020711000006e0 -:020712000006df -:020713000006de -:020714000006dd -:020715000006dc -:020716000006db -:020717000006da -:020718000006d9 -:020719000006d8 -:02071a000006d7 -:02071b000006d6 -:02071c000006d5 -:02071d000006d4 -:02071e000006d3 -:02071f000006d2 -:020720000006d1 -:020721000006d0 -:020722000006cf -:020723000006ce -:020724000006cd -:020725000006cc -:020726000006cb -:020727000006ca -:020728000006c9 -:020729000006c8 -:02072a000006c7 -:02072b000006c6 -:02072c000006c5 -:02072d000006c4 -:02072e000006c3 -:02072f000006c2 -:020730000006c1 -:020731000006c0 -:020732000006bf -:020733000006be -:020734000006bd -:020735000006bc -:020736000006bb -:020737000006ba -:020738000006b9 -:020739000006b8 -:02073a000006b7 -:02073b000006b6 -:02073c000006b5 -:02073d000006b4 -:02073e000006b3 -:02073f000006b2 -:020740000006b1 -:020741000006b0 -:020742000006af -:020743000006ae -:020744000006ad -:020745000006ac -:020746000006ab -:020747000006aa -:020748000006a9 -:020749000006a8 -:02074a000006a7 -:02074b000006a6 -:02074c000006a5 -:02074d000006a4 -:02074e000006a3 -:02074f000006a2 -:020750000006a1 -:020751000006a0 -:0207520000069f -:0207530000069e -:0207540000069d -:0207550000069c -:0207560000069b -:0207570000069a -:02075800000699 -:02075900000698 -:02075a00000697 -:02075b00000696 -:02075c00000695 -:02075d00000694 -:02075e00000693 -:02075f00000692 -:02076000000691 -:02076100000690 -:0207620000068f -:0207630000068e -:0207640000068d -:0207650000068c -:0207660000068b -:0207670000068a -:02076800000689 -:02076900000688 -:02076a00000687 -:02076b00000686 -:02076c00000685 -:02076d00000684 -:02076e00000683 -:02076f00000682 -:02077000000681 -:02077100000680 -:0207720000067f -:0207730000067e -:0207740000067d -:0207750000067c -:0207760000067b -:0207770000067a -:02077800000679 -:02077900000678 -:02077a00000677 -:02077b00000676 -:02077c00000675 -:02077d00000674 -:02077e00000673 -:02077f00000672 -:02078000000671 -:02078100000670 -:0207820000066f -:0207830000066e -:0207840000066d -:0207850000066c -:0207860000066b -:0207870000066a -:02078800000669 -:02078900000668 -:02078a00000667 -:02078b00000666 -:02078c00000665 -:02078d00000664 -:02078e00000663 -:02078f00000662 -:02079000000661 -:02079100000660 -:0207920000065f -:0207930000065e -:0207940000065d -:0207950000065c -:0207960000065b -:0207970000065a -:02079800000659 -:02079900000658 -:02079a00000657 -:02079b00000656 -:02079c00000655 -:02079d00000654 -:02079e00000653 -:02079f00000652 -:0207a000000651 -:0207a100000650 -:0207a20000064f -:0207a30000064e -:0207a40000064d -:0207a50000064c -:0207a60000064b -:0207a70000064a -:0207a800000649 -:0207a900000648 -:0207aa00000647 -:0207ab00000646 -:0207ac00000645 -:0207ad00000644 -:0207ae00000643 -:0207af00000642 -:0207b000000641 -:0207b100000640 -:0207b20000063f -:0207b30000063e -:0207b40000063d -:0207b50000063c -:0207b60000063b -:0207b70000063a -:0207b800000639 -:0207b900000638 -:0207ba00000637 -:0207bb00000636 -:0207bc00000635 -:0207bd00000634 -:0207be00000633 -:0207bf00000632 -:0207c000000631 -:0207c100000630 -:0207c20000062f -:0207c30000062e -:0207c40000062d -:0207c50000062c -:0207c60000062b -:0207c70000062a -:0207c800000629 -:0207c900000628 -:0207ca00000627 -:0207cb00000626 -:0207cc00000625 -:0207cd00000624 -:0207ce00000623 -:0207cf00000622 -:0207d000000621 -:0207d100000620 -:0207d20000061f -:0207d30000061e -:0207d40000061d -:0207d50000061c -:0207d60000061b -:0207d70000061a -:0207d800000619 -:0207d900000618 -:0207da00000617 -:0207db00000616 -:0207dc00000615 -:0207dd00000614 -:0207de00000613 -:0207df00000612 -:0207e000000611 -:0207e100000610 -:0207e20000060f -:0207e30000060e -:0207e40000060d -:0207e50000060c -:0207e60000060b -:0207e70000060a -:0207e800000609 -:0207e900000608 -:0207ea00000607 -:0207eb00000606 -:0207ec00000605 -:0207ed00000604 -:0207ee00000603 -:0207ef00000602 -:0207f000000601 -:0207f100000600 -:0207f2000006ff -:0207f3000006fe -:0207f4000006fd -:0207f5000006fc -:0207f6000006fb -:0207f7000006fa -:0207f8000006f9 -:0207f9000006f8 -:0207fa000006f7 -:0207fb000006f6 -:0207fc000006f5 -:0207fd000006f4 -:0207fe000006f3 -:0207ff000006f2 -:00000001FF diff --git a/FPGA_61.440/db/ip/rx_cic/rx_cic.bsf b/FPGA_61.440/db/ip/rx_cic/rx_cic.bsf deleted file mode 100644 index 881511c..0000000 --- a/FPGA_61.440/db/ip/rx_cic/rx_cic.bsf +++ /dev/null @@ -1,140 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 256 272) - (text "rx_cic" (rect 110 -1 134 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 256 20 268)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "in_error[1..0]" (rect 0 0 50 12)(font "Arial" (font_size 8))) - (text "in_error[1..0]" (rect 4 61 88 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 80 72)(line_width 3)) - ) - (port - (pt 0 88) - (input) - (text "in_valid" (rect 0 0 29 12)(font "Arial" (font_size 8))) - (text "in_valid" (rect 4 77 52 88)(font "Arial" (font_size 8))) - (line (pt 0 88)(pt 80 88)(line_width 1)) - ) - (port - (pt 0 120) - (input) - (text "in_data[22..0]" (rect 0 0 53 12)(font "Arial" (font_size 8))) - (text "in_data[22..0]" (rect 4 109 88 120)(font "Arial" (font_size 8))) - (line (pt 0 120)(pt 80 120)(line_width 3)) - ) - (port - (pt 256 120) - (input) - (text "out_ready" (rect 0 0 41 12)(font "Arial" (font_size 8))) - (text "out_ready" (rect 204 109 258 120)(font "Arial" (font_size 8))) - (line (pt 256 120)(pt 176 120)(line_width 1)) - ) - (port - (pt 0 160) - (input) - (text "clken" (rect 0 0 20 12)(font "Arial" (font_size 8))) - (text "clken" (rect 4 149 34 160)(font "Arial" (font_size 8))) - (line (pt 0 160)(pt 80 160)(line_width 1)) - ) - (port - (pt 0 200) - (input) - (text "clk" (rect 0 0 10 12)(font "Arial" (font_size 8))) - (text "clk" (rect 4 189 22 200)(font "Arial" (font_size 8))) - (line (pt 0 200)(pt 80 200)(line_width 1)) - ) - (port - (pt 0 240) - (input) - (text "reset_n" (rect 0 0 30 12)(font "Arial" (font_size 8))) - (text "reset_n" (rect 4 229 46 240)(font "Arial" (font_size 8))) - (line (pt 0 240)(pt 80 240)(line_width 1)) - ) - (port - (pt 0 104) - (output) - (text "in_ready" (rect 0 0 35 12)(font "Arial" (font_size 8))) - (text "in_ready" (rect 4 93 52 104)(font "Arial" (font_size 8))) - (line (pt 0 104)(pt 80 104)(line_width 1)) - ) - (port - (pt 256 72) - (output) - (text "out_data[31..0]" (rect 0 0 57 12)(font "Arial" (font_size 8))) - (text "out_data[31..0]" (rect 183 61 273 72)(font "Arial" (font_size 8))) - (line (pt 256 72)(pt 176 72)(line_width 3)) - ) - (port - (pt 256 88) - (output) - (text "out_error[1..0]" (rect 0 0 56 12)(font "Arial" (font_size 8))) - (text "out_error[1..0]" (rect 186 77 276 88)(font "Arial" (font_size 8))) - (line (pt 256 88)(pt 176 88)(line_width 3)) - ) - (port - (pt 256 104) - (output) - (text "out_valid" (rect 0 0 35 12)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 264 104)(font "Arial" (font_size 8))) - (line (pt 256 104)(pt 176 104)(line_width 1)) - ) - (drawing - (text "av_st_in" (rect 31 43 110 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "error" (rect 85 67 200 144)(font "Arial" (color 0 0 0))) - (text "valid" (rect 85 83 200 176)(font "Arial" (color 0 0 0))) - (text "ready" (rect 85 99 200 208)(font "Arial" (color 0 0 0))) - (text "in_data" (rect 85 115 212 240)(font "Arial" (color 0 0 0))) - (text "av_st_out" (rect 177 43 408 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "out_data" (rect 136 67 320 144)(font "Arial" (color 0 0 0))) - (text "error" (rect 151 83 332 176)(font "Arial" (color 0 0 0))) - (text "valid" (rect 153 99 336 208)(font "Arial" (color 0 0 0))) - (text "ready" (rect 148 115 326 240)(font "Arial" (color 0 0 0))) - (text "clken" (rect 51 131 132 275)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clken" (rect 85 155 200 320)(font "Arial" (color 0 0 0))) - (text "clock" (rect 52 171 134 355)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clk" (rect 85 195 188 400)(font "Arial" (color 0 0 0))) - (text "reset" (rect 51 211 132 435)(font "Arial" (color 128 0 0)(font_size 9))) - (text "reset_n" (rect 85 235 212 480)(font "Arial" (color 0 0 0))) - (text " rx_cic " (rect 226 256 500 522)(font "Arial" )) - (line (pt 80 32)(pt 176 32)(line_width 1)) - (line (pt 176 32)(pt 176 256)(line_width 1)) - (line (pt 80 256)(pt 176 256)(line_width 1)) - (line (pt 80 32)(pt 80 256)(line_width 1)) - (line (pt 81 52)(pt 81 124)(line_width 1)) - (line (pt 82 52)(pt 82 124)(line_width 1)) - (line (pt 175 52)(pt 175 124)(line_width 1)) - (line (pt 174 52)(pt 174 124)(line_width 1)) - (line (pt 81 140)(pt 81 164)(line_width 1)) - (line (pt 82 140)(pt 82 164)(line_width 1)) - (line (pt 81 180)(pt 81 204)(line_width 1)) - (line (pt 82 180)(pt 82 204)(line_width 1)) - (line (pt 81 220)(pt 81 244)(line_width 1)) - (line (pt 82 220)(pt 82 244)(line_width 1)) - (line (pt 0 0)(pt 256 0)(line_width 1)) - (line (pt 256 0)(pt 256 272)(line_width 1)) - (line (pt 0 272)(pt 256 272)(line_width 1)) - (line (pt 0 0)(pt 0 272)(line_width 1)) - ) -) diff --git a/FPGA_61.440/db/ip/rx_cic/rx_cic.debuginfo b/FPGA_61.440/db/ip/rx_cic/rx_cic.debuginfo deleted file mode 100644 index df6e441..0000000 --- a/FPGA_61.440/db/ip/rx_cic/rx_cic.debuginfo +++ /dev/null @@ -1,903 +0,0 @@ - - - - - - - com.altera.sopcmodel.ensemble.EClockAdapter - HANDSHAKE - false - true - true - true - - - java.lang.String - EP4CE10E22C8 - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - - - java.lang.String - 8 - false - true - false - true - - - com.altera.sopcmodel.ensemble.Ensemble$EFabricMode - QSYS - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1617214524 - false - true - true - true - - - boolean - false - false - true - false - true - - - com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage - VERILOG - false - false - false - true - - - boolean - true - false - true - true - true - - - com.altera.sopcmodel.definition.BoundaryDefinition - - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - WOLF-LITE.qpf - false - true - false - true - - - boolean - false - false - true - false - true - - - long - 0 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - long - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - - - java.lang.String - NATIVE - false - true - false - true - DESIGN_ENVIRONMENT - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - decimator - false - true - true - true - - - int - 6 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 1280 - false - true - true - true - - - int - 8 - false - false - false - true - - - int - 21 - false - false - false - true - - - int - 1280 - true - true - false - true - - - int - 1280 - true - true - false - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 23 - false - true - true - true - - - boolean - true - false - true - true - true - - - java.lang.String - TRUNCATE - false - true - true - true - - - int - 32 - false - true - true - true - - - int - 32 - true - false - false - true - - - boolean - false - true - false - false - true - - - java.lang.String - auto - true - true - true - true - - - java.lang.String - logic_element - false - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - auto - true - true - true - true - - - java.lang.String - logic_element - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - true - false - true - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 85 - true - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clock - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - clken - Input - 1 - clken - - - - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - in_error - Input - 2 - error - - - in_valid - Input - 1 - valid - - - in_ready - Output - 1 - ready - - - in_data - Input - 23 - in_data - - - - - - ui.blockdiagram.direction - OUTPUT - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - out_data - Output - 32 - out_data - - - out_error - Output - 2 - error - - - out_valid - Output - 1 - valid - - - out_ready - Input - 1 - ready - - - - - 1 - altera_cic_ii - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - CIC - 18.1 - - - 1 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 18.1 - - - 1 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input - 18.1 - - - 3 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - 18.1 625 - 08626627EDAB00000178897F5422 - diff --git a/FPGA_61.440/db/ip/rx_cic/rx_cic.qip b/FPGA_61.440/db/ip/rx_cic/rx_cic.qip deleted file mode 100644 index 603001e..0000000 --- a/FPGA_61.440/db/ip/rx_cic/rx_cic.qip +++ /dev/null @@ -1,114 +0,0 @@ -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "rx_cic" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../../rx_cic.sopcinfo"] -set_global_assignment -entity "rx_cic" -library "rx_cic" -name SLD_INFO "QSYS_NAME rx_cic HAS_SOPCINFO 1 GENERATION_ID 1617214524" -set_global_assignment -library "rx_cic" -name SLD_FILE [file join $::quartus(qip_path) "rx_cic.debuginfo"] -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_QSYS_MODE "STANDALONE" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -library "rx_cic" -name MISC_FILE [file join $::quartus(qip_path) "../../../rx_cic.qsys"] -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_NAME "cnhfY2lj" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_DISPLAY_NAME "cnhfY2lj" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_REPORT_HIERARCHY "On" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYxNzIxNDUyNA==::QXV0byBHRU5FUkFUSU9OX0lE" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMEUyMkM4::QXV0byBERVZJQ0U=" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "rx_cic" -library "rx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_NAME "cnhfY2ljX2NpY19paV8w" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_DISPLAY_NAME "Q0lD" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIENhc2NhZGVkIEludGVncmF0b3IgQ29tYiBJSQ==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "ZGVzaWduX2Vudg==::TkFUSVZF::ZGVzaWduX2Vudg==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBJViBF::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "RklMVEVSX1RZUEU=::ZGVjaW1hdG9y::RmlsdGVyIHR5cGU=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "U1RBR0VT::Ng==::TnVtYmVyIG9mIHN0YWdlcw==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "RF9ERUxBWQ==::MQ==::RGlmZmVyZW50aWFsIGRlbGF5" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "VlJDX0VO::MA==::RW5hYmxlIHZhcmlhYmxlIHJhdGUgY2hhbmdlIGZhY3Rvcg==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "UkNGX0ZJWA==::MTI4MA==::UmF0ZSBjaGFuZ2UgZmFjdG9y" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "UkNGX01JTg==::MTI4MA==::UkNGX01JTg==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "UkNGX01BWA==::MTI4MA==::UkNGX01BWA==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SU5URVJGQUNFUw==::MQ==::TnVtYmVyIG9mIGludGVyZmFjZXM=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q0hfUEVSX0lOVA==::MQ==::TnVtYmVyIG9mIGNoYW5uZWxzIHBlciBpbnRlcmZhY2U=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SU5fV0lEVEg=::MjM=::SW5wdXQgZGF0YSB3aWR0aA==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q0xLX0VOX1BPUlQ=::dHJ1ZQ==::VXNlIGNsb2NrIGVuYWJsZSBwb3J0" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Uk9VTkRfVFlQRQ==::VFJVTkNBVEU=::T3V0cHV0IFJvdW5kaW5nIE1ldGhvZA==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "UkVRX09VVF9XSURUSA==::MzI=::T3V0cHV0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SU5UX01FTQ==::YXV0bw==::SW50ZWdyYXRvciBkYXRhIHN0b3JhZ2UgdHlwZQ==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "UkVRX0lOVF9NRU0=::bG9naWNfZWxlbWVudA==::SW50ZWdyYXRvciBkYXRhIHN0b3JhZ2UgdHlwZQ==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "RElGX1VTRV9NRU0=::ZmFsc2U=::TWFwIGRpZmZlcmVudGlhdG9yIGRhdGEgc3RvcmFnZSB0byBtZW1vcnk=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "RElGX01FTQ==::YXV0bw==::RGlmZmVyZW50aWF0b3IgZGF0YSBzdG9yYWdlIHR5cGU=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "UkVRX0RJRl9NRU0=::bG9naWNfZWxlbWVudA==::RGlmZmVyZW50aWF0b3IgZGF0YSBzdG9yYWdlIHR5cGU=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "UkVRX1BJUEVMSU5F::MA==::UGlwZWxpbmUgc3RhZ2VzIHBlciBpbnRlZ3JhdG9y" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8wX1dJRFRI::ODU=::Q19TVEFHRV8wX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8wX1dJRFRI::ODU=::SV9TVEFHRV8wX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8xX1dJRFRI::ODU=::Q19TVEFHRV8xX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8xX1dJRFRI::ODU=::SV9TVEFHRV8xX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8yX1dJRFRI::ODU=::Q19TVEFHRV8yX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8yX1dJRFRI::ODU=::SV9TVEFHRV8yX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8zX1dJRFRI::ODU=::Q19TVEFHRV8zX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8zX1dJRFRI::ODU=::SV9TVEFHRV8zX1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV80X1dJRFRI::ODU=::Q19TVEFHRV80X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV80X1dJRFRI::ODU=::SV9TVEFHRV80X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV81X1dJRFRI::ODU=::Q19TVEFHRV81X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV81X1dJRFRI::ODU=::SV9TVEFHRV81X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV82X1dJRFRI::ODU=::Q19TVEFHRV82X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV82X1dJRFRI::ODU=::SV9TVEFHRV82X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV83X1dJRFRI::ODU=::Q19TVEFHRV83X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV83X1dJRFRI::ODU=::SV9TVEFHRV83X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV84X1dJRFRI::ODU=::Q19TVEFHRV84X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV84X1dJRFRI::ODU=::SV9TVEFHRV84X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV85X1dJRFRI::ODU=::Q19TVEFHRV85X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV85X1dJRFRI::ODU=::SV9TVEFHRV85X1dJRFRI" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8xMF9XSURUSA==::ODU=::Q19TVEFHRV8xMF9XSURUSA==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8xMF9XSURUSA==::ODU=::SV9TVEFHRV8xMF9XSURUSA==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8xMV9XSURUSA==::ODU=::Q19TVEFHRV8xMV9XSURUSA==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8xMV9XSURUSA==::ODU=::SV9TVEFHRV8xMV9XSURUSA==" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "TUFYX0lfU1RBR0VfV0lEVEg=::ODU=::TUFYX0lfU1RBR0VfV0lEVEg=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "TUFYX0NfU1RBR0VfV0lEVEg=::ODU=::TUFYX0NfU1RBR0VfV0lEVEg=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0X3NlbGVjdA==::MA==::T3B0aW1pemUgZm9yIFN0cmF0aXggMTA=" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0::MA==::aHlwZXJfb3B0" - -set_global_assignment -library "rx_cic" -name VERILOG_FILE [file join $::quartus(qip_path) "rx_cic.v"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_math_pkg.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_text_pkg.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_lib_pkg.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_small_fifo.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_controller.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_sink.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_source.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_delay.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_fastaddsub.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_fastadd.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_pipelined_adder.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_roundsat.vhd"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_dsp_cic_common_pkg.sv"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_cic_lib_pkg.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_differentiator.vhd"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_downsample.sv"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_integrator.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_upsample.vhd"] -set_global_assignment -library "rx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_channel_buffer.vhd"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_variable_downsample.sv"] -set_global_assignment -library "rx_cic" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hyper_pipeline_interface.v"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/counter_module.sv"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_int_siso.sv"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_dec_siso.sv"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_int_simo.sv"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_dec_miso.sv"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_core.sv"] -set_global_assignment -library "rx_cic" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/alt_cic_core.ocp"] -set_global_assignment -library "rx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/rx_cic_cic_ii_0.sv"] - -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_TOOL_NAME "altera_cic_ii" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "rx_cic_cic_ii_0" -library "rx_cic" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/rx_cic/rx_cic.v b/FPGA_61.440/db/ip/rx_cic/rx_cic.v deleted file mode 100644 index 0751cff..0000000 --- a/FPGA_61.440/db/ip/rx_cic/rx_cic.v +++ /dev/null @@ -1,34 +0,0 @@ -// rx_cic.v - -// Generated using ACDS version 18.1 625 - -`timescale 1 ps / 1 ps -module rx_cic ( - input wire [1:0] in_error, // av_st_in.error - input wire in_valid, // .valid - output wire in_ready, // .ready - input wire [22:0] in_data, // .in_data - output wire [31:0] out_data, // av_st_out.out_data - output wire [1:0] out_error, // .error - output wire out_valid, // .valid - input wire out_ready, // .ready - input wire clken, // clken.clken - input wire clk, // clock.clk - input wire reset_n // reset.reset_n - ); - - rx_cic_cic_ii_0 cic_ii_0 ( - .clk (clk), // clock.clk - .reset_n (reset_n), // reset.reset_n - .clken (clken), // clken.clken - .in_error (in_error), // av_st_in.error - .in_valid (in_valid), // .valid - .in_ready (in_ready), // .ready - .in_data (in_data), // .in_data - .out_data (out_data), // av_st_out.out_data - .out_error (out_error), // .error - .out_valid (out_valid), // .valid - .out_ready (out_ready) // .ready - ); - -endmodule diff --git a/FPGA_61.440/db/ip/rx_cic/rx_cic__report.html b/FPGA_61.440/db/ip/rx_cic/rx_cic__report.html deleted file mode 100644 index 8aa8302..0000000 --- a/FPGA_61.440/db/ip/rx_cic/rx_cic__report.html +++ /dev/null @@ -1,349 +0,0 @@ - - - - - datasheet for rx_cic - - - - - - - - -
rx_cic -
-
-
- - - - - -
2021.03.31.22:15:25Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

cic_ii_0

altera_cic_ii v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
design_envNATIVE
selected_device_familyCYCLONEIVE
FILTER_TYPEdecimator
STAGES6
D_DELAY1
VRC_EN0
RCF_FIX1280
RCF_LB8
RCF_UB21
RCF_MIN1280
RCF_MAX1280
INTERFACES1
CH_PER_INT1
IN_WIDTH23
CLK_EN_PORTtrue
ROUND_TYPETRUNCATE
REQ_OUT_WIDTH32
OUT_WIDTH32
INT_USE_MEMfalse
INT_MEMauto
REQ_INT_MEMlogic_element
DIF_USE_MEMfalse
DIF_MEMauto
REQ_DIF_MEMlogic_element
REQ_PIPELINE0
PIPELINING0
C_STAGE_0_WIDTH85
I_STAGE_0_WIDTH85
C_STAGE_1_WIDTH85
I_STAGE_1_WIDTH85
C_STAGE_2_WIDTH85
I_STAGE_2_WIDTH85
C_STAGE_3_WIDTH85
I_STAGE_3_WIDTH85
C_STAGE_4_WIDTH85
I_STAGE_4_WIDTH85
C_STAGE_5_WIDTH85
I_STAGE_5_WIDTH85
C_STAGE_6_WIDTH85
I_STAGE_6_WIDTH85
C_STAGE_7_WIDTH85
I_STAGE_7_WIDTH85
C_STAGE_8_WIDTH85
I_STAGE_8_WIDTH85
C_STAGE_9_WIDTH85
I_STAGE_9_WIDTH85
C_STAGE_10_WIDTH85
I_STAGE_10_WIDTH85
C_STAGE_11_WIDTH85
I_STAGE_11_WIDTH85
MAX_I_STAGE_WIDTH85
MAX_C_STAGE_WIDTH85
hyper_opt_select0
hyper_opt0
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,04 seconds
- - diff --git a/FPGA_61.440/db/ip/rx_cic/rx_cic__report.xml b/FPGA_61.440/db/ip/rx_cic/rx_cic__report.xml deleted file mode 100644 index 79464b6..0000000 --- a/FPGA_61.440/db/ip/rx_cic/rx_cic__report.xml +++ /dev/null @@ -1,440 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:rx_cic "rx_cic" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - rx_cic" reuses altera_cic_ii "submodules/rx_cic_cic_ii_0"]]> - queue size: 0 starting:altera_cic_ii "submodules/rx_cic_cic_ii_0" - rx_cic" instantiated altera_cic_ii "cic_ii_0"]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:altera_cic_ii "submodules/rx_cic_cic_ii_0" - rx_cic" instantiated altera_cic_ii "cic_ii_0"]]> - - - diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_core.ocp b/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_core.ocp deleted file mode 100644 index b2306f5..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_core.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_core.sv b/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_core.sv deleted file mode 100644 index 438f509..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_core.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_dec_miso.sv b/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_dec_miso.sv deleted file mode 100644 index 517f8c5..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_dec_miso.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv b/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv deleted file mode 100644 index f827c02..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_int_simo.sv b/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_int_simo.sv deleted file mode 100644 index 96e6325..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_int_simo.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_int_siso.sv b/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_int_siso.sv deleted file mode 100644 index 28ea65c..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/alt_cic_int_siso.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv b/FPGA_61.440/db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv deleted file mode 100644 index 32de5f5..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd deleted file mode 100644 index eaaed3e..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd deleted file mode 100644 index 031dfca..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd deleted file mode 100644 index 00b6285..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd deleted file mode 100644 index 6749efc..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd deleted file mode 100644 index 1177239..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd deleted file mode 100644 index 7433b82..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_delay.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_delay.vhd deleted file mode 100644 index f8e1c1a..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_delay.vhd +++ /dev/null @@ -1,308 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_delay.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/fu/delay/rtl/auk_dspip_delay.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Volker Mauer --- --- Project : common FU library --- --- Description : --- --- This functional unit can be used to insert a delay of specified length. --- The output data at time T will be equivalent to the input data at time --- T-DELAY. The user can select to implement the delay using either LE's --- (registers) or Memory. The type of memory to use is selected by the --- user. --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -library altera_mf; -use altera_mf.altera_mf_components.all; -library work; -use work.auk_dspip_math_pkg.all; - - -entity auk_dspip_delay is - generic ( - WIDTH_g : natural := 8; -- data width - DELAY_g : natural := 8; - -- number of clock cycles the input - -- will be delayed by - MEMORY_TYPE_g : string := "AUTO"; - -- possible values are "m4k", "m512", - -- "register", "mram", "auto", - -- "lutram", "M9K", "M144K". - -- Any other string will be interpreted - -- as "auto" - REGISTER_FIRST_g : natural := 1; - -- if "1", the first delay is guaranteed - -- to be in registers - REGISTER_LAST_g : natural := 1); -- if "1", the last delay is guaranteed - -- to be in registers - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(WIDTH_g-1 downto 0); - dataout : out std_logic_vector(WIDTH_g-1 downto 0) - ); -end entity auk_dspip_delay; - - -architecture rtl of auk_dspip_delay is -begin -- architecture rtl - - - ------------------------------------------------------------------------------ - -- array of registers - ------------------------------------------------------------------------------ - register_fifo : if MEMORY_TYPE_g = "register" or MEMORY_TYPE_g = "REGISTER" or DELAY_g-REGISTER_FIRST_g-REGISTER_LAST_g < 3 generate - type tFIFO_DATA is array (0 to DELAY_g-1) of std_logic_vector(WIDTH_g-1 downto 0); - signal fifo_data : tFIFO_DATA; - begin -- generate register_fifo - - -- purpose: array of registers - -- type : sequential - -- inputs : clk, reset, data_in - -- outputs: data_out - array_of_reg : process (clk, reset) is - begin -- process array_of_reg - if reset = '1' then -- asynchronous reset (active high) - reset_all : for i in 0 to DELAY_g-1 loop - fifo_data(i) <= (others => '0'); - end loop; - elsif rising_edge(clk) then -- rising clock edge - - ------------------------------------------------------------------- - -- memory array - ------------------------------------------------------------------- - - if enable = '1' then - fifo_data(0) <= datain; - if DELAY_g > 1 then - shift_up : for i in 1 to DELAY_g-1 loop - fifo_data(i) <= fifo_data(i-1); - end loop; - end if; - end if; - - end if; - end process array_of_reg; - dataout <= fifo_data(DELAY_g-1); - - - end generate register_fifo; - - ----------------------------------------------------------------------------- - -- memory based delay using altshift_tap - ----------------------------------------------------------------------------- - memory_fifo : if DELAY_g-REGISTER_FIRST_g-REGISTER_LAST_g >= 3 and not(MEMORY_TYPE_g = "register" or MEMORY_TYPE_g = "REGISTER") generate -component altshift_taps - generic ( - lpm_hint : string; - lpm_type : string; - number_of_taps : natural; - tap_distance : natural; - width : natural - ); - port ( - taps : out std_logic_vector (WIDTH_g-1 downto 0); - clken : in std_logic; - clock : in std_logic; - aclr : in std_logic := '0'; - sclr : in std_logic := '0'; - shiftout : out std_logic_vector (WIDTH_g-1 downto 0); - shiftin : in std_logic_vector (WIDTH_g-1 downto 0) - ); - end component; - component scfifo - generic ( - add_ram_output_register : string; - intended_device_family : string; - lpm_numwords : natural; - lpm_showahead : string; - lpm_type : string; - lpm_width : natural; - lpm_widthu : natural; - overflow_checking : string; - underflow_checking : string; - use_eab : string - ); - port ( - rdreq : in std_logic; - clock : in std_logic; - q : out std_logic_vector (WIDTH_g-1 downto 0); - wrreq : in std_logic; - data : in std_logic_vector (WIDTH_g-1 downto 0) - ); - end component; - - constant mem_depth : natural := DELAY_g - REGISTER_FIRST_g - REGISTER_LAST_g; - constant lpm_hint : string := "RAM_BLOCK_TYPE = " & MEMORY_TYPE_g; - - - signal mem_in : std_logic_vector(WIDTH_g-1 downto 0); -- memory input - signal mem_out : std_logic_vector(WIDTH_g-1 downto 0); -- memory_output - signal count_after_reset : unsigned(log2_ceil(mem_depth) downto 0); - signal extended_reset : std_logic; - - begin -- generate memory_fifo - - - - input_stage : if REGISTER_FIRST_g = 1 and (REGISTER_LAST_g = 0 or DELAY_g > 1) generate - begin -- generate input_stage - -- purpose: registers first stage - -- type : sequential - -- inputs : clk, reset, data_in - -- outputs: mem_in - - reg_input : process (clk, reset) is - begin -- process reg_input - if reset = '1' then -- asynchronous reset (active high) - mem_in <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - mem_in <= datain; - end if; - end if; - end process reg_input; - end generate input_stage; - - bypass_input_stage : if ((REGISTER_FIRST_g = 0) or - ((REGISTER_FIRST_g = 1) and (REGISTER_LAST_g = 1) and (DELAY_g = 1))) generate - -- captures the case, where the total delay is 1, and input and output - -- register is requested. In this case, the output register is also the - -- input register. Bypassing input register, leaving only output register. - - begin -- generate bypass_input_stage - mem_in <= datain; - end generate bypass_input_stage; - - check_illegal_generic : if REGISTER_FIRST_g > 1 generate - begin -- generate check_illegal_generic - assert false report "Generic REGISTER_FIRST_g in FU : DELAY must be 0 or 1" severity failure; - end generate check_illegal_generic; - - check_illegal_generic_3 : if DELAY_g < 1 generate - begin -- generate check_illegal_generic - assert false report "Generic DELAY_g in FU : DELAY must be at least 1" severity failure; - end generate check_illegal_generic_3; - - --------------------------------------------------------------------------- - -- only instantiate memory if the length of the shift reg is greater than - -- 0. This is to capture the case where the length is 1, and input or - -- output registers have been used. - --------------------------------------------------------------------------- - memory_i : if mem_depth > 0 generate - begin -- generate memory_i - altshift_taps_component : altshift_taps - generic map ( - lpm_hint => lpm_hint, - lpm_type => "altshift_taps", - number_of_taps => 1, - tap_distance => mem_depth, - width => WIDTH_g - ) - port map ( - clken => enable, - clock => clk, - shiftin => mem_in, - taps => mem_out, - shiftout => open - ); - end generate memory_i; - - no_memory_i : if mem_depth < 1 generate - begin -- generate no_memory_i - mem_out <= mem_in; -- bypass memory - end generate no_memory_i; - - - - output_stage : if REGISTER_LAST_g = 1 generate - begin -- generate output_stage - -- purpose: registers first stage - -- type : sequential - -- inputs : clk, reset, data_in - -- outputs: mem_in - reg_output : process (clk, reset) is - begin -- process reg_output - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if extended_reset = '1' then - dataout <= (others => '0'); - else - dataout <= mem_out; - end if; - end if; - end if; - end process reg_output; - end generate output_stage; - - bypass_output_stage : if REGISTER_LAST_g = 0 generate - begin -- generate bypass_output_stage - dataout <= mem_out when extended_reset = '0' else - (others => '0'); - end generate bypass_output_stage; - - check_illegal_generic2 : if REGISTER_LAST_g > 1 generate - begin -- generate check_illegal_generic2 - assert false report "Generic REGISTER_LAST_g in FU : DELAY must be 0 or 1" severity failure; - end generate check_illegal_generic2; - - -- purpose: creates an extended reset, that is high for MEM_DEPTH_c clock cycles. - -- This signal is used to 0 out the first MEM_DEPTH values from the delay register. - -- type : sequential - -- inputs : clk, resenable - -- outputs: extended_reset - extend_reset : process (clk, reset) is - begin -- process extended_reset - if reset = '1' then -- asynchronous reset (active high) - extended_reset <= '1'; - count_after_reset <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if count_after_reset < mem_depth then - count_after_reset <= count_after_reset + 1; - extended_reset <= '1'; - else - extended_reset <= '0'; - end if; - end if; - end if; - end process extend_reset; - - end generate memory_fifo; - - -end architecture rtl; diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd deleted file mode 100644 index 3293d7a..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_downsample.sv b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_downsample.sv deleted file mode 100644 index bdc5d8e..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_downsample.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd deleted file mode 100644 index ec7fa43..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd +++ /dev/null @@ -1,88 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - - -entity auk_dspip_fastadd is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end entity auk_dspip_fastadd; - - -architecture beh of auk_dspip_fastadd is - - constant LOWWIDTH_c : natural := LABWIDTH_g * (1 + integer(INWIDTH_g/(LABWIDTH_g*2))); - constant asdf : natural := integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf2 : natural := 1+integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf3 : natural := LABWIDTH_g * (1+integer(INWIDTH_g/(LABWIDTH_g*2))); - - constant HIGHWIDTH_c : natural := INWIDTH_g-LOWWIDTH_c; - - - signal datain1_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain1_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal datain2_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain2_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal result_low : std_logic_vector(LOWWIDTH_c downto 0); - signal result_high_cin0 : std_logic_vector(HIGHWIDTH_c downto 0); - signal result_high_cin1 : std_logic_vector(HIGHWIDTH_c downto 0); - - attribute keep : boolean; - attribute keep of result_high_cin0 : signal is true; - attribute keep of result_high_cin1 : signal is true; - -begin -- architecture beh - - datain1_low <= datain1(LOWWIDTH_c-1 downto 0); - datain1_high <= datain1(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - datain2_low <= datain2(LOWWIDTH_c-1 downto 0); - datain2_high <= datain2(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - - adder_process : process (clk, reset) is - begin -- process adder_process - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if result_low(LOWWIDTH_c) = '1' then - dataout <= result_high_cin1 & result_low(LOWWIDTH_c-1 downto 0); - else - dataout <= result_high_cin0 & result_low(LOWWIDTH_c-1 downto 0); - end if; - end if; - end if; - end process adder_process; - - result_low <= std_logic_vector(unsigned('0' & datain1_low) + unsigned('0' & datain2_low)); - result_high_cin0 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high) + - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high)); - result_high_cin1 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high )+ - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high )+ - 1); - - -end architecture beh; diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd deleted file mode 100644 index 312e756..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd +++ /dev/null @@ -1,160 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: $ --- $Source: $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Volker Mauer --- --- Project : auk_dspip_fastaddsub --- --- Description : --- --- This functional unit can be used to perform an addition or subtraction --- in a single clock cycle faster than in a standard adder, by means of a --- carry select structure. --- --- This means that the adder is split into a lower part and an upper part. --- The upper part is implemented twice: Once with the carry_in fixed to '0' --- and once with the carry_in fixed to '1'. The carry_out of the lower part --- is used to control a mux selecting the two possible upper results. --- --- This structucture is useful where the width is so great that the standard --- adder would be slower than the DSP block, and a pipelined adder cannot be --- used, like in accumulators. --- --- There is a device dependency: The width of the lower part, where 1 LE per --- bit is required, should be greater than half the overall width, and --- ideally be selected to fit into labs of the target device. The upper --- half, where 3 LEs per bit are needed, should add the remaining bits. --- --- Given the width and the labwidth, the function will automatically calculate --- the width of lower and upper parts using the following formula: --- --- Wlow = Wlab * ceil(Win / (2*Wlab)) --- Whigh = Win-Wlow --- --- Example: If the adder is 48 bits in, the lab width is 10, we would --- get Wlow = 30, Whigh=18. --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - - -entity auk_dspip_fastaddsub is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - add_nsub : in std_logic; - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end entity auk_dspip_fastaddsub; - - -architecture beh of auk_dspip_fastaddsub is - - constant LOWWIDTH_c : natural := LABWIDTH_g * (1 + integer(INWIDTH_g/(LABWIDTH_g*2))); - constant asdf : natural := integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf2 : natural := 1+integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf3 : natural := LABWIDTH_g * (1+integer(INWIDTH_g/(LABWIDTH_g*2))); - - constant HIGHWIDTH_c : natural := INWIDTH_g-LOWWIDTH_c; - - - signal datain1_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain1_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal datain2_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain2_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal result_low : std_logic_vector(LOWWIDTH_c downto 0); - signal result_high_cin0 : std_logic_vector(HIGHWIDTH_c downto 0); - signal result_high_cin1 : std_logic_vector(HIGHWIDTH_c downto 0); - - ----------------------------------------------------------------------------- - -- Synthesis tools have got clever. Need to set "keep" attribute, or the - -- synthesis tool will recognise the structure as a standard adder, and - -- optimise it accordingly. - ----------------------------------------------------------------------------- - attribute keep : boolean; - attribute keep of result_high_cin0 : signal is true; - attribute keep of result_high_cin1 : signal is true; - -begin -- architecture beh - - ----------------------------------------------------------------------------- - -- split inputs into upper and lower halves - ----------------------------------------------------------------------------- - datain1_low <= datain1(LOWWIDTH_c-1 downto 0); - datain1_high <= datain1(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - datain2_low <= datain2(LOWWIDTH_c-1 downto 0); - datain2_high <= datain2(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - - ----------------------------------------------------------------------------- - -- perform separate additions/subtractions for upper and lower halves - ----------------------------------------------------------------------------- - result_low <= std_logic_vector(unsigned('0' & datain1_low) + unsigned('0' & datain2_low)) when add_nsub='1' else - std_logic_vector(unsigned('0' & datain1_low) - unsigned('0' & datain2_low)); - result_high_cin0 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high) + - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high)) when add_nsub='1' else - std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high) - - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high)); - result_high_cin1 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high )+ - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high )+ - 1) when add_nsub = '1' else - std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high )- - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high )- - 1); - - ----------------------------------------------------------------------------- - -- multiplex (select) the upper half, register selected upper half and lower - -- half. - ----------------------------------------------------------------------------- - adder_process : process (clk, reset) is - begin -- process adder_process - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if result_low(LOWWIDTH_c) = '1' then - dataout <= result_high_cin1 & result_low(LOWWIDTH_c-1 downto 0); - else - dataout <= result_high_cin0 & result_low(LOWWIDTH_c-1 downto 0); - end if; - end if; - end if; - end process adder_process; - - -end architecture beh; diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd deleted file mode 100644 index 801c66f..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd deleted file mode 100644 index 3ee6f10..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd +++ /dev/null @@ -1,630 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - ---This file is auto-generated by compile_dspip_lib.pl ---Date:06/07/2007 - ---Time:19:15 - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -library work; --- Alex, 02-10-07, this package declaration results in error at built time on a new machine --- -use work.auk_dspip_math_pkg.all; - -package auk_dspip_lib_pkg is ---Component names: ---auk_fifo ---auk_dspip_atlantic_sink ---auk_dspip_atlantic_source ---auk_dspip_interface_controller ---auk_dspip_avalon_streaming_controller ---auk_dspip_avalon_streaming_controller_pe ---auk_dspip_avalon_streaming_sink ---auk_dspip_avalon_streaming_source ---auk_dspip_delay ---auk_dspip_fastadd ---auk_dspip_fastaddsub ---auk_dspip_pipelined_adder ---auk_dspip_fast_accumulator ---auk_dspip_fifo_pfc ---auk_dspip_fpcompiler_alufp ---auk_dspip_fpcompiler_aslf ---auk_dspip_fpcompiler_asrf ---auk_dspip_fpcompiler_castftox ---auk_dspip_fpcompiler_castxtof ---auk_dspip_fpcompiler_clzf ---auk_dspip_fpcompiler_mulfp ---auk_dspip_pfc ---auk_dspip_roundsat - - -component auk_fifo is -generic ( - DATA_WIDTH : natural := 32; - SIZE : natural := 10; - ALMOST_EMPTY_BOUND : natural := 3; - ALMOST_FULL_BOUND : natural := 500 - ); -port ( - clk : in std_logic; - reset : in std_logic; - - read_req : in std_logic; - write_req : in std_logic; - - data_in : in std_logic_vector(DATA_WIDTH-1 DOWNTO 0); - data_out : out std_logic_vector(DATA_WIDTH-1 DOWNTO 0); - - almost_full : out std_logic; - almost_empty : out std_logic; - empty : out std_logic; - full : out std_logic - - ); - -end component auk_fifo; - -component auk_dspip_atlantic_sink is - - generic( - WIDTH : integer := 16; - PACKET_SIZE : natural := 4; - log2packet_size : integer := 2 - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data_available : out std_logic; --goes high when new data is available - data : out std_logic_vector(WIDTH-1 downto 0); - sink_ready_ctrl : in std_logic; --the controller will tell - --the interface whether - --new input can be accepted. - sink_stall : out std_logic; --needs to stall the design - --if no new data is coming - packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only. - --when any of these doesn't behave as - --expected, the error is flagged. - send_sop : out std_logic; -- transmit SOP signal to the design. - -- It only transmits the legal SOP. - send_eop : out std_logic; -- transmit EOP signal to the design. - -- It only transmits the legal EOP. - ----------------- ATLANTIC SIDE SIGNALS - at_sink_ready : out std_logic; --it will be '1' whenever the - --sink_ready_ctrl signal is high. - at_sink_valid : in std_logic; - at_sink_data : in std_logic_vector(WIDTH-1 downto 0); - at_sink_sop : in std_logic := '0'; - at_sink_eop : in std_logic := '0'; - at_sink_error : in std_logic_vector(1 downto 0) --it indicates to the data source - --that the SOP and EOP signals - --are not received as expected. - - ); - -end component auk_dspip_atlantic_sink; - -component auk_dspip_atlantic_source is - generic( - WIDTH : integer := 16; - packet_size : natural := 4; - LOG2packet_size : integer := 2; - multi_channel : BOOLEAN := TRUE - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data : in std_logic_vector (WIDTH-1 downto 0); - data_count : in std_logic_vector (LOG2packet_size-1 downto 0) := (others => '0'); - source_valid_ctrl : in std_logic; --the controller will tell - --the interface whether - --new input can be accepted. - source_stall : out std_logic; --needs to stall the design - --if no new data is coming - packet_error : in std_logic_vector (1 downto 0); - ----------------- ATLANTIC SIDE SIGNALS - at_source_ready : in std_logic; - at_source_valid : out std_logic; - at_source_data : out std_logic_vector (WIDTH-1 downto 0); - at_source_channel : out std_logic_vector (log2packet_size-1 downto 0); - at_source_error : out std_logic_vector (1 downto 0); - at_source_sop : out std_logic; - at_source_eop : out std_logic - ); - --- Declarations - -end component auk_dspip_atlantic_source; - - -component auk_dspip_interface_controller IS - PORT( - clk : in std_logic; - reset : IN std_logic; - ready : in std_logic; - sink_packet_error : IN std_logic_vector (1 DOWNTO 0); - sink_stall : IN std_logic; - source_stall : IN std_logic; - valid : IN std_logic; - reset_design : OUT std_logic; - reset_n : OUT std_logic; - sink_ready_ctrl : OUT std_logic; - source_packet_error : OUT std_logic_vector (1 DOWNTO 0); - source_valid_ctrl : OUT std_logic; - stall : OUT std_logic - ); - --- Declarations - -end component auk_dspip_interface_controller ; - - -component auk_dspip_avalon_streaming_controller is - port( - clk : in std_logic; - clk_en : in std_logic := '1'; - reset_n : in std_logic; - ready : in std_logic; - sink_packet_error : in std_logic_vector (1 downto 0); - sink_stall : in std_logic; - source_stall : in std_logic; - valid : in std_logic; - reset_design : out std_logic; - sink_ready_ctrl : out std_logic; - source_packet_error : out std_logic_vector (1 downto 0); - source_valid_ctrl : out std_logic; - stall : out std_logic - ); - --- Declarations - -end component auk_dspip_avalon_streaming_controller; - -component auk_dspip_avalon_streaming_controller_pe is - generic ( - FIFO_WIDTH_g : natural := 8; - ENABLE_PIPELINE_DEPTH_g : natural := 0; -- this value should match the depth of the enable pipeline in the core - FAMILY_g : string := "Stratix II"; - MEM_TYPE_g : string := "Auto" - ); - port( - clk : in std_logic; - clk_en : in std_logic := '1'; - reset_n : in std_logic; - ready : in std_logic; - sink_packet_error : in std_logic_vector (1 downto 0); - sink_stall : in std_logic; - source_stall : in std_logic; - valid : in std_logic; - reset_design : out std_logic; - sink_ready_ctrl : out std_logic; - source_packet_error : out std_logic_vector (1 downto 0); - source_valid_ctrl : out std_logic; - stall : out std_logic; - data_in : in std_logic_vector(FIFO_WIDTH_g-1 downto 0); - data_out : out std_logic_vector(FIFO_WIDTH_g-1 downto 0); - design_stall : out std_logic - ); - --- Declarations - -end component auk_dspip_avalon_streaming_controller_pe; - -component auk_dspip_avalon_streaming_sink is - - generic( - WIDTH_g : integer := 16; - PACKET_SIZE_g : natural := 4; - FIFO_DEPTH_g : natural := 5; --if PFC mode is selected, this generic - --is used for passing the poly_factor. - MIN_DATA_COUNT_g : natural := 2; - PFC_MODE_g : string := "false"; - SOP_EOP_CALC_g : string := "false"; -- calculate sop and eop rather than - -- reading value from fifo - FAMILY_g : string := "Stratix II"; - MEM_TYPE_g : string := "Auto" - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data : out std_logic_vector(WIDTH_g-1 downto 0); - sink_ready_ctrl : in std_logic; --the controller will tell - --the interface whether - --new input can be accepted. - sink_stall : out std_logic; --needs to stall the design - --if no new data is coming - packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only. - --when any of these doesn't behave as - --expected, the error is flagged. - send_sop : out std_logic; -- transmit SOP signal to the design. - -- It only transmits the legal SOP. - send_eop : out std_logic; -- transmit EOP signal to the design. - -- It only transmits the legal EOP. - ----------------- ATLANTIC SIDE SIGNALS - at_sink_ready : out std_logic; --it will be '1' whenever the - --sink_ready_ctrl signal is high. - at_sink_valid : in std_logic; - at_sink_data : in std_logic_vector(WIDTH_g-1 downto 0); - at_sink_sop : in std_logic := '0'; - at_sink_eop : in std_logic := '0'; - at_sink_error : in std_logic_vector(1 downto 0) := "00" --it indicates - --that there is an error in the packet. - - ); - -end component auk_dspip_avalon_streaming_sink; - -component auk_dspip_avalon_streaming_source is - generic( - WIDTH_g : integer := 16; - PACKET_SIZE_g : natural := 4; - HAVE_COUNTER_g : string := "false"; - COUNTER_LIMIT_g : natural := 4; - MULTI_CHANNEL_g : string := "true" - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data : in std_logic_vector (WIDTH_g-1 downto 0); - data_count : in std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0) := (others => '0'); - source_valid_ctrl : in std_logic; - design_stall : in std_logic; - source_stall : out std_logic; - packet_error : in std_logic_vector (1 downto 0); - ----------------- AVALON_STREAMING SIDE SIGNALS - at_source_ready : in std_logic; - at_source_valid : out std_logic; - at_source_data : out std_logic_vector (WIDTH_g-1 downto 0); - at_source_channel : out std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0); - at_source_error : out std_logic_vector (1 downto 0); - at_source_sop : out std_logic; - at_source_eop : out std_logic - ); - --- Declarations - -end component auk_dspip_avalon_streaming_source; - - -component auk_dspip_delay is - generic ( - WIDTH_g : natural := 8; -- data width - DELAY_g : natural := 8; - -- number of clock cycles the input - -- will be delayed by - MEMORY_TYPE_g : string := "AUTO"; - -- possible values are "m4k", "m512", - -- "register", "mram", "auto", - -- "lutram", "M9K", "M144K". - -- Any other string will be interpreted - -- as "auto" - REGISTER_FIRST_g : natural := 1; - -- if "1", the first delay is guaranteed - -- to be in registers - REGISTER_LAST_g : natural := 1); -- if "1", the last delay is guaranteed - -- to be in registers - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(WIDTH_g-1 downto 0); - dataout : out std_logic_vector(WIDTH_g-1 downto 0) - ); -end component auk_dspip_delay; - - -component auk_dspip_fastadd is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end component auk_dspip_fastadd; - - -component auk_dspip_fastaddsub is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - add_nsub : in std_logic; - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end component auk_dspip_fastaddsub; - - -component auk_dspip_pipelined_adder is - generic ( - INWIDTH_g : natural := 42; - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. - -- Alex : should I use 19 bits for Stratix III? - -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. - LABWIDTH_g : natural := 38); - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end component auk_dspip_pipelined_adder; - - -component auk_dspip_fast_accumulator is - generic ( - DATA_WIDTH_g : natural := 42; - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. - -- for Stratix III is 20 so labwidth should be set to 18. - -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. - LABWIDTH_g : natural := 38; - NUM_OF_CHANNELS_g : natural := 1; - ACCUM_OUT_WIDTH_g : natural := 48; - ACCUM_MEM_TYPE_g : string := "auto"); - port ( - reset : in std_logic; - clk : in std_logic; - enb : in std_logic; - add_to_zero : in std_logic; - datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); - datao : out std_logic_vector(ACCUM_OUT_WIDTH_g-1 downto 0)); -end component auk_dspip_fast_accumulator; - - -component auk_dspip_fifo_pfc is - generic ( - NUM_CHANNELS_g : integer := 5; - POLY_FACTOR_g : integer := 3; - DATA_WIDTH_g : integer := 16; - ALMOST_FULL_VALUE_g : integer := 2; - RAM_TYPE_g : string := "AUTO"; - CALCULATE_USED_WORDS_ONCE : boolean := true - ); - port ( - - datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); - datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0); - channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0); - used_w : out std_logic_vector(log2_ceil(POLY_FACTOR_g * NUM_CHANNELS_g)+1 downto 0); - - wrreq : in std_logic; - rdreq : in std_logic; - almost_full : out std_logic; - empty : out std_logic; - sclr : in std_logic; - clk : in std_logic; - reset : in std_logic; - enable : in std_logic - ); -end component auk_dspip_fifo_pfc; -component auk_dspip_fpcompiler_alufp is - port ( - sysclk : in std_logic; - reset : in std_logic; - enable : in std_logic; - addsub : in std_logic; - aa : in std_logic_vector (42 downto 1); - aasat, aazip : in std_logic; - bb : in std_logic_vector (42 downto 1); - bbsat, bbzip : in std_logic; - cc : out std_logic_vector (42 downto 1); - ccsat, cczip : out std_logic - ); -end component auk_dspip_fpcompiler_alufp; -component auk_dspip_fpcompiler_aslf is - port ( - inbus : in std_logic_vector (32 downto 1); - shift : in std_logic_vector (5 downto 1); - - outbus : out std_logic_vector (32 downto 1) - ); -end component auk_dspip_fpcompiler_aslf; -component auk_dspip_fpcompiler_asrf is - port ( - inbus : in std_logic_vector (32 downto 1); - shift : in std_logic_vector (5 downto 1); - - outbus : out std_logic_vector (32 downto 1) - ); -end component auk_dspip_fpcompiler_asrf; - -component auk_dspip_fpcompiler_castftox is - port ( - aa : in std_logic_vector (32 downto 1); - cc : out std_logic_vector (42 downto 1); - ccsat, cczip : out std_logic - ); -end component auk_dspip_fpcompiler_castftox; - -component auk_dspip_fpcompiler_castxtof is - port ( - sysclk : in std_logic; - reset : in std_logic; - enable : in std_logic; - aa : in std_logic_vector (42 downto 1); - aasat, aazip : in std_logic; - cc : out std_logic_vector (32 downto 1) - ); -end component auk_dspip_fpcompiler_castxtof; - -component auk_dspip_fpcompiler_clzf is - port ( - frac : in std_logic_vector (32 downto 1); - count : out std_logic_vector (5 downto 1) - ); -end component auk_dspip_fpcompiler_clzf; -component auk_dspip_fpcompiler_mulfp is - port ( - sysclk : in std_logic; - reset : in std_logic; - enable : in std_logic; - aa : in std_logic_vector (42 downto 1); - aasat, aazip : in std_logic; - bb : in std_logic_vector (42 downto 1); - bbsat, bbzip : in std_logic; - cc : out std_logic_vector (42 downto 1); - ccsat, cczip : out std_logic - ); -end component auk_dspip_fpcompiler_mulfp; - -component auk_dspip_pfc is - generic ( - NUM_CHANNELS_g : integer := 5; - POLY_FACTOR_g : integer := 3; - DATA_WIDTH_g : integer := 16; - RAM_TYPE_g : string := "AUTO" - ); - port ( - - datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); - datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0); - channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0); - - in_valid : in std_logic; - out_valid : out std_logic; - clk : in std_logic; - reset : in std_logic; - enable : in std_logic - ); -end component auk_dspip_pfc; - - -component auk_dspip_roundsat is - generic ( - IN_WIDTH_g : natural := 8; -- data width - OUT_WIDTH_g : natural := 8; -- data width - LATENCY : natural := 1; - ROUNDING_TYPE_g : string := "TRUNCATE_LOW" - ); - - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(IN_WIDTH_g-1 downto 0); - dataout : out std_logic_vector(OUT_WIDTH_g-1 downto 0)); -end component auk_dspip_roundsat; - -component auk_dspip_avalon_streaming_block_source is - generic ( - MAX_BLK_g : natural; - DATAWIDTH_g : natural; - HYPER_OPTIMIZATION : natural := 0); - port ( - clk : in std_logic; - reset : in std_logic; - in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - in_valid : in std_logic; - source_stall : out std_logic; - in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); - source_valid : out std_logic; - source_ready : in std_logic; - source_sop : out std_logic; - source_eop : out std_logic; - source_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0)); -end component auk_dspip_avalon_streaming_block_source; - -component auk_dspip_avalon_streaming_block_sink is - generic ( - MAX_BLK_g : natural; - STALL_g : natural; - DATAWIDTH_g : natural; - -- this generic is specific for the FFT. - NUM_STAGES_g : natural; - FFT_ARCH : string; - HYPER_OPTIMIZATION : natural := 0); - port ( - clk : in std_logic; - reset : in std_logic; - in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - in_sop : in std_logic; - in_eop : in std_logic; - in_inverse : in std_logic; - sink_valid : in std_logic; - sink_ready : out std_logic; - source_stall : in std_logic; - in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); - processing : in std_logic; - in_error : in std_logic_vector(1 downto 0); - out_error : out std_logic_vector(1 downto 0); - out_valid : out std_logic; - out_sop : out std_logic; - out_eop : out std_logic; - out_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0); - curr_blk : out std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - -- these are specific to the FFT, no effort has been made to optimize! - curr_pwr_2 : out std_logic; - curr_inverse : out std_logic; - curr_input_sel : out std_logic_vector(NUM_STAGES_g - 1 downto 0)); -end component auk_dspip_avalon_streaming_block_sink; - -component auk_dspip_avalon_streaming_block_sink_fftfprvs is - generic ( - MAX_BLK_g : natural; - STALL_g : natural; - DATAWIDTH_g : natural; - -- this generic is specific for the FFT. - NUM_STAGES_g : natural; - FFT_ARCH : string); - port ( - clk : in std_logic; - reset : in std_logic; - in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - in_sop : in std_logic; - in_eop : in std_logic; - in_inverse : in std_logic; - sink_valid : in std_logic; - sink_ready : out std_logic; - source_stall : in std_logic; - in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); - processing : in std_logic; - in_error : in std_logic_vector(1 downto 0); - out_error : out std_logic_vector(1 downto 0); - out_valid : out std_logic; - out_sop : out std_logic; - out_eop : out std_logic; - out_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0); - curr_blk : out std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - mlenfor : out std_logic_vector(log2_ceil(4**NUM_STAGES_g) downto 0); - mlentwo : out std_logic_vector(log2_ceil(4**NUM_STAGES_g) downto 0); - -- these are specific to the FFT, no effort has been made to optimize! - curr_pwr_2 : out std_logic; - curr_inverse : out std_logic; - curr_input_sel : out std_logic_vector(NUM_STAGES_g - 1 downto 0)); -end component auk_dspip_avalon_streaming_block_sink_fftfprvs; - - -end package auk_dspip_lib_pkg; diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd deleted file mode 100644 index 305288c..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd +++ /dev/null @@ -1,367 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_math_pkg.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/packages/auk_dspip_math_pkg.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : DSP_IP --- --- Project : --- --- Description : --- --- Common functions for DSP_IP cores. --- --- --- $Log: auk_dspip_math_pkg.vhd,v $ --- Revision 1.4 2006/07/28 18:52:50 sdemirso --- no compilation errors with the new directory structure --- --- Revision 1.3 2006/07/28 10:27:30 sdemirso --- Header updated --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; -use ieee.numeric_std.all; -PACKAGE auk_dspip_math_pkg IS - - - ----------------------------------------------------------------------------- - -- NOTE that these log functions are not intended to synthesize directly - -- into hardware, rather they are used to generate constants for - -- synthesized hardware. - ----------------------------------------------------------------------------- - --------------------------------------------------------------------------- - -- LOG2_CEIL Function. - -- Effectively performs log2() followed by ceil() - -- e.g. CEIL_LOG2(255) returns 8 - -- CEIL_LOG2(256) returns 8 - -- CEIL_LOG2(257) returns 9 - --------------------------------------------------------------------------- - function log2_ceil(arg : in integer) return integer; - function log2_ceil_one(arg : in integer) return integer; -- log2_ceil(1)=0 - --------------------------------------------------------------------------- - -- LOG2_FLOOR Function. - -- Effectively performs log2() followed by floor() - -- e.g. CEIL_LOG2(255) returns 7 - -- CEIL_LOG2(256) returns 8 - -- CEIL_LOG2(257) returns 8 - --------------------------------------------------------------------------- - function log2_floor(arg : in integer) return integer; - - ----------------------------------------------------------------------------- - -- SIGN functions - ----------------------------------------------------------------------------- - -- returns the sign bit of a vector - function sign (arg : in signed) return std_logic; - - -- sign extends ARG to size SIZE. - function sign_extend (arg : in signed; size : in positive) return signed; - - -- sign extend one bit - function xt1 (arg : in signed) return signed; - - --------------------------------------------------------------------------- - -- Arithmetic FUNCTIONs. - --------------------------------------------------------------------------- - -- Check integer for odd-ness - function is_odd(arg : integer) return boolean; - - ----------------------------------------------------------------------------- - -- Logical functions - ----------------------------------------------------------------------------- - -- Result of and'ing all of the bits of the vector. - function and_reduce(arg : std_logic_vector) return std_logic; - function and_reduce(arg : unsigned) return std_logic; - - -- Result of or'ing all of the bits of the vector. - function or_reduce(arg : std_logic_vector) return std_logic; - function or_reduce(arg : unsigned) return std_logic; - - -- returns index+1 of the highest asserted bit. - function highest_one(arg : unsigned) return natural; - - -- returns index+1 of the lowest asserted bit. - function lowest_one(arg : unsigned) return natural; - - -- returns the count of number of ones. - function count_ones(arg : unsigned) return natural; - - -- Bit reverse - function bit_reverse(arg : unsigned) return unsigned; - - -- Invert the argument bitwise - function invert(arg : unsigned) return unsigned; - - --Halve towards up - function halve_ceil(arg:natural) return natural; - - function div_ceil(a:natural;b:natural) return natural; - -END PACKAGE auk_dspip_math_pkg; - -package body auk_dspip_math_pkg is - --------------------------------------------------------------------------- - -- LOG2_CEIL Function. - --------------------------------------------------------------------------- - function log2_ceil(arg : in integer) return integer is - variable res : integer; - begin - res := 0; - for i in 0 to 30 loop - if (arg > (2**i)) then - res := i+1; - end if; - end loop; -- i - return res; - end log2_ceil; - --------------------------------------------------------------------------- - -- LOG2_CEIL_ONE Function. - --------------------------------------------------------------------------- - function log2_ceil_one(arg : in integer) return integer is - variable res : integer; - begin - res := 0; - for i in 0 to 30 loop - if (arg > (2**i)) then - res := i+1; - end if; - end loop; -- i - if res = 0 then - res := 1; - end if; - return res; - end log2_ceil_one; - - --------------------------------------------------------------------------- - -- LOG2_FLOOR Function. - ----------------------------------------------------------------------------- - function log2_floor(arg : in integer) return integer is - variable res : integer; - begin - res := 0; - for i in 0 to 30 loop - if (arg >= (2**i)) then - res := i; - end if; - end loop; -- i - return res; - end log2_floor; - - ----------------------------------------------------------------------------- - -- SIGN Function - ----------------------------------------------------------------------------- - function sign (arg : in signed) return std_logic is - variable res : std_logic; - begin - res := arg(arg'left); - return(res); - end sign; - - ----------------------------------------------------------------------------- - -- SIGN_EXTEND Function - ----------------------------------------------------------------------------- - function sign_extend (arg : in signed; size : in positive) return signed is - variable res : signed(size-1 downto 0); - begin - if arg'length > size then - assert arg'length < size report "WARNING, can't sign extend" severity warning; - end if; - for i in arg'length to size-1 loop - res(i) := arg(arg'left); - end loop; -- i - res(arg'length-1 downto 0) := arg; - return(res); - end sign_extend; - - ----------------------------------------------------------------------------- - -- XT1 Function - ----------------------------------------------------------------------------- - function xt1 (arg : in signed) return signed is - variable res : signed(arg'length downto 0); - begin - res := arg(arg'left) & arg; - return(res); - end xt1; - - ----------------------------------------------------------------------------- - -- IS_ODD Function - ----------------------------------------------------------------------------- - function is_odd(arg : integer) return boolean is - begin - return ((arg mod 2) = 1); - end is_odd; - - - ----------------------------------------------------------------------------- - -- AND_REDUCE Function - ----------------------------------------------------------------------------- - function and_reduce(arg : std_logic_vector) return std_logic is - variable res : std_logic; - begin - res := '1'; - for i in arg'range loop - res := res and arg(i); - end loop; - return res; - end; - - function and_reduce(arg : unsigned) return std_logic is - variable res : std_logic; - begin - res := '1'; - for i in arg'range loop - res := res and arg(i); - end loop; - return res; - end; - - - ----------------------------------------------------------------------------- - -- OR_REDUCE Function - ----------------------------------------------------------------------------- - function or_reduce(arg : unsigned) return std_logic is - variable res : std_logic; - begin - res := '0'; - for i in arg'range loop - res := res or arg(i); - end loop; - return res; - end; - - function or_reduce(arg : std_logic_vector) return std_logic is - variable res : std_logic; - begin - res := '0'; - for i in arg'range loop - res := res or arg(i); - end loop; - return res; - end; - - --------------------------------------------------------------------------- - -- HIGHEST_ONE Function. - --------------------------------------------------------------------------- - -- Returns index+1 of the highest asserted bit, or 0 if no bit set. - -- Vector is evaluated from left to right, not high to low! - function highest_one(arg : unsigned) return natural is - begin - for i in arg'range loop - if arg(i) = '1' then - return i+1; - end if; - end loop; - return 0; - end; - - ----------------------------------------------------------------------------- - -- LOWEST_ONE Function - ----------------------------------------------------------------------------- - -- Returns index+1 of the lowest asserted bit, or 0 if no bit set. - -- Vector is evaluated from left to right, not high to low! - function lowest_one(arg : unsigned) return natural is - begin - for i in 0 to arg'length-1 loop - if (arg(i) = '1') then - return(i+1); - end if; - end loop; - return(0); - end; - - ----------------------------------------------------------------------------- - -- COUNT_ONES - --------------------------------------------------------------------------- - -- Returns the count of the number of ones. - function count_ones(arg : unsigned) return natural is - variable count : integer; - begin - count := 0; - for i in 0 to arg'length-1 loop - if (arg(i) = '1') then - count := count + 1; - end if; - end loop; - return count; - end; - - ----------------------------------------------------------------------------- - -- BIT_REVERSE function - ----------------------------------------------------------------------------- - function bit_reverse(arg : unsigned) return unsigned is - variable res : unsigned(arg'range); - begin - for i in arg'range loop - res(i) := arg(arg'high - i); - end loop; - return(res); - end; - - ----------------------------------------------------------------------------- - -- INVERT Function - ----------------------------------------------------------------------------- - function invert(arg : unsigned) - return unsigned -- (word'high downto 0) - is - variable res : unsigned(arg'high downto 0); - begin - - for i in arg'range loop - res(i) := not arg(i); - end loop; - return (res); - end invert; - - ----------------------------------------------------------------------------- - -- HALVE_CEIL Function - ----------------------------------------------------------------------------- - function halve_ceil(arg : natural) return natural is - variable res : natural; - begin - if is_odd(arg) then - res := (arg+1)/2; - else - res := arg/2; - end if; - return (res); - end halve_ceil; - -------------------------------------------------------------------------------- --- DIV_CEIL function -------------------------------------------------------------------------------- - function div_ceil(a : natural; b : natural) return natural is - variable res : natural := a/b; - begin - if res*b /= a then - res := res +1; - end if; - return res; - end div_ceil; - -end package body auk_dspip_math_pkg; diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd deleted file mode 100644 index 54149f3..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd +++ /dev/null @@ -1,309 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_fast_accumulator.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/fu/fast_accum/rtl/auk_dspip_fast_accumulator.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Alex Diaz-Manero --- --- Project : FIR --- --- Description : --- --- Fast pipelined Accumulator for fast filters --- --- --- $Log: auk_dspip_fast_accumulator.vhd,v $ --- Revision 1.2 2007/10/09 19:08:16 admanero --- slight mod to avoid propagation of X through Sum at MSB bits --- --- Revision 1.1 2007/09/24 16:59:34 admanero --- first revision of fast (pipelined) accumulator. --- --- --- ALTERA Confidential and Proprietary --- Copyright 2007 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -library work; -use work.auk_dspip_math_pkg.all; ---use auk_dspip_lib.auk_dspip_lib_pkg.all; - - -entity auk_dspip_pipelined_adder is - generic ( - -- This adder assumes that both operands datain1 and datain2 are of the same data width - -- if that is not the case and the shorter word is (sign) expanded to the size of the bigger then - -- a penalty is incurred in the pipelined implementation. - -- But for the time being I only need the same size :-) So don't make your life too difficult - INWIDTH_g : natural := 42; - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. - -- Alex : should I use 19 bits for Stratix III? - -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. - -- Shall I have family generic to set labwidth? - LABWIDTH_g : natural := 38); - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end auk_dspip_pipelined_adder; - - -architecture rtl of auk_dspip_pipelined_adder is - - type NATURAL_ARRAY is array(NATURAL RANGE <>) of NATURAL; - - -- IN_OR_OUT is 0 for IN and 1 for ADD (OUT) - function calc_number_of_stages(FULL_WIDTH, LABWIDTH, IN_OR_OUT : natural) return natural is - variable tmp : natural; - variable result : natural; - begin - tmp := FULL_WIDTH; - result := 0; - while tmp > LABWIDTH+IN_OR_OUT loop - tmp := tmp - LABWIDTH; - result := result + 1; - end loop; - - return result; - end calc_number_of_stages; - - - function calc_width_of_stages(FULL_WIDTH, LABWIDTH, IN_OR_OUT : natural) return natural_array is - Constant STAGES_c : natural := calc_number_of_stages(FULL_WIDTH, LABWIDTH, IN_OR_OUT); - variable tmp : natural; - variable result : natural_array(stages_c downto 0); - begin - tmp := FULL_WIDTH; - for I in 0 to STAGES_c loop - if I=STAGES_c then - result(I) := tmp; - else - result(I) := LABWIDTH; - tmp := tmp - LABWIDTH; - end if; - end loop; - return result; - - end calc_width_of_stages; - --- This stages_c will be either 0, 1 or 2. Rarely it will be 3. --- 39 "divided" by 19 still should yield just 1 (2 stages). but for 40 it should 2 (3 stages) --- So, it should be a function not a just a division. --- For an adder, for simplicity, shouldn't I have the same stages for input and output? Well, leave it like this anyways - Constant STAGES_ADD_c : natural := calc_number_of_stages(INWIDTH_g+1, LABWIDTH_g, 1); --ACCUM_OUT_WIDTH_g / LABWIDTH_g; - Constant WIDTH_STAGES_ADD_c : natural_array(STAGES_ADD_c downto 0) := calc_width_of_stages(INWIDTH_g+1, LABWIDTH_g, 1); - Constant STAGES_IN_c : natural := calc_number_of_stages(INWIDTH_g, LABWIDTH_g, 0); - Constant WIDTH_STAGES_IN_c : natural_array(STAGES_IN_c downto 0) := calc_width_of_stages(INWIDTH_g, LABWIDTH_g, 0); - - type TRIANGLE_DELAY_t is array (natural range<>, natural range<>) of std_logic_vector(LABWIDTH_g-1 downto 0); - type TRIANGLE_DELAY_P1_t is array (natural range<>, natural range<>) of std_logic_vector(LABWIDTH_g downto 0); - type COLUMN_VECTOR_t is array (natural range<>) of std_logic_vector(LABWIDTH_g downto 0); - - signal input1_side_data_scaled_delay_q : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal input1_side_data_scaled_delay_d : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal input2_side_data_scaled_delay_q : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal input2_side_data_scaled_delay_d : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal output_side_data_scaled_delay_q : TRIANGLE_DELAY_P1_t(STAGES_ADD_c downto 0, STAGES_ADD_c downto 0); - signal output_side_data_scaled_delay_d : TRIANGLE_DELAY_P1_t(STAGES_ADD_c downto 0, STAGES_ADD_c downto 0); - signal datai1_int : COLUMN_VECTOR_t(STAGES_ADD_c downto 0); - signal datai2_int : COLUMN_VECTOR_t(STAGES_ADD_c downto 0); - signal datao_int : COLUMN_VECTOR_t(STAGES_ADD_c downto 0); - signal cout_cin_d : std_logic_vector(STAGES_ADD_c+1 downto 0); - signal cout_cin_q : std_logic_vector(STAGES_ADD_c+1 downto 0); - signal enb : std_logic; - - -begin - - enb <= enable; - - ifg4: if STAGES_ADD_c>0 generate - reg2 : process(clk, reset) is - begin - if reset = '1' then - cout_cin_q(STAGES_ADD_c+1 downto 1) <= (others => '0'); - elsif rising_edge(clk) then - if enb = '1' then - cout_cin_q(STAGES_ADD_c+1 downto 1) <= cout_cin_d(STAGES_ADD_c+1 downto 1); - end if; - end if; - end process reg2; - end generate ifg4; - - cout_cin_q(0) <= '0'; - - fg1: for J in 0 to STAGES_IN_c generate - - fg1c: for I in 0 to STAGES_IN_c generate - ifg6b: if I <= 1 generate - input1_side_data_scaled_delay_d(I, J)(WIDTH_STAGES_IN_c(J)-1 downto 0) <= datain1(WIDTH_STAGES_IN_c(J)-1 + J*LABWIDTH_g downto J*LABWIDTH_g); - input2_side_data_scaled_delay_d(I, J)(WIDTH_STAGES_IN_c(J)-1 downto 0) <= datain2(WIDTH_STAGES_IN_c(J)-1 + J*LABWIDTH_g downto J*LABWIDTH_g); - end generate ifg6b; - ifg7b: if I > 1 generate - input1_side_data_scaled_delay_d(I, J)(LABWIDTH_g-1 downto 0) <= input1_side_data_scaled_delay_q(I-1, J)(LABWIDTH_g-1 downto 0); - input2_side_data_scaled_delay_d(I, J)(LABWIDTH_g-1 downto 0) <= input2_side_data_scaled_delay_q(I-1, J)(LABWIDTH_g-1 downto 0); - end generate ifg7b; - end generate fg1c; - - end generate fg1; - - reg : process(clk, reset) is - begin - if reset = '1' then - loop1: for I in 1 to STAGES_IN_c loop - loop2: for J in I to STAGES_IN_c loop - input1_side_data_scaled_delay_q(I, J) <= (others => '0'); - input2_side_data_scaled_delay_q(I, J) <= (others => '0'); - end loop loop2; - end loop loop1; - elsif rising_edge(clk) then - if enb = '1' then - loop3: for I in 1 to STAGES_IN_c loop - loop4: for J in I to STAGES_IN_c loop - input1_side_data_scaled_delay_q(I, J) <= input1_side_data_scaled_delay_d(I, J); - input2_side_data_scaled_delay_q(I, J) <= input2_side_data_scaled_delay_d(I, J); - end loop loop4; - end loop loop3; - end if; - end if; - end process reg; - - adding: process(cout_cin_q, datai1_int, datai2_int) - variable tmp_debug_s : signed(LABWIDTH_g downto 0); - variable tmp_debug_u : unsigned(LABWIDTH_g downto 0); - begin - --Only the upper most chunk sum is signed, the other chunks ought to be unsigned - for I in 0 to STAGES_ADD_c loop - if I=STAGES_ADD_c then - if cout_cin_q(I)='1' then - --datao_int(I) <= signed(datai_int(I)) + signed(datas_int(I)) + natural(1); - tmp_debug_s(WIDTH_STAGES_ADD_c(I)-1 downto 0) := signed(datai1_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + signed(datai2_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + natural(1); - else - --datao_int(I) <= signed(datai_int(I)) + signed(datas_int(I)) + natural(0); - tmp_debug_s(WIDTH_STAGES_ADD_c(I)-1 downto 0) := signed(datai1_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + signed(datai2_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + natural(0); - end if; - - datao_int(I) <= std_logic_vector(tmp_debug_s); - cout_cin_d(I+1) <= tmp_debug_s(LABWIDTH_g); - else - if cout_cin_q(I)='1' then - --datao_int(I) <= unsigned(datai_int(I)) + unsigned(datas_int(I)) + natural(1); - tmp_debug_u := unsigned(datai1_int(I)) + unsigned(datai2_int(I)) + natural(1); - else - --datao_int(I) <= unsigned(datai_int(I)) + unsigned(datas_int(I)) + natural(0); - tmp_debug_u := unsigned(datai1_int(I)) + unsigned(datai2_int(I)) + natural(0); - end if; - datao_int(I) <= std_logic_vector(tmp_debug_u); - cout_cin_d(I+1) <= tmp_debug_u(LABWIDTH_g); - end if; - end loop; - end process adding; - - - --Always STAGES_ADD_c >= STAGES_IN_c - --when it is greater it needs special handling - fg1b: for I in 0 to STAGES_ADD_c generate - - --I need to split STAGES_ADD_c from STAGES_IN_c - fg2: for J in 0 to I generate - ifg1a: if J=0 and I=0 generate - --In reality the chunks below the MSB are unsigned. Only the upper most (MSB) chunk is signed - -- - datai1_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input1_side_data_scaled_delay_d(0, 0)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - datai2_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input2_side_data_scaled_delay_d(0, 0)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - end generate ifg1a; - ifg1: if J=I and I0 and J>0 generate - --In reality the chunks below the MSB are unsigned. Only the upper most (MSB) chunk is signed - datai1_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input1_side_data_scaled_delay_q(I, J)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - datai2_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input2_side_data_scaled_delay_q(I, J)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - end generate ifg1; - -------- - --Could it be possible to have STAGES_ADD_c > STAGES_IN_c ? yes, it could => sign extension stages needed herefor datai_int - ifg2a: if J=I and I>=STAGES_IN_c and I STAGES_IN_c) - datai1_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input1_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - datai2_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input2_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - --this last chunk doesn't need sign extension, it would need it if we wanted carry out (i.e. for overflow) - end generate ifg2a; - -------- - -- Only the MSB chunk needs to be signed. Question: Is there a need for a pipelined accumulator with unsigned data? - ifg2: if J=I and I=STAGES_ADD_c generate - datai1_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input1_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - datai2_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input2_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - --this last chunk doesn't need sign extension, it would need it if we wanted carry out (i.e. for overflow) - end generate ifg2; - end generate fg2; - - - -- output connection, MSB chunk from _d the remainder from _q - ifg3: if I=STAGES_ADD_c generate - dataout(WIDTH_STAGES_ADD_c(I)-1+LABWIDTH_g*I downto LABWIDTH_g*I) <= output_side_data_scaled_delay_d(STAGES_ADD_c-I, I)(WIDTH_STAGES_ADD_c(I)-1 downto 0); - end generate ifg3; - ifg4: if I 1 generate - output_side_data_scaled_delay_d(I, J)(LABWIDTH_g-1 downto 0) <= output_side_data_scaled_delay_q(I-1, J)(LABWIDTH_g-1 downto 0); - end generate ifg7; - end generate fg3b; - - end generate fg3a; - -- - reg_o : process(clk, reset) is - begin - if reset = '1' then - loop1: for I in 1 to STAGES_ADD_c loop - loop2: for J in 0 to STAGES_ADD_c-I loop - output_side_data_scaled_delay_q(I, J) <= (others => '0'); - end loop loop2; - end loop loop1; - elsif rising_edge(clk) then - if enb = '1' then - loop3: for I in 1 to STAGES_ADD_c loop - loop4: for J in 0 to STAGES_ADD_c-I loop - output_side_data_scaled_delay_q(I, J) <= output_side_data_scaled_delay_d(I, J); - end loop loop4; - end loop loop3; - end if; - end if; - end process reg_o; - -end rtl; - diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd deleted file mode 100644 index 70665a2..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd +++ /dev/null @@ -1,438 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_roundsat.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/fu/roundsat/rtl/auk_dspip_roundsat.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Volker Mauer --- --- Project : common FU library --- --- Description : --- --- This functional unit can be used to implement various forms of --- rounding, saturation and truncation. --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - - -entity auk_dspip_roundsat is - generic ( - IN_WIDTH_g : natural := 8; -- data width - OUT_WIDTH_g : natural := 8; -- data width - ROUNDING_TYPE_g : string := "TRUNCATE_LOW"; - LATENCY : natural := 1 - ); - - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(IN_WIDTH_g-1 downto 0); - dataout : out std_logic_vector(OUT_WIDTH_g-1 downto 0)); -end entity auk_dspip_roundsat; - -architecture beh of auk_dspip_roundsat is - -begin -- architecture beh - - ----------------------------------------------------------------------------- - -- truncate low - ----------------------------------------------------------------------------- - trunc_low: if ROUNDING_TYPE_g = "TRUNCATE_LOW" generate - begin -- generate trunc_low - trunc_low_p: process (clk) is - begin -- process trunc_low_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end process trunc_low_p; - end generate trunc_low; - - ----------------------------------------------------------------------------- - -- truncate high - ----------------------------------------------------------------------------- - trunc_high: if ROUNDING_TYPE_g = "TRUNCATE_HIGH" generate - begin -- generate trunc_high - trunc_high_p: process (clk) is - begin -- process trunc_high_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - dataout <= datain(OUT_WIDTH_g-1 downto 0); - end if; - end if; - end if; - end process trunc_high_p; - end generate trunc_high; - - ----------------------------------------------------------------------------- - -- saturation - ----------------------------------------------------------------------------- - sat : if ROUNDING_TYPE_g = "SATURATE" generate - begin -- generate sat - sat_p : process (clk) is - begin -- process sat_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- synchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if signed(datain) > 2**(OUT_WIDTH_g-1)-1 then - dataout <= std_logic_vector(to_signed(2**(OUT_WIDTH_g-1)-1, OUT_WIDTH_g)); - elsif signed(datain) < -2**(OUT_WIDTH_g-1) then - dataout <= std_logic_vector(to_signed(-2**(OUT_WIDTH_g-1), OUT_WIDTH_g)); - else - dataout <= datain(OUT_WIDTH_g-1 downto 0); - end if; - end if; - end if; - end if; - end process sat_p; - end generate sat; - - ----------------------------------------------------------------------------- - -- symmetrical saturation - ----------------------------------------------------------------------------- - satsym : if ROUNDING_TYPE_g = "SATURATE_SYM" generate - begin -- generate satsym - satsym_p : process (clk) is - begin -- process satsym_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if signed(datain) > 2**(OUT_WIDTH_g-1)-1 then - dataout <= std_logic_vector(to_signed(2**(OUT_WIDTH_g-1)-1, OUT_WIDTH_g)); - elsif signed(datain) < -2**(OUT_WIDTH_g-1)+1 then - dataout <= std_logic_vector(to_signed(-2**(OUT_WIDTH_g-1)+1, OUT_WIDTH_g)); - else - dataout <= datain(OUT_WIDTH_g-1 downto 0); - end if; - end if; - end if; - end if; - end process satsym_p; - end generate satsym; - - ----------------------------------------------------------------------------- - -- simple rounding (always rounds up) - ----------------------------------------------------------------------------- - round : if ROUNDING_TYPE_g = "ROUND_UP" generate - - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - - begin -- generate round - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - - round_p : process (clk) is - begin -- process round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if RB = '1' then - dataout <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process round_p; - end generate round; - - ----------------------------------------------------------------------------- - -- round towards 0 - ----------------------------------------------------------------------------- - round0 : if ROUNDING_TYPE_g = "ROUND0" generate - signal SB : std_logic; -- sign bit - begin -- generate round0 - - SB <= datain(IN_WIDTH_g-1); - - round0_p : process (clk) is - variable OR_accu : std_logic := '0'; - begin -- process round0_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - OR_accu := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-1 loop - OR_accu := OR_accu or datain(i); - end loop; -- i - if SB = '1' and OR_accu = '1' then - dataout <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process round0_p; - end generate round0; - - ----------------------------------------------------------------------------- - -- round away from 0 - ----------------------------------------------------------------------------- - round_up_sym : if ROUNDING_TYPE_g = "ROUND_UP_SYM" generate - signal SB : std_logic; -- sign bit - signal SB_delayed : std_logic; -- sign bit, delayed - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - signal dataout_temp : std_logic_vector(OUT_WIDTH_g-1 downto 0); - -- internal, readable version of dataoout - - begin -- generate round_up_sym - - SB <= datain(IN_WIDTH_g-1); - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - - round_up_sym_p : process (clk) is - variable OR_accu : std_logic := '0'; - begin -- process round_up_sym_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout_temp <= (others => '0'); - SB_delayed <= '0'; - else - if enable = '1' then - SB_delayed <= SB; - OR_accu := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-1 loop - OR_accu := OR_accu or datain(i); - end loop; -- i - if (SB = '0' and RB = '1') or (SB = '1' and RB='1' and OR_accu='1') then - dataout_temp <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout_temp <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process round_up_sym_p; - - dataout <= dataout_temp; - - assert not(SB_delayed = '0' and dataout_temp(OUT_WIDTH_g-1 downto OUT_WIDTH_g-2)="10") report "Overflow during rounding, dataout invalid. This condition occurs when ROUND_UP_SYM is selected for rounding, and a large integer appears at the input. Please consider changing rounding mode to CONVERGENT rounding, where overflows cannot happen, or apply SATURATION first." severity warning; - - end generate round_up_sym; - - ----------------------------------------------------------------------------- - -- convergent rounding - ----------------------------------------------------------------------------- - conv_round_1 : if ROUNDING_TYPE_g = "CONV_ROUND" and LATENCY = 1 generate - signal LSB : std_logic; -- least significant retained bit - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - - begin -- generate conv_round - - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - LSB <= datain(IN_WIDTH_g-OUT_WIDTH_g); - - conv_round_p1 : process (clk) is - variable OR_accu : std_logic := '0'; - begin -- process conv_round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - OR_accu := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-2 loop - OR_accu := OR_accu or datain(i); - end loop; -- i - if RB = '1' and (LSB = '1' or OR_accu = '1') then - dataout <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process conv_round_p1; - end generate conv_round_1; - - - conv_round_2 : if ROUNDING_TYPE_g = "CONV_ROUND" and LATENCY = 2 generate - signal LSB : std_logic; -- least significant retained bit - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - signal datareg : std_logic_vector(IN_WIDTH_g-1 downto 0); - signal OR_accu : std_logic; - - begin -- generate conv_round - - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - - conv_round_p : process(clk) is - variable OR_Temp : std_logic := '0'; - begin - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - RB <= '0'; - LSB <= '0'; - OR_accu <= '0'; - datareg <= (others =>'0'); - else - if enable = '1' then - OR_Temp := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-2 loop - OR_Temp := OR_Temp or datain(i); - end loop; -- - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - LSB <= datain(IN_WIDTH_g-OUT_WIDTH_g); - OR_accu <= OR_Temp; - datareg <= datain; - end if; - end if; - end if; - end process conv_round_p; - - conv_round_p2 : process (clk) is - begin -- process conv_round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if RB = '1' and (LSB = '1' or OR_accu = '1') then - dataout <= std_logic_vector(signed(datareg(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datareg(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process conv_round_p2; - end generate conv_round_2; - - -conv_round_3 : if ROUNDING_TYPE_g = "CONV_ROUND" and LATENCY = 3 generate - signal LSB,LSB_R : std_logic; -- least significant retained bit - signal RB,RB_R : std_logic; -- rounding bit (MSB of discarded bit) - signal datareg, datareg_2 : std_logic_vector(IN_WIDTH_g-1 downto 0); - signal OR_accu_1, OR_accu_2 : std_logic; - signal OR_accu : std_logic; - - begin -- generate conv_round - - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - - conv_round_p : process(clk) is - variable OR_Temp_2,OR_Temp_1 : std_logic := '0'; - begin - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - RB <= '0'; - LSB <= '0'; - RB_R <= '0'; - LSB_R <= '0'; - OR_accu_1 <= '0'; - OR_accu_2 <= '0'; - datareg <= (others =>'0'); - else - if enable = '1' then - OR_Temp_1 := '0'; - OR_Temp_2 := '0'; - for i in 0 to (IN_WIDTH_g-OUT_WIDTH_g-2)/2 loop - OR_Temp_1 := OR_Temp_1 or datain(i); - end loop; -- - for i in ((IN_WIDTH_g-OUT_WIDTH_g-2)/2)+1 to (IN_WIDTH_g-OUT_WIDTH_g-2) loop - OR_Temp_2 := OR_Temp_2 or datain(i); - end loop; -- RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - LSB <= datain(IN_WIDTH_g-OUT_WIDTH_g); - LSB_R <= LSB; - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - RB_R <= RB; - OR_accu_1 <= OR_Temp_1; - OR_accu_2 <= OR_Temp_2; - OR_accu <= OR_accu_1 or OR_accu_2; - datareg <= datain; - datareg_2 <= datareg; - end if; - end if; - end if; - end process conv_round_p; - - conv_round_p2 : process (clk) is - begin -- process conv_round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if RB_R = '1' and (LSB_R = '1' or OR_accu = '1') then - dataout <= std_logic_vector(signed(datareg_2(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datareg_2(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process conv_round_p2; - end generate conv_round_3; - ----------------------------------------------------------------------------- - -- error checking: - -- Have we got a valid rounding mode? - -- Is the input greater than the output? - ----------------------------------------------------------------------------- - assert (ROUNDING_TYPE_g = "SATURATE" or - ROUNDING_TYPE_g = "SATURATE_SYM" or - ROUNDING_TYPE_g = "ROUND_UP" or - ROUNDING_TYPE_g = "ROUND0" or - ROUNDING_TYPE_g = "ROUND_UP_SYM" or - ROUNDING_TYPE_g = "CONV_ROUND" or - ROUNDING_TYPE_g = "TRUNCATE_LOW" or - ROUNDING_TYPE_g = "TRUNCATE_HIGH" - ) report "Please check your rounding type and its spelling. Currently, we only support SATURATE, SATURATE_SYM, ROUND_UP, ROUND0, CONV_ROUND, TRUNCATE_LOW, TRUNCATE_HIGH" severity error; - assert (((LATENCY = 1) or (ROUNDING_TYPE_g = "CONV_ROUND" and (LATENCY = 3 or LATENCY = 2)))) report "Please check your Latency. Currently we only support latency for all modes and 2 latency for convergent round" severity error; - - -end architecture beh; diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd deleted file mode 100644 index c792d98..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd +++ /dev/null @@ -1,121 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_text_pkg.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/packages/auk_dspip_text_pkg.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : DSP_IP --- --- Project : --- --- Description : --- --- Common functions for DSP_IP cores. --- --- --- $Log: auk_dspip_text_pkg.vhd,v $ --- Revision 1.2 2007/05/04 15:33:11 sdemirso --- merge from 7.1 --- --- Revision 1.1 2007/02/01 17:29:45 kmarks --- Initial commit --- --- Revision 1.5 2006/08/17 10:13:02 sdemirso --- log2_ceil_one function added --- --- Revision 1.4 2006/07/28 18:52:50 sdemirso --- no compilation errors with the new directory structure --- --- Revision 1.3 2006/07/28 10:27:30 sdemirso --- Header updated --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; -use ieee.numeric_std.all; -PACKAGE auk_dspip_text_pkg IS - - - ----------------------------------------------------------------------------- - -- NOTE that these log functions are not intended to synthesize directly - -- into hardware, rather they are used to generate constants for - -- synthesized hardware. - ----------------------------------------------------------------------------- - type array_natural_t is array (natural range <>) of integer; - - function parse_string_array (str : string; size : natural) return array_natural_t; - - function str_to_int (str : string; base : string) return integer; - -END PACKAGE auk_dspip_text_pkg; - -package body auk_dspip_text_pkg is - --------------------------------------------------------------------------- - -- str_to_int Function. Only parses positive decimal values - --------------------------------------------------------------------------- - function str_to_int(str : string; base : string) return integer is - variable res : integer; - variable base_cnt : integer; - begin - res := 0; - base_cnt:=1; - for i in str'length downto 1 loop - if str(i) /= ' ' then - res := res + (character'pos(str(i)) - character'pos('0'))*base_cnt; - base_cnt:=base_cnt*10; - end if; - end loop; -- i - return res; - end str_to_int; - - --------------------------------------------------------------------------- - -- parse_string_array Function. - --------------------------------------------------------------------------- - function parse_string_array(str : string; size : natural) return array_natural_t is - variable this_str : string(1 to 32); - variable cnt_char : natural; -- how many characters have we seen - variable cnt_str : natural:=0; - variable res : array_natural_t(0 to size-1); - begin - this_str := (others => ' '); - cnt_char := 1; - for i in str'left to str'right loop - if str(i) = ',' then - res(cnt_str) := str_to_int(this_str, "DEC"); - cnt_char := 1; - cnt_str := cnt_str + 1; - this_str := (others => ' '); - else - this_str(cnt_char) := str(i); - cnt_char := cnt_char+1; - end if; - end loop; -- i - res(cnt_str) := str_to_int(this_str, "DEC"); - return res; - end parse_string_array; - - end package body auk_dspip_text_pkg; diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd deleted file mode 100644 index fdfa848..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv b/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv deleted file mode 100644 index 76d2504..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/counter_module.sv b/FPGA_61.440/db/ip/rx_cic/submodules/counter_module.sv deleted file mode 100644 index 4385698..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/counter_module.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/hyper_pipeline_interface.v b/FPGA_61.440/db/ip/rx_cic/submodules/hyper_pipeline_interface.v deleted file mode 100644 index a9bd59a..0000000 Binary files a/FPGA_61.440/db/ip/rx_cic/submodules/hyper_pipeline_interface.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv b/FPGA_61.440/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv deleted file mode 100644 index 21c96d1..0000000 --- a/FPGA_61.440/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv +++ /dev/null @@ -1,217 +0,0 @@ -// (C) 2001-2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - - -module rx_cic_cic_ii_0 ( - in_data, - out_ready, - in_valid, - clk, - clken, - reset_n, - in_ready, - in_error, - out_error, - out_data, - out_valid - ); - - parameter DEVICE_FAMILY = "Cyclone IV E"; - - - - parameter FILTER_TYPE = "decimator"; - parameter STAGES = 6; - parameter D_DELAY = 1; - parameter VRC_EN = 0; - parameter RCF_MAX = 1280; - parameter RCF_MIN = 1280; - parameter INTERFACES = 1; - parameter CH_PER_INT = 1; - parameter INT_USE_MEM = "false"; - parameter INT_MEM = "auto"; - parameter DIF_USE_MEM = "false"; - parameter DIF_MEM = "auto"; - parameter IN_WIDTH = 23; - parameter OUT_WIDTH = 32; - parameter ROUND_TYPE = "TRUNCATE"; - parameter PIPELINING = 0; - - - parameter C_STAGE_0_WIDTH = 85; - parameter C_STAGE_1_WIDTH = 85; - parameter C_STAGE_2_WIDTH = 85; - parameter C_STAGE_3_WIDTH = 85; - parameter C_STAGE_4_WIDTH = 85; - parameter C_STAGE_5_WIDTH = 85; - parameter C_STAGE_6_WIDTH = 85; - parameter C_STAGE_7_WIDTH = 85; - parameter C_STAGE_8_WIDTH = 85; - parameter C_STAGE_9_WIDTH = 85; - parameter C_STAGE_10_WIDTH = 85; - parameter C_STAGE_11_WIDTH = 85; - parameter MAX_C_STAGE_WIDTH = 85; - - parameter I_STAGE_0_WIDTH = 85; - parameter I_STAGE_1_WIDTH = 85; - parameter I_STAGE_2_WIDTH = 85; - parameter I_STAGE_3_WIDTH = 85; - parameter I_STAGE_4_WIDTH = 85; - parameter I_STAGE_5_WIDTH = 85; - parameter I_STAGE_6_WIDTH = 85; - parameter I_STAGE_7_WIDTH = 85; - parameter I_STAGE_8_WIDTH = 85; - parameter I_STAGE_9_WIDTH = 85; - parameter I_STAGE_10_WIDTH = 85; - parameter I_STAGE_11_WIDTH = 85; - parameter MAX_I_STAGE_WIDTH = 85; - - localparam TOTAL_CHANNELS = CH_PER_INT*INTERFACES; - - localparam INTERFACES_IN = (FILTER_TYPE=="decimator" & INTERFACES > 1) ? INTERFACES : 1 ; - localparam INTERFACES_OUT = (FILTER_TYPE=="interpolator" & INTERFACES > 1) ? INTERFACES : 1 ; - localparam CHANNEL_SIZE_OUT = (FILTER_TYPE=="interpolator" & INTERFACES > 1) ? CH_PER_INT : TOTAL_CHANNELS ; - localparam CHANNEL_SIZE_IN = (FILTER_TYPE=="decimator" & INTERFACES > 1) ? CH_PER_INT : TOTAL_CHANNELS ; - localparam CHANNEL_OUT_WIDTH = (CHANNEL_SIZE_OUT > 1) ? $clog2(CHANNEL_SIZE_OUT) : 1; - localparam NUMBER_OF_CHANNELS = INTERFACES*CH_PER_INT; - localparam RATE_FACTOR_WIDTH = $clog2(RCF_MAX+1); - localparam CHANNEL_WIDTH = $clog2(TOTAL_CHANNELS); - localparam COUNTER_FS_MAX = RCF_MAX*NUMBER_OF_CHANNELS; - - //latency calculations - //localparam COMB_STAGE_LATENCY = 1; - //localparam COMB_SECTION_LATENCY = COMB_STAGE_LATENCY*STAGES; - //localparam INT_SECTION_LATENCY = (~INT_USE_MEM & PIPELINING > 1) ? PIPELINING*STAGES: STAGES*NUMBER_OF_CHANNELS; - //localparam MUX_LATENCY = NUMBER_OF_CHANNELS*(INTERFACES-1)+1; - //localparam DEC_SISO_LATENCY = COMB_SECTION_LATENCY + INT_SECTION_LATENCY; - //localparam INT_SISO_LATENCY = COMB_SECTION_LATENCY + INT_SECTION_LATENCY; - //localparam DEC_MISO_LATENCY = COMB_SECTION_LATENCY+INT_SECTION_LATENCY+2; - //localparam INT_SIMO_LATENCY = COMB_SECTION_LATENCY+INT_SECTION_LATENCY+MUX_LATENCY ; - //localparam S_LATENCY = (FILTER_TYPE == "decimator") ? DEC_SISO_LATENCY : INT_SISO_LATENCY; - //localparam M_LATENCY = (FILTER_TYPE == "decimator") ? DEC_MISO_LATENCY : INT_SIMO_LATENCY; - //localparam TOTAL_LATENCY = (INTERFACES > 1) ? M_LATENCY : S_LATENCY; - //localparam CLOCKS_PER_SAMPLE = RCF_MIN/INTERFACES; - - - - - - - - - - - -input clk; -input clken; -input reset_n; -logic [RATE_FACTOR_WIDTH-1:0] rate; -logic in_startofpacket; -logic in_endofpacket; -output in_ready; -input in_valid; -logic [CHANNEL_OUT_WIDTH-1:0] out_channel; -logic out_startofpacket; -logic out_endofpacket; -input [1:0] in_error; -output [1:0] out_error; -input out_ready; -output out_valid; -input [IN_WIDTH-1:0] in_data; -output [OUT_WIDTH-1:0] out_data; - -wire [IN_WIDTH-1:0] din [INTERFACES_IN-1:0]; -wire [OUT_WIDTH-1:0] dout [INTERFACES_OUT-1:0]; - - - - - -assign din[0] = in_data; - -assign out_data = dout[0]; -assign in_startofpacket = 1'b1; -assign in_endofpacket = 1'b1; -assign rate = '0; - - - - alt_cic_core #( - .DEVICE_FAMILY (DEVICE_FAMILY), - .FILTER_TYPE (FILTER_TYPE), - .STAGES (STAGES), - .D_DELAY (D_DELAY), - .VRC_EN (VRC_EN), - .RCF_MAX (RCF_MAX), - .RCF_MIN (RCF_MIN), - .INTERFACES (INTERFACES), - .CH_PER_INT (CH_PER_INT), - .INT_USE_MEM (INT_USE_MEM), - .INT_MEM (INT_MEM), - .DIF_USE_MEM (DIF_USE_MEM), - .DIF_MEM (DIF_MEM), - .IN_WIDTH (IN_WIDTH), - .OUT_WIDTH (OUT_WIDTH), - .ROUND_TYPE (ROUND_TYPE), - .PIPELINING (PIPELINING), - - .C_STAGE_0_WIDTH(C_STAGE_0_WIDTH), - .C_STAGE_1_WIDTH(C_STAGE_1_WIDTH), - .C_STAGE_2_WIDTH(C_STAGE_2_WIDTH), - .C_STAGE_3_WIDTH(C_STAGE_3_WIDTH), - .C_STAGE_4_WIDTH(C_STAGE_4_WIDTH), - .C_STAGE_5_WIDTH(C_STAGE_5_WIDTH), - .C_STAGE_6_WIDTH(C_STAGE_6_WIDTH), - .C_STAGE_7_WIDTH(C_STAGE_7_WIDTH), - .C_STAGE_8_WIDTH(C_STAGE_8_WIDTH), - .C_STAGE_9_WIDTH(C_STAGE_9_WIDTH), - .C_STAGE_10_WIDTH(C_STAGE_10_WIDTH), - .C_STAGE_11_WIDTH(C_STAGE_11_WIDTH), - .MAX_C_STAGE_WIDTH(MAX_C_STAGE_WIDTH), - - .I_STAGE_0_WIDTH(I_STAGE_0_WIDTH), - .I_STAGE_1_WIDTH(I_STAGE_1_WIDTH), - .I_STAGE_2_WIDTH(I_STAGE_2_WIDTH), - .I_STAGE_3_WIDTH(I_STAGE_3_WIDTH), - .I_STAGE_4_WIDTH(I_STAGE_4_WIDTH), - .I_STAGE_5_WIDTH(I_STAGE_5_WIDTH), - .I_STAGE_6_WIDTH(I_STAGE_6_WIDTH), - .I_STAGE_7_WIDTH(I_STAGE_7_WIDTH), - .I_STAGE_8_WIDTH(I_STAGE_8_WIDTH), - .I_STAGE_9_WIDTH(I_STAGE_9_WIDTH), - .I_STAGE_10_WIDTH(I_STAGE_10_WIDTH), - .I_STAGE_11_WIDTH(I_STAGE_11_WIDTH), - .MAX_I_STAGE_WIDTH(MAX_I_STAGE_WIDTH) - ) core ( - .clk(clk), - .clken(clken), - .reset_n(reset_n), - .rate(rate), - .in_startofpacket(in_startofpacket), - .in_endofpacket(in_endofpacket), - .in_data(din), - .in_ready(in_ready), - .in_valid(in_valid), - .out_channel(out_channel), - .out_startofpacket(out_startofpacket), - .out_endofpacket(out_endofpacket), - .in_error(in_error), - .out_error(out_error), - .out_ready(out_ready), - .out_data(dout), - .out_valid(out_valid) - ); - defparam core.HYPER_PIPELINE = 0; - - -endmodule diff --git a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.qip b/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.qip deleted file mode 100644 index 55585fc..0000000 --- a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.qip +++ /dev/null @@ -1,91 +0,0 @@ -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "alt_sld_fab" -name SOPCINFO_FILE [file join $::quartus(qip_path) "alt_sld_fab.sopcinfo"] -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name SLD_INFO "QSYS_NAME alt_sld_fab HAS_SOPCINFO 1" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_GENERATED_DEVICE_FAMILY "{Device Agnostic}" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_QSYS_MODE "UNKNOWN" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_NAME "YWx0X3NsZF9mYWI=" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_DISPLAY_NAME "YWx0X3NsZF9mYWI=" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_NAME "YWx0X3NsZF9mYWJfYWx0X3NsZF9mYWI=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_DISPLAY_NAME "VG9wIGxldmVsIGdlbmVyYXRlZCBpbnN0cnVtZW50YXRpb24gZmFicmlj" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "REVTSUdOX0hBU0g=::ZDkyZDczODJlYzBlMTA0N2EyYmY=::REVTSUdOX0hBU0g=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Tk9ERV9DT1VOVA==::MQ==::Tk9ERV9DT1VOVA==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "TUFYX1dJRFRI::Mjc=::TUFYX1dJRFRI" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "U0VUVElOR1M=::e2ZhYnJpYyBzbGQgZGlyIGFnZW50IG1mcl9jb2RlIDExMCB0eXBlX2NvZGUgOSB2ZXJzaW9uIDAgaW5zdGFuY2UgMCBpcl93aWR0aCA0IHBzaWcgOWI2NzkxOWV9::U0VUVElOR1M=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Q0xPQ0tT::e2lkIHt9IH0=::Q0xPQ0tT" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "RVBfSU5GT1M=::e2hwYXRoIHtERUJVRzpEQkdfQURDfGFsdHNvdXJjZV9wcm9iZV90b3A6aW5fc3lzdGVtX3NvdXJjZXNfcHJvYmVzXzB8YWx0c291cmNlX3Byb2JlOmlzc3BfaW1wbH0gfQ==::RVBfSU5GT1M=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "TUlSUk9S::MA==::TUlSUk9S" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "VE9QX0hVQg==::MQ==::VE9QX0hVQg==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Q09NUE9TRURfU0VUVElOR1M=::e2ZhYnJpYyBzbGQgZGlyIGFnZW50IG1mcl9jb2RlIDExMCB0eXBlX2NvZGUgOSB2ZXJzaW9uIDAgaW5zdGFuY2UgMCBpcl93aWR0aCA0IGJyaWRnZV9hZ2VudCAwIHByZWZlcl9ob3N0IHt9IH0=::Q09NUE9TRURfU0VUVElOR1M=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBJViBF::REVWSUNFX0ZBTUlMWQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::VW5rbm93bg==::QXV0byBERVZJQ0U=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::VW5rbm93bg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_NAME "YWx0X3NsZF9mYWJfYWx0X3NsZF9mYWJfaWRlbnQ=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_DISPLAY_NAME "Q29ubmVjdGlvbiBpZGVudGlmaWNhdGlvbiBodWI=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "REVTSUdOX0hBU0g=::ZDkyZDczODJlYzBlMTA0N2EyYmY=::REVTSUdOX0hBU0g=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Q09VTlQ=::MQ==::Q09VTlQ=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_ident" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "U0VUVElOR1M=::e3dpZHRoIDQgbGF0ZW5jeSAwfQ==::U0VUVElOR1M=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_NAME "YWx0X3NsZF9mYWJfYWx0X3NsZF9mYWJfc2xkZmFicmlj" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFNMRCBKVEFHIEh1Yg==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFNMRCBKVEFHIEh1YiAtLSBUaGlzIFRDTCBjb21wb25lbnQgd2lsbCBjb250YWluIHRoZSBzbGRfanRhZ19odWI=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBJViBF::REVWSUNFX0ZBTUlMWQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "U0VUVElOR1M=::e21mcl9jb2RlIDExMCB0eXBlX2NvZGUgOSB2ZXJzaW9uIDAgaW5zdGFuY2UgMCBpcl93aWR0aCA0IGJyaWRnZV9hZ2VudCAwIHByZWZlcl9ob3N0IHt9IH0=::U0VUVElOR1M=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Q09VTlQ=::MQ==::Q09VTlQ=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Tl9TRUxfQklUUw==::MQ==::Tl9TRUxfQklUUw==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Tl9OT0RFX0lSX0JJVFM=::NA==::Tl9OT0RFX0lSX0JJVFM=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Tk9ERV9JTkZP::MDAwMDAwMDAwMTAwMTAwMDAxMTAxMTEwMDAwMDAwMDA=::Tk9ERV9JTkZP" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Q09NUElMQVRJT05fTU9ERQ==::MA==::Q09NUElMQVRJT05fTU9ERQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "QlJPQURDQVNUX0ZFQVRVUkU=::MA==::QlJPQURDQVNUX0ZFQVRVUkU=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfSVJfQ0FQVFVSRV9GRUFUVVJF::MQ==::Rk9SQ0VfSVJfQ0FQVFVSRV9GRUFUVVJF" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Rk9SQ0VfUFJFXzFfNF9GRUFUVVJF::MA==::Rk9SQ0VfUFJFXzFfNF9GRUFUVVJF" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "TkVHRURHRV9URE9fTEFUQ0g=::MQ==::TkVHRURHRV9URE9fTEFUQ0g=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "RU5BQkxFX1NPRlRfQ09SRV9DT05UUk9MTEVS::MA==::RU5BQkxFX1NPRlRfQ09SRV9DT05UUk9MTEVS" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "VE9QX0hVQg==::MQ==::VE9QX0hVQg==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "Q09OTl9JTkRFWA==::MA==::Q09OTl9JTkRFWA==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_splitter" -library "alt_sld_fab" -name IP_COMPONENT_NAME "YWx0X3NsZF9mYWJfYWx0X3NsZF9mYWJfc3BsaXR0ZXI=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_splitter" -library "alt_sld_fab" -name IP_COMPONENT_DISPLAY_NAME "U3BsaXR0ZXIgZm9yIGRlYnVnIGZhYnJpYw==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_splitter" -library "alt_sld_fab" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_splitter" -library "alt_sld_fab" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_splitter" -library "alt_sld_fab" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_splitter" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "RlJBR01FTlRT::e3tuYW1lIGNsb2NrIHR5cGUgY2xvY2sgZGlyIGVuZCBwb3J0cyB7IHt0Y2sgY2xrIGluIDEgMH0gfSB9IHtuYW1lIG5vZGUgdHlwZSBjb25kdWl0IGRpciBlbmQgcG9ydHMgeyB7dG1zIHRtcyBpbiAxIDF9IHt0ZGkgdGRpIGluIDEgMn0ge3RkbyB0ZG8gb3V0IDEgMH0ge2VuYSBlbmEgaW4gMSAzfSB7dXNyMSB1c3IxIGluIDEgNH0ge2NsciBjbHIgaW4gMSA1fSB7Y2xybiBjbHJuIGluIDEgNn0ge2p0YWdfc3RhdGVfdGxyIGp0YWdfc3RhdGVfdGxyIGluIDEgN30ge2p0YWdfc3RhdGVfcnRpIGp0YWdfc3RhdGVfcnRpIGluIDEgOH0ge2p0YWdfc3RhdGVfc2RycyBqdGFnX3N0YXRlX3NkcnMgaW4gMSA5fSB7anRhZ19zdGF0ZV9jZHIganRhZ19zdGF0ZV9jZHIgaW4gMSAxMH0ge2p0YWdfc3RhdGVfc2RyIGp0YWdfc3RhdGVfc2RyIGluIDEgMTF9IHtqdGFnX3N0YXRlX2UxZHIganRhZ19zdGF0ZV9lMWRyIGluIDEgMTJ9IHtqdGFnX3N0YXRlX3BkciBqdGFnX3N0YXRlX3BkciBpbiAxIDEzfSB7anRhZ19zdGF0ZV9lMmRyIGp0YWdfc3RhdGVfZTJkciBpbiAxIDE0fSB7anRhZ19zdGF0ZV91ZHIganRhZ19zdGF0ZV91ZHIgaW4gMSAxNX0ge2p0YWdfc3RhdGVfc2lycyBqdGFnX3N0YXRlX3NpcnMgaW4gMSAxNn0ge2p0YWdfc3RhdGVfY2lyIGp0YWdfc3RhdGVfY2lyIGluIDEgMTd9IHtqdGFnX3N0YXRlX3NpciBqdGFnX3N0YXRlX3NpciBpbiAxIDE4fSB7anRhZ19zdGF0ZV9lMWlyIGp0YWdfc3RhdGVfZTFpciBpbiAxIDE5fSB7anRhZ19zdGF0ZV9waXIganRhZ19zdGF0ZV9waXIgaW4gMSAyMH0ge2p0YWdfc3RhdGVfZTJpciBqdGFnX3N0YXRlX2UyaXIgaW4gMSAyMX0ge2p0YWdfc3RhdGVfdWlyIGp0YWdfc3RhdGVfdWlyIGluIDEgMjJ9IHtpcl9pbiBpcl9pbiBpbiA0IDIzfSB7aXJxIGlycSBvdXQgMSAxfSB7aXJfb3V0IGlyX291dCBvdXQgNCAyfSB9IGNsb2NrIGNsb2NrIGFzc2lnbiB7ZGVidWcuY29udHJvbGxlZEJ5IHtsaW5rXzB9IH0gbW9kdWxlYXNzaWduIHtkZWJ1Zy52aXJ0dWFsSW50ZXJmYWNlLmxpbmtfMCB7ZGVidWcuZW5kcG9pbnRMaW5rIHtmYWJyaWMgc2xkIGluZGV4IDF9IH0gfSB9IH0=::RlJBR01FTlRT" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_splitter" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "QUREX0lOVEVSRkFDRV9BU0dO::MA==::QUREX0lOVEVSRkFDRV9BU0dO" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_NAME "YWx0X3NsZF9mYWJfYWx0X3NsZF9mYWJfcHJlc3BsaXQ=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_DISPLAY_NAME "U3BsaXR0ZXIgZm9yIGRlYnVnIGZhYnJpYw==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_INTERNAL "On" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "TUFYX1dJRFRI::Mjc=::TUFYX1dJRFRI" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "U0VORF9XSURUSFM=::Ng==::U0VORF9XSURUSFM=" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_presplit" -library "alt_sld_fab" -name IP_COMPONENT_PARAMETER "UkVDRUlWRV9XSURUSFM=::Mjc=::UkVDRUlWRV9XSURUSFM=" - -set_global_assignment -library "alt_sld_fab" -name VERILOG_FILE [file join $::quartus(qip_path) "alt_sld_fab.v"] -set_global_assignment -library "alt_sld_fab" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_sld_fab_alt_sld_fab.v"] -set_global_assignment -library "alt_sld_fab" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_sld_fab_alt_sld_fab_ident.sv"] -set_global_assignment -library "alt_sld_fab" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd"] -set_global_assignment -library "alt_sld_fab" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_sld_fab_alt_sld_fab_splitter.sv"] -set_global_assignment -library "alt_sld_fab" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_sld_fab_alt_sld_fab_presplit.sv"] - -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_TOOL_NAME "alt_sld_fab" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab" -library "alt_sld_fab" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_TOOL_NAME "altera_sld_jtag_hub" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "alt_sld_fab_alt_sld_fab_sldfabric" -library "alt_sld_fab" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.sopcinfo b/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.sopcinfo deleted file mode 100644 index fa3c872..0000000 --- a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.sopcinfo +++ /dev/null @@ -1,2138 +0,0 @@ - - - - - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - - false - true - false - true - DEVICE - - - java.lang.String - - false - true - false - true - DEVICE_SPEEDGRADE - - - java.lang.String - Cyclone IV E - false - true - false - true - DEVICE_FAMILY - - - boolean - false - false - true - true - true - - - - - java.lang.String - d92d7382ec0e1047a2bf - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 27 - false - true - true - true - - - java.lang.String - {fabric sld dir agent mfr_code 110 type_code 9 version 0 instance 0 ir_width 4 psig 9b67919e} - false - true - true - true - - - java.lang.String - {id {} } - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - {hpath {DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl} } - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - true - true - - - java.lang.String - {fabric sld dir agent mfr_code 110 type_code 9 version 0 instance 0 ir_width 4 bridge_agent 0 prefer_host {} } - true - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - DEVICE_FAMILY - - - java.lang.String - Unknown - false - true - false - true - DEVICE - - - java.lang.String - Unknown - false - true - false - true - DEVICE_SPEEDGRADE - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - nodes_send - Input - 27 - send - - - nodes_receive - Output - 27 - receive - - - - - - debug.hostConnection - type jtag connidx ext - - - debug.providesServices - deviceConn - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - hub_tck - Input - 1 - tck - - - hub_tms - Input - 1 - tms - - - hub_tdi - Input - 1 - tdi - - - hub_tdo - Output - 1 - tdo - - - - - - - debug.isTransparent - true - - - int - 27 - false - true - true - true - - - java.lang.String - 6 - false - true - true - true - - - java.lang.String - 27 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - send - Input - 27 - send - - - receive - Output - 27 - receive - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - send_0 - Output - 6 - send_0 - - - receive_0 - Input - 27 - receive_0 - - - - - - - debug.isTransparent - true - - - debug.virtualInterface.link_0 - debug.endpointLink {fabric sld index 1} - - - java.lang.String - {{name clock type clock dir end ports { {tck clk in 1 0} } } {name node type conduit dir end ports { {tms tms in 1 1} {tdi tdi in 1 2} {tdo tdo out 1 0} {ena ena in 1 3} {usr1 usr1 in 1 4} {clr clr in 1 5} {clrn clrn in 1 6} {jtag_state_tlr jtag_state_tlr in 1 7} {jtag_state_rti jtag_state_rti in 1 8} {jtag_state_sdrs jtag_state_sdrs in 1 9} {jtag_state_cdr jtag_state_cdr in 1 10} {jtag_state_sdr jtag_state_sdr in 1 11} {jtag_state_e1dr jtag_state_e1dr in 1 12} {jtag_state_pdr jtag_state_pdr in 1 13} {jtag_state_e2dr jtag_state_e2dr in 1 14} {jtag_state_udr jtag_state_udr in 1 15} {jtag_state_sirs jtag_state_sirs in 1 16} {jtag_state_cir jtag_state_cir in 1 17} {jtag_state_sir jtag_state_sir in 1 18} {jtag_state_e1ir jtag_state_e1ir in 1 19} {jtag_state_pir jtag_state_pir in 1 20} {jtag_state_e2ir jtag_state_e2ir in 1 21} {jtag_state_uir jtag_state_uir in 1 22} {ir_in ir_in in 4 23} {irq irq out 1 1} {ir_out ir_out out 4 2} } clock clock assign {debug.controlledBy {link_0} } moduleassign {debug.virtualInterface.link_0 {debug.endpointLink {fabric sld index 1} } } } } - false - true - true - true - - - java.lang.String - - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - send_0 - Input - 6 - send_0 - - - receive_0 - Output - 27 - receive_0 - - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - tck_0 - Input - 1 - clk - - - - - - debug.controlledBy - link_0 - - - java.lang.String - clock_0 - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - tms_0 - Input - 1 - tms - - - tdi_0 - Input - 1 - tdi - - - tdo_0 - Output - 1 - tdo - - - ena_0 - Input - 1 - ena - - - usr1_0 - Input - 1 - usr1 - - - clr_0 - Input - 1 - clr - - - clrn_0 - Input - 1 - clrn - - - jtag_state_tlr_0 - Input - 1 - jtag_state_tlr - - - jtag_state_rti_0 - Input - 1 - jtag_state_rti - - - jtag_state_sdrs_0 - Input - 1 - jtag_state_sdrs - - - jtag_state_cdr_0 - Input - 1 - jtag_state_cdr - - - jtag_state_sdr_0 - Input - 1 - jtag_state_sdr - - - jtag_state_e1dr_0 - Input - 1 - jtag_state_e1dr - - - jtag_state_pdr_0 - Input - 1 - jtag_state_pdr - - - jtag_state_e2dr_0 - Input - 1 - jtag_state_e2dr - - - jtag_state_udr_0 - Input - 1 - jtag_state_udr - - - jtag_state_sirs_0 - Input - 1 - jtag_state_sirs - - - jtag_state_cir_0 - Input - 1 - jtag_state_cir - - - jtag_state_sir_0 - Input - 1 - jtag_state_sir - - - jtag_state_e1ir_0 - Input - 1 - jtag_state_e1ir - - - jtag_state_pir_0 - Input - 1 - jtag_state_pir - - - jtag_state_e2ir_0 - Input - 1 - jtag_state_e2ir - - - jtag_state_uir_0 - Input - 1 - jtag_state_uir - - - ir_in_0 - Input - 4 - ir_in - - - irq_0 - Output - 1 - irq - - - ir_out_0 - Output - 4 - ir_out - - - - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - debug.hostConnection - type jtag connidx ext - - - debug.providesServices - deviceConn - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - ext_tck - Input - 1 - tck - - - ext_tms - Input - 1 - tms - - - ext_tdi - Input - 1 - tdi - - - ext_tdo - Output - 1 - tdo - - - - - - java.lang.String - - false - true - true - true - - - long - 0 - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - true - - int_tck - Output - 1 - clk - - - false - alt_sld_fab_sldfabric - clock - alt_sld_fab_sldfabric.clock - - - - - - debug.controlledBy - pins - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - int_tms - Output - 1 - tms - - - int_tdi - Output - 1 - tdi - - - int_tdo - Input - 1 - tdo - - - - - - - java.lang.String - CYCLONEIVE - false - true - true - true - DEVICE_FAMILY - - - java.lang.String - {mfr_code 110 type_code 9 version 0 instance 0 ir_width 4 bridge_agent 0 prefer_host {} } - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - true - true - true - true - - - int - 4 - true - true - true - true - - - java.lang.String - 00000000010010000110111000000000 - true - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - true - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - true - true - true - true - - - int - 1 - true - true - true - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - jsm_tck - Input - 1 - clk - - - - - - debug.connIndex - 0 - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - jsm_tms - Input - 1 - tms - - - hub_tdi - Input - 1 - tdi - - - hub_tdo - Output - 1 - tdo - - - - - - java.lang.String - - false - true - true - true - - - long - 0 - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - true - - node_raw_tck_0 - Output - 1 - clk - - - false - alt_sld_fab_splitter - clock_0 - alt_sld_fab_splitter.clock_0 - - - - - - debug.controlledBy - node - - - debug.encodedSldId - 4746752 - - - debug.providesServices - sld - - - java.lang.String - clock_0 - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - node_ir_in_1d_0 - Output - 4 - ir_in - - - node_ir_out_1d_0 - Input - 4 - ir_out - - - node_tdo_0 - Input - 1 - tdo - - - node_irq_0 - Input - 1 - irq - - - node_raw_tms_0 - Output - 1 - tms - - - node_tdi_0 - Output - 1 - tdi - - - node_clr_0 - Output - 1 - clr - - - node_clrn_0 - Output - 1 - clrn - - - node_usr1_0 - Output - 1 - usr1 - - - node_ena_0 - Output - 1 - ena - - - node_jtag_state_tlr_0 - Output - 1 - jtag_state_tlr - - - node_jtag_state_rti_0 - Output - 1 - jtag_state_rti - - - node_jtag_state_sdrs_0 - Output - 1 - jtag_state_sdrs - - - node_jtag_state_cdr_0 - Output - 1 - jtag_state_cdr - - - node_jtag_state_sdr_0 - Output - 1 - jtag_state_sdr - - - node_jtag_state_e1dr_0 - Output - 1 - jtag_state_e1dr - - - node_jtag_state_pdr_0 - Output - 1 - jtag_state_pdr - - - node_jtag_state_e2dr_0 - Output - 1 - jtag_state_e2dr - - - node_jtag_state_udr_0 - Output - 1 - jtag_state_udr - - - node_jtag_state_sirs_0 - Output - 1 - jtag_state_sirs - - - node_jtag_state_cir_0 - Output - 1 - jtag_state_cir - - - node_jtag_state_sir_0 - Output - 1 - jtag_state_sir - - - node_jtag_state_e1ir_0 - Output - 1 - jtag_state_e1ir - - - node_jtag_state_pir_0 - Output - 1 - jtag_state_pir - - - node_jtag_state_e2ir_0 - Output - 1 - jtag_state_e2ir - - - node_jtag_state_uir_0 - Output - 1 - jtag_state_uir - - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - ident_writedata - Output - 4 - writedata - - - ident_address - Output - 5 - address - - - ident_readdata - Input - 4 - readdata - - - - - - - java.lang.String - d92d7382ec0e1047a2bf - false - true - true - true - - - int - 1 - false - true - true - true - - - java.lang.String - {width 4 latency 0} - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - false - true - true - true - - - java.lang.String - - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - address_0 - Input - 5 - address - - - contrib_0 - Input - 4 - writedata - - - rdata_0 - Output - 4 - readdata - - - - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - alt_sld_fab_presplit - pass - alt_sld_fab_splitter - nodes - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - alt_sld_fab_jtagpins - clock - alt_sld_fab_sldfabric - clock - - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - alt_sld_fab_jtagpins - node - alt_sld_fab_sldfabric - node - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - alt_sld_fab_sldfabric - clock_0 - alt_sld_fab_splitter - clock_0 - - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - alt_sld_fab_sldfabric - node_0 - alt_sld_fab_splitter - node_0 - - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - com.altera.entityinterfaces.IPort - - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - alt_sld_fab_sldfabric - ident - alt_sld_fab_ident - ident_0 - - - 1 - alt_sld_fab - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Top level generated instrumentation fabric - 18.1 - - - 12 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - - 1 - altera_super_splitter - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Splitter for debug fabric - 18.1 - - - 1 - altera_sld_splitter - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Splitter for debug fabric - 18.1 - - - 2 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 18.1 - - - 1 - altera_jtag_pins_bridge - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - altera_jtag_pins_bridge - 18.1 - - - 2 - clock_source - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Output - 18.1 - - - 1 - altera_sld_jtag_hub - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Altera SLD JTAG Hub - 18.1 - - - 1 - altera_connection_identification_hub - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Connection identification hub - 18.1 - - - 4 - conduit - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Conduit Connection - 18.1 - - - 2 - clock - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Clock Connection - 18.1 - - 18.1 625 - - diff --git a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.v b/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.v deleted file mode 100644 index df4c395..0000000 Binary files a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab__report.html b/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab__report.html deleted file mode 100644 index d956f5b..0000000 --- a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab__report.html +++ /dev/null @@ -1,537 +0,0 @@ - - - - - datasheet for alt_sld_fab - - - - - - - - -
alt_sld_fab -
-
-
- - - - - -
2021.03.31.22:18:29Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

alt_sld_fab

alt_sld_fab v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
DESIGN_HASHd92d7382ec0e1047a2bf
NODE_COUNT1
MAX_WIDTH27
SETTINGS{fabric sld dir agent mfr_code 110 type_code 9 version 0 instance 0 ir_width 4 psig 9b67919e}
CLOCKS{id {} }
AGENTS
EP_INFOS{hpath {DEBUG:DBG_ADC|altsource_probe_top:in_system_sources_probes_0|altsource_probe:issp_impl} }
MIRROR0
TOP_HUB1
COMPOSED_SETTINGS{fabric sld dir agent mfr_code 110 type_code 9 version 0 instance 0 ir_width 4 bridge_agent 0 prefer_host {} }
DEVICE_FAMILYCYCLONEIVE
AUTO_DEVICEUnknown
AUTO_DEVICE_SPEEDGRADEUnknown
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- -
-
-

alt_sld_fab_presplit

altera_super_splitter v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - -
MAX_WIDTH27
SEND_WIDTHS6
RECEIVE_WIDTHS27
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- -
-
-

alt_sld_fab_splitter

altera_sld_splitter v18.1 -
-
- - - - - - - - - - - - - - - - - - - - - - - - - -
- alt_sld_fab_presplit - pass  alt_sld_fab_splitter
  nodes
- alt_sld_fab_sldfabric - clock_0  
  clock_0
node_0  
  node_0
-
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - -
FRAGMENTS{{name clock type clock dir end ports { {tck clk in 1 0} } } {name node type conduit dir end ports { {tms tms in 1 1} {tdi tdi in 1 2} {tdo tdo out 1 0} {ena ena in 1 3} {usr1 usr1 in 1 4} {clr clr in 1 5} {clrn clrn in 1 6} {jtag_state_tlr jtag_state_tlr in 1 7} {jtag_state_rti jtag_state_rti in 1 8} {jtag_state_sdrs jtag_state_sdrs in 1 9} {jtag_state_cdr jtag_state_cdr in 1 10} {jtag_state_sdr jtag_state_sdr in 1 11} {jtag_state_e1dr jtag_state_e1dr in 1 12} {jtag_state_pdr jtag_state_pdr in 1 13} {jtag_state_e2dr jtag_state_e2dr in 1 14} {jtag_state_udr jtag_state_udr in 1 15} {jtag_state_sirs jtag_state_sirs in 1 16} {jtag_state_cir jtag_state_cir in 1 17} {jtag_state_sir jtag_state_sir in 1 18} {jtag_state_e1ir jtag_state_e1ir in 1 19} {jtag_state_pir jtag_state_pir in 1 20} {jtag_state_e2ir jtag_state_e2ir in 1 21} {jtag_state_uir jtag_state_uir in 1 22} {ir_in ir_in in 4 23} {irq irq out 1 1} {ir_out ir_out out 4 2} } clock clock assign {debug.controlledBy {link_0} } moduleassign {debug.virtualInterface.link_0 {debug.endpointLink {fabric sld index 1} } } } }
EXAMPLE
ADD_INTERFACE_ASGN0
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- -
-
-

alt_sld_fab_jtagpins

altera_jtag_pins_bridge v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - -
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- -
-
-

alt_sld_fab_sldfabric

altera_sld_jtag_hub v18.1 -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- alt_sld_fab_jtagpins - clock  alt_sld_fab_sldfabric
  clock
node  
  node
clock_0   - alt_sld_fab_splitter -
  clock_0
node_0  
  node_0
ident   - alt_sld_fab_ident -
  ident_0
-
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
DEVICE_FAMILYCYCLONEIVE
SETTINGS{mfr_code 110 type_code 9 version 0 instance 0 ir_width 4 bridge_agent 0 prefer_host {} }
COUNT1
N_SEL_BITS1
N_NODE_IR_BITS4
NODE_INFO00000000010010000110111000000000
COMPILATION_MODE0
BROADCAST_FEATURE0
FORCE_IR_CAPTURE_FEATURE1
FORCE_PRE_1_4_FEATURE0
NEGEDGE_TDO_LATCH1
ENABLE_SOFT_CORE_CONTROLLER0
TOP_HUB1
CONN_INDEX0
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- -
-
-

alt_sld_fab_ident

altera_connection_identification_hub v18.1 -
-
- - - - - - - - - -
- alt_sld_fab_sldfabric - ident  alt_sld_fab_ident
  ident_0
-
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - -
DESIGN_HASHd92d7382ec0e1047a2bf
COUNT1
SETTINGS{width 4 latency 0}
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,05 seconds
- - diff --git a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab__report.xml b/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab__report.xml deleted file mode 100644 index 9d34fe6..0000000 --- a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab__report.xml +++ /dev/null @@ -1,371 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:alt_sld_fab "alt_sld_fab" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - alt_sld_fab" reuses alt_sld_fab "submodules/alt_sld_fab_alt_sld_fab"]]> - queue size: 0 starting:alt_sld_fab "submodules/alt_sld_fab_alt_sld_fab" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 5 modules, 6 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - alt_sld_fab" reuses altera_super_splitter "submodules/alt_sld_fab_alt_sld_fab_presplit"]]> - alt_sld_fab" reuses altera_sld_splitter "submodules/alt_sld_fab_alt_sld_fab_splitter"]]> - alt_sld_fab" reuses altera_sld_jtag_hub "submodules/alt_sld_fab_alt_sld_fab_sldfabric"]]> - alt_sld_fab" reuses altera_connection_identification_hub "submodules/alt_sld_fab_alt_sld_fab_ident"]]> - alt_sld_fab" instantiated alt_sld_fab "alt_sld_fab"]]> - queue size: 3 starting:altera_super_splitter "submodules/alt_sld_fab_alt_sld_fab_presplit" - alt_sld_fab" instantiated altera_super_splitter "presplit"]]> - queue size: 2 starting:altera_sld_splitter "submodules/alt_sld_fab_alt_sld_fab_splitter" - alt_sld_fab" instantiated altera_sld_splitter "splitter"]]> - queue size: 1 starting:altera_sld_jtag_hub "submodules/alt_sld_fab_alt_sld_fab_sldfabric" - alt_sld_fab" instantiated altera_sld_jtag_hub "sldfabric"]]> - queue size: 0 starting:altera_connection_identification_hub "submodules/alt_sld_fab_alt_sld_fab_ident" - alt_sld_fab" instantiated altera_connection_identification_hub "ident"]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:alt_sld_fab "submodules/alt_sld_fab_alt_sld_fab" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 5 modules, 6 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - alt_sld_fab" reuses altera_super_splitter "submodules/alt_sld_fab_alt_sld_fab_presplit"]]> - alt_sld_fab" reuses altera_sld_splitter "submodules/alt_sld_fab_alt_sld_fab_splitter"]]> - alt_sld_fab" reuses altera_sld_jtag_hub "submodules/alt_sld_fab_alt_sld_fab_sldfabric"]]> - alt_sld_fab" reuses altera_connection_identification_hub "submodules/alt_sld_fab_alt_sld_fab_ident"]]> - alt_sld_fab" instantiated alt_sld_fab "alt_sld_fab"]]> - queue size: 3 starting:altera_super_splitter "submodules/alt_sld_fab_alt_sld_fab_presplit" - alt_sld_fab" instantiated altera_super_splitter "presplit"]]> - queue size: 2 starting:altera_sld_splitter "submodules/alt_sld_fab_alt_sld_fab_splitter" - alt_sld_fab" instantiated altera_sld_splitter "splitter"]]> - queue size: 1 starting:altera_sld_jtag_hub "submodules/alt_sld_fab_alt_sld_fab_sldfabric" - alt_sld_fab" instantiated altera_sld_jtag_hub "sldfabric"]]> - queue size: 0 starting:altera_connection_identification_hub "submodules/alt_sld_fab_alt_sld_fab_ident" - alt_sld_fab" instantiated altera_connection_identification_hub "ident"]]> - - - - - - - - - - - - - - - - - queue size: 3 starting:altera_super_splitter "submodules/alt_sld_fab_alt_sld_fab_presplit" - alt_sld_fab" instantiated altera_super_splitter "presplit"]]> - - - - - - - - - - - - - - - - - queue size: 2 starting:altera_sld_splitter "submodules/alt_sld_fab_alt_sld_fab_splitter" - alt_sld_fab" instantiated altera_sld_splitter "splitter"]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 1 starting:altera_sld_jtag_hub "submodules/alt_sld_fab_alt_sld_fab_sldfabric" - alt_sld_fab" instantiated altera_sld_jtag_hub "sldfabric"]]> - - - - - - - - - - - - - - - - - queue size: 0 starting:altera_connection_identification_hub "submodules/alt_sld_fab_alt_sld_fab_ident" - alt_sld_fab" instantiated altera_connection_identification_hub "ident"]]> - - - diff --git a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab_wrapper_hw.tcl b/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab_wrapper_hw.tcl deleted file mode 100644 index 3146ddb..0000000 Binary files a/FPGA_61.440/db/ip/sld0b974a4e/alt_sld_fab_wrapper_hw.tcl and /dev/null differ diff --git a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v b/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v deleted file mode 100644 index eb92ea0..0000000 Binary files a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv b/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv deleted file mode 100644 index 456b458..0000000 --- a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv +++ /dev/null @@ -1,91 +0,0 @@ -// (C) 2001-2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// $Id: //acds/main/ip/altera_connection_identification_hub/altera_connection_identification_hub.sv.terp#1 $ -// $Revision: #1 $ -// $Date: 2012/05/24 $ -// $Author: adraper $ - -// ------------------------------------------------------- -// Altera Identification hub -// -// Parameters -// DESIGN_HASH : d92d7382ec0e1047a2bf -// COUNT : 1 -// ROM_WIDTHS : 4 -// LATENCIES : 0 -// -// ------------------------------------------------------- - - -`timescale 1 ns / 1 ns - -module alt_sld_fab_alt_sld_fab_ident -( - input [4:0] address_0, - input [3:0] contrib_0, - output [3:0] rdata_0, - output [3:0] mixed -); - - -wire [127:0] data_0 = { mixed, 12'h0, 32'h0, 80'hd92d7382ec0e1047a2bf }; - -reg [3:0] result_0; -always @(address_0 or data_0) begin - case (address_0) - 0: result_0 <= data_0[0+:4]; - 1: result_0 <= data_0[4+:4]; - 2: result_0 <= data_0[8+:4]; - 3: result_0 <= data_0[12+:4]; - 4: result_0 <= data_0[16+:4]; - 5: result_0 <= data_0[20+:4]; - 6: result_0 <= data_0[24+:4]; - 7: result_0 <= data_0[28+:4]; - 8: result_0 <= data_0[32+:4]; - 9: result_0 <= data_0[36+:4]; - 10: result_0 <= data_0[40+:4]; - 11: result_0 <= data_0[44+:4]; - 12: result_0 <= data_0[48+:4]; - 13: result_0 <= data_0[52+:4]; - 14: result_0 <= data_0[56+:4]; - 15: result_0 <= data_0[60+:4]; - 16: result_0 <= data_0[64+:4]; - 17: result_0 <= data_0[68+:4]; - 18: result_0 <= data_0[72+:4]; - 19: result_0 <= data_0[76+:4]; - 20: result_0 <= data_0[80+:4]; - 21: result_0 <= data_0[84+:4]; - 22: result_0 <= data_0[88+:4]; - 23: result_0 <= data_0[92+:4]; - 24: result_0 <= data_0[96+:4]; - 25: result_0 <= data_0[100+:4]; - 26: result_0 <= data_0[104+:4]; - 27: result_0 <= data_0[108+:4]; - 28: result_0 <= data_0[112+:4]; - 29: result_0 <= data_0[116+:4]; - 30: result_0 <= data_0[120+:4]; - 31: result_0 <= data_0[124+:4]; - default: result_0 <= 0; - endcase -end -assign rdata_0 = result_0; - -// TODO: Cut timing paths into and out of mixed - -assign mixed = - contrib_0 ^ - 4'h0; - -endmodule - diff --git a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv b/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv deleted file mode 100644 index 699c238..0000000 Binary files a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd b/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd deleted file mode 100644 index 978ba06..0000000 Binary files a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv b/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv deleted file mode 100644 index 93a5cc0..0000000 Binary files a/FPGA_61.440/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_core.ocp b/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_core.ocp deleted file mode 100644 index b2306f5..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_core.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_core.sv b/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_core.sv deleted file mode 100644 index 438f509..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_core.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_dec_miso.sv b/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_dec_miso.sv deleted file mode 100644 index 517f8c5..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_dec_miso.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_dec_siso.sv b/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_dec_siso.sv deleted file mode 100644 index f827c02..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_dec_siso.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_int_simo.sv b/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_int_simo.sv deleted file mode 100644 index 96e6325..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_int_simo.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_int_siso.sv b/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_int_siso.sv deleted file mode 100644 index 28ea65c..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/alt_cic_int_siso.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv b/FPGA_61.440/db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv deleted file mode 100644 index 32de5f5..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd deleted file mode 100644 index eaaed3e..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd deleted file mode 100644 index 031dfca..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd deleted file mode 100644 index 00b6285..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd deleted file mode 100644 index 6749efc..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd deleted file mode 100644 index 1177239..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd deleted file mode 100644 index 7433b82..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_delay.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_delay.vhd deleted file mode 100644 index f8e1c1a..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_delay.vhd +++ /dev/null @@ -1,308 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_delay.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/fu/delay/rtl/auk_dspip_delay.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Volker Mauer --- --- Project : common FU library --- --- Description : --- --- This functional unit can be used to insert a delay of specified length. --- The output data at time T will be equivalent to the input data at time --- T-DELAY. The user can select to implement the delay using either LE's --- (registers) or Memory. The type of memory to use is selected by the --- user. --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -library altera_mf; -use altera_mf.altera_mf_components.all; -library work; -use work.auk_dspip_math_pkg.all; - - -entity auk_dspip_delay is - generic ( - WIDTH_g : natural := 8; -- data width - DELAY_g : natural := 8; - -- number of clock cycles the input - -- will be delayed by - MEMORY_TYPE_g : string := "AUTO"; - -- possible values are "m4k", "m512", - -- "register", "mram", "auto", - -- "lutram", "M9K", "M144K". - -- Any other string will be interpreted - -- as "auto" - REGISTER_FIRST_g : natural := 1; - -- if "1", the first delay is guaranteed - -- to be in registers - REGISTER_LAST_g : natural := 1); -- if "1", the last delay is guaranteed - -- to be in registers - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(WIDTH_g-1 downto 0); - dataout : out std_logic_vector(WIDTH_g-1 downto 0) - ); -end entity auk_dspip_delay; - - -architecture rtl of auk_dspip_delay is -begin -- architecture rtl - - - ------------------------------------------------------------------------------ - -- array of registers - ------------------------------------------------------------------------------ - register_fifo : if MEMORY_TYPE_g = "register" or MEMORY_TYPE_g = "REGISTER" or DELAY_g-REGISTER_FIRST_g-REGISTER_LAST_g < 3 generate - type tFIFO_DATA is array (0 to DELAY_g-1) of std_logic_vector(WIDTH_g-1 downto 0); - signal fifo_data : tFIFO_DATA; - begin -- generate register_fifo - - -- purpose: array of registers - -- type : sequential - -- inputs : clk, reset, data_in - -- outputs: data_out - array_of_reg : process (clk, reset) is - begin -- process array_of_reg - if reset = '1' then -- asynchronous reset (active high) - reset_all : for i in 0 to DELAY_g-1 loop - fifo_data(i) <= (others => '0'); - end loop; - elsif rising_edge(clk) then -- rising clock edge - - ------------------------------------------------------------------- - -- memory array - ------------------------------------------------------------------- - - if enable = '1' then - fifo_data(0) <= datain; - if DELAY_g > 1 then - shift_up : for i in 1 to DELAY_g-1 loop - fifo_data(i) <= fifo_data(i-1); - end loop; - end if; - end if; - - end if; - end process array_of_reg; - dataout <= fifo_data(DELAY_g-1); - - - end generate register_fifo; - - ----------------------------------------------------------------------------- - -- memory based delay using altshift_tap - ----------------------------------------------------------------------------- - memory_fifo : if DELAY_g-REGISTER_FIRST_g-REGISTER_LAST_g >= 3 and not(MEMORY_TYPE_g = "register" or MEMORY_TYPE_g = "REGISTER") generate -component altshift_taps - generic ( - lpm_hint : string; - lpm_type : string; - number_of_taps : natural; - tap_distance : natural; - width : natural - ); - port ( - taps : out std_logic_vector (WIDTH_g-1 downto 0); - clken : in std_logic; - clock : in std_logic; - aclr : in std_logic := '0'; - sclr : in std_logic := '0'; - shiftout : out std_logic_vector (WIDTH_g-1 downto 0); - shiftin : in std_logic_vector (WIDTH_g-1 downto 0) - ); - end component; - component scfifo - generic ( - add_ram_output_register : string; - intended_device_family : string; - lpm_numwords : natural; - lpm_showahead : string; - lpm_type : string; - lpm_width : natural; - lpm_widthu : natural; - overflow_checking : string; - underflow_checking : string; - use_eab : string - ); - port ( - rdreq : in std_logic; - clock : in std_logic; - q : out std_logic_vector (WIDTH_g-1 downto 0); - wrreq : in std_logic; - data : in std_logic_vector (WIDTH_g-1 downto 0) - ); - end component; - - constant mem_depth : natural := DELAY_g - REGISTER_FIRST_g - REGISTER_LAST_g; - constant lpm_hint : string := "RAM_BLOCK_TYPE = " & MEMORY_TYPE_g; - - - signal mem_in : std_logic_vector(WIDTH_g-1 downto 0); -- memory input - signal mem_out : std_logic_vector(WIDTH_g-1 downto 0); -- memory_output - signal count_after_reset : unsigned(log2_ceil(mem_depth) downto 0); - signal extended_reset : std_logic; - - begin -- generate memory_fifo - - - - input_stage : if REGISTER_FIRST_g = 1 and (REGISTER_LAST_g = 0 or DELAY_g > 1) generate - begin -- generate input_stage - -- purpose: registers first stage - -- type : sequential - -- inputs : clk, reset, data_in - -- outputs: mem_in - - reg_input : process (clk, reset) is - begin -- process reg_input - if reset = '1' then -- asynchronous reset (active high) - mem_in <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - mem_in <= datain; - end if; - end if; - end process reg_input; - end generate input_stage; - - bypass_input_stage : if ((REGISTER_FIRST_g = 0) or - ((REGISTER_FIRST_g = 1) and (REGISTER_LAST_g = 1) and (DELAY_g = 1))) generate - -- captures the case, where the total delay is 1, and input and output - -- register is requested. In this case, the output register is also the - -- input register. Bypassing input register, leaving only output register. - - begin -- generate bypass_input_stage - mem_in <= datain; - end generate bypass_input_stage; - - check_illegal_generic : if REGISTER_FIRST_g > 1 generate - begin -- generate check_illegal_generic - assert false report "Generic REGISTER_FIRST_g in FU : DELAY must be 0 or 1" severity failure; - end generate check_illegal_generic; - - check_illegal_generic_3 : if DELAY_g < 1 generate - begin -- generate check_illegal_generic - assert false report "Generic DELAY_g in FU : DELAY must be at least 1" severity failure; - end generate check_illegal_generic_3; - - --------------------------------------------------------------------------- - -- only instantiate memory if the length of the shift reg is greater than - -- 0. This is to capture the case where the length is 1, and input or - -- output registers have been used. - --------------------------------------------------------------------------- - memory_i : if mem_depth > 0 generate - begin -- generate memory_i - altshift_taps_component : altshift_taps - generic map ( - lpm_hint => lpm_hint, - lpm_type => "altshift_taps", - number_of_taps => 1, - tap_distance => mem_depth, - width => WIDTH_g - ) - port map ( - clken => enable, - clock => clk, - shiftin => mem_in, - taps => mem_out, - shiftout => open - ); - end generate memory_i; - - no_memory_i : if mem_depth < 1 generate - begin -- generate no_memory_i - mem_out <= mem_in; -- bypass memory - end generate no_memory_i; - - - - output_stage : if REGISTER_LAST_g = 1 generate - begin -- generate output_stage - -- purpose: registers first stage - -- type : sequential - -- inputs : clk, reset, data_in - -- outputs: mem_in - reg_output : process (clk, reset) is - begin -- process reg_output - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if extended_reset = '1' then - dataout <= (others => '0'); - else - dataout <= mem_out; - end if; - end if; - end if; - end process reg_output; - end generate output_stage; - - bypass_output_stage : if REGISTER_LAST_g = 0 generate - begin -- generate bypass_output_stage - dataout <= mem_out when extended_reset = '0' else - (others => '0'); - end generate bypass_output_stage; - - check_illegal_generic2 : if REGISTER_LAST_g > 1 generate - begin -- generate check_illegal_generic2 - assert false report "Generic REGISTER_LAST_g in FU : DELAY must be 0 or 1" severity failure; - end generate check_illegal_generic2; - - -- purpose: creates an extended reset, that is high for MEM_DEPTH_c clock cycles. - -- This signal is used to 0 out the first MEM_DEPTH values from the delay register. - -- type : sequential - -- inputs : clk, resenable - -- outputs: extended_reset - extend_reset : process (clk, reset) is - begin -- process extended_reset - if reset = '1' then -- asynchronous reset (active high) - extended_reset <= '1'; - count_after_reset <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if count_after_reset < mem_depth then - count_after_reset <= count_after_reset + 1; - extended_reset <= '1'; - else - extended_reset <= '0'; - end if; - end if; - end if; - end process extend_reset; - - end generate memory_fifo; - - -end architecture rtl; diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd deleted file mode 100644 index 3293d7a..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_downsample.sv b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_downsample.sv deleted file mode 100644 index bdc5d8e..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_downsample.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd deleted file mode 100644 index ec7fa43..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd +++ /dev/null @@ -1,88 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - - -entity auk_dspip_fastadd is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end entity auk_dspip_fastadd; - - -architecture beh of auk_dspip_fastadd is - - constant LOWWIDTH_c : natural := LABWIDTH_g * (1 + integer(INWIDTH_g/(LABWIDTH_g*2))); - constant asdf : natural := integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf2 : natural := 1+integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf3 : natural := LABWIDTH_g * (1+integer(INWIDTH_g/(LABWIDTH_g*2))); - - constant HIGHWIDTH_c : natural := INWIDTH_g-LOWWIDTH_c; - - - signal datain1_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain1_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal datain2_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain2_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal result_low : std_logic_vector(LOWWIDTH_c downto 0); - signal result_high_cin0 : std_logic_vector(HIGHWIDTH_c downto 0); - signal result_high_cin1 : std_logic_vector(HIGHWIDTH_c downto 0); - - attribute keep : boolean; - attribute keep of result_high_cin0 : signal is true; - attribute keep of result_high_cin1 : signal is true; - -begin -- architecture beh - - datain1_low <= datain1(LOWWIDTH_c-1 downto 0); - datain1_high <= datain1(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - datain2_low <= datain2(LOWWIDTH_c-1 downto 0); - datain2_high <= datain2(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - - adder_process : process (clk, reset) is - begin -- process adder_process - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if result_low(LOWWIDTH_c) = '1' then - dataout <= result_high_cin1 & result_low(LOWWIDTH_c-1 downto 0); - else - dataout <= result_high_cin0 & result_low(LOWWIDTH_c-1 downto 0); - end if; - end if; - end if; - end process adder_process; - - result_low <= std_logic_vector(unsigned('0' & datain1_low) + unsigned('0' & datain2_low)); - result_high_cin0 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high) + - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high)); - result_high_cin1 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high )+ - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high )+ - 1); - - -end architecture beh; diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd deleted file mode 100644 index 312e756..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd +++ /dev/null @@ -1,160 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: $ --- $Source: $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Volker Mauer --- --- Project : auk_dspip_fastaddsub --- --- Description : --- --- This functional unit can be used to perform an addition or subtraction --- in a single clock cycle faster than in a standard adder, by means of a --- carry select structure. --- --- This means that the adder is split into a lower part and an upper part. --- The upper part is implemented twice: Once with the carry_in fixed to '0' --- and once with the carry_in fixed to '1'. The carry_out of the lower part --- is used to control a mux selecting the two possible upper results. --- --- This structucture is useful where the width is so great that the standard --- adder would be slower than the DSP block, and a pipelined adder cannot be --- used, like in accumulators. --- --- There is a device dependency: The width of the lower part, where 1 LE per --- bit is required, should be greater than half the overall width, and --- ideally be selected to fit into labs of the target device. The upper --- half, where 3 LEs per bit are needed, should add the remaining bits. --- --- Given the width and the labwidth, the function will automatically calculate --- the width of lower and upper parts using the following formula: --- --- Wlow = Wlab * ceil(Win / (2*Wlab)) --- Whigh = Win-Wlow --- --- Example: If the adder is 48 bits in, the lab width is 10, we would --- get Wlow = 30, Whigh=18. --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - - -entity auk_dspip_fastaddsub is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - add_nsub : in std_logic; - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end entity auk_dspip_fastaddsub; - - -architecture beh of auk_dspip_fastaddsub is - - constant LOWWIDTH_c : natural := LABWIDTH_g * (1 + integer(INWIDTH_g/(LABWIDTH_g*2))); - constant asdf : natural := integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf2 : natural := 1+integer(INWIDTH_g/(LABWIDTH_g*2)); - constant asdf3 : natural := LABWIDTH_g * (1+integer(INWIDTH_g/(LABWIDTH_g*2))); - - constant HIGHWIDTH_c : natural := INWIDTH_g-LOWWIDTH_c; - - - signal datain1_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain1_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal datain2_low : std_logic_vector(LOWWIDTH_c-1 downto 0); - signal datain2_high : std_logic_vector(HIGHWIDTH_c-1 downto 0); - signal result_low : std_logic_vector(LOWWIDTH_c downto 0); - signal result_high_cin0 : std_logic_vector(HIGHWIDTH_c downto 0); - signal result_high_cin1 : std_logic_vector(HIGHWIDTH_c downto 0); - - ----------------------------------------------------------------------------- - -- Synthesis tools have got clever. Need to set "keep" attribute, or the - -- synthesis tool will recognise the structure as a standard adder, and - -- optimise it accordingly. - ----------------------------------------------------------------------------- - attribute keep : boolean; - attribute keep of result_high_cin0 : signal is true; - attribute keep of result_high_cin1 : signal is true; - -begin -- architecture beh - - ----------------------------------------------------------------------------- - -- split inputs into upper and lower halves - ----------------------------------------------------------------------------- - datain1_low <= datain1(LOWWIDTH_c-1 downto 0); - datain1_high <= datain1(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - datain2_low <= datain2(LOWWIDTH_c-1 downto 0); - datain2_high <= datain2(HIGHWIDTH_c+LOWWIDTH_c-1 downto LOWWIDTH_c); - - ----------------------------------------------------------------------------- - -- perform separate additions/subtractions for upper and lower halves - ----------------------------------------------------------------------------- - result_low <= std_logic_vector(unsigned('0' & datain1_low) + unsigned('0' & datain2_low)) when add_nsub='1' else - std_logic_vector(unsigned('0' & datain1_low) - unsigned('0' & datain2_low)); - result_high_cin0 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high) + - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high)) when add_nsub='1' else - std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high) - - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high)); - result_high_cin1 <= std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high )+ - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high )+ - 1) when add_nsub = '1' else - std_logic_vector(unsigned(datain1_high(HIGHWIDTH_c-1) & datain1_high )- - unsigned(datain2_high(HIGHWIDTH_c-1) & datain2_high )- - 1); - - ----------------------------------------------------------------------------- - -- multiplex (select) the upper half, register selected upper half and lower - -- half. - ----------------------------------------------------------------------------- - adder_process : process (clk, reset) is - begin -- process adder_process - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - elsif rising_edge(clk) then -- rising clock edge - if enable = '1' then - if result_low(LOWWIDTH_c) = '1' then - dataout <= result_high_cin1 & result_low(LOWWIDTH_c-1 downto 0); - else - dataout <= result_high_cin0 & result_low(LOWWIDTH_c-1 downto 0); - end if; - end if; - end if; - end process adder_process; - - -end architecture beh; diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd deleted file mode 100644 index 801c66f..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd deleted file mode 100644 index 3ee6f10..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd +++ /dev/null @@ -1,630 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - ---This file is auto-generated by compile_dspip_lib.pl ---Date:06/07/2007 - ---Time:19:15 - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -library work; --- Alex, 02-10-07, this package declaration results in error at built time on a new machine --- -use work.auk_dspip_math_pkg.all; - -package auk_dspip_lib_pkg is ---Component names: ---auk_fifo ---auk_dspip_atlantic_sink ---auk_dspip_atlantic_source ---auk_dspip_interface_controller ---auk_dspip_avalon_streaming_controller ---auk_dspip_avalon_streaming_controller_pe ---auk_dspip_avalon_streaming_sink ---auk_dspip_avalon_streaming_source ---auk_dspip_delay ---auk_dspip_fastadd ---auk_dspip_fastaddsub ---auk_dspip_pipelined_adder ---auk_dspip_fast_accumulator ---auk_dspip_fifo_pfc ---auk_dspip_fpcompiler_alufp ---auk_dspip_fpcompiler_aslf ---auk_dspip_fpcompiler_asrf ---auk_dspip_fpcompiler_castftox ---auk_dspip_fpcompiler_castxtof ---auk_dspip_fpcompiler_clzf ---auk_dspip_fpcompiler_mulfp ---auk_dspip_pfc ---auk_dspip_roundsat - - -component auk_fifo is -generic ( - DATA_WIDTH : natural := 32; - SIZE : natural := 10; - ALMOST_EMPTY_BOUND : natural := 3; - ALMOST_FULL_BOUND : natural := 500 - ); -port ( - clk : in std_logic; - reset : in std_logic; - - read_req : in std_logic; - write_req : in std_logic; - - data_in : in std_logic_vector(DATA_WIDTH-1 DOWNTO 0); - data_out : out std_logic_vector(DATA_WIDTH-1 DOWNTO 0); - - almost_full : out std_logic; - almost_empty : out std_logic; - empty : out std_logic; - full : out std_logic - - ); - -end component auk_fifo; - -component auk_dspip_atlantic_sink is - - generic( - WIDTH : integer := 16; - PACKET_SIZE : natural := 4; - log2packet_size : integer := 2 - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data_available : out std_logic; --goes high when new data is available - data : out std_logic_vector(WIDTH-1 downto 0); - sink_ready_ctrl : in std_logic; --the controller will tell - --the interface whether - --new input can be accepted. - sink_stall : out std_logic; --needs to stall the design - --if no new data is coming - packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only. - --when any of these doesn't behave as - --expected, the error is flagged. - send_sop : out std_logic; -- transmit SOP signal to the design. - -- It only transmits the legal SOP. - send_eop : out std_logic; -- transmit EOP signal to the design. - -- It only transmits the legal EOP. - ----------------- ATLANTIC SIDE SIGNALS - at_sink_ready : out std_logic; --it will be '1' whenever the - --sink_ready_ctrl signal is high. - at_sink_valid : in std_logic; - at_sink_data : in std_logic_vector(WIDTH-1 downto 0); - at_sink_sop : in std_logic := '0'; - at_sink_eop : in std_logic := '0'; - at_sink_error : in std_logic_vector(1 downto 0) --it indicates to the data source - --that the SOP and EOP signals - --are not received as expected. - - ); - -end component auk_dspip_atlantic_sink; - -component auk_dspip_atlantic_source is - generic( - WIDTH : integer := 16; - packet_size : natural := 4; - LOG2packet_size : integer := 2; - multi_channel : BOOLEAN := TRUE - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data : in std_logic_vector (WIDTH-1 downto 0); - data_count : in std_logic_vector (LOG2packet_size-1 downto 0) := (others => '0'); - source_valid_ctrl : in std_logic; --the controller will tell - --the interface whether - --new input can be accepted. - source_stall : out std_logic; --needs to stall the design - --if no new data is coming - packet_error : in std_logic_vector (1 downto 0); - ----------------- ATLANTIC SIDE SIGNALS - at_source_ready : in std_logic; - at_source_valid : out std_logic; - at_source_data : out std_logic_vector (WIDTH-1 downto 0); - at_source_channel : out std_logic_vector (log2packet_size-1 downto 0); - at_source_error : out std_logic_vector (1 downto 0); - at_source_sop : out std_logic; - at_source_eop : out std_logic - ); - --- Declarations - -end component auk_dspip_atlantic_source; - - -component auk_dspip_interface_controller IS - PORT( - clk : in std_logic; - reset : IN std_logic; - ready : in std_logic; - sink_packet_error : IN std_logic_vector (1 DOWNTO 0); - sink_stall : IN std_logic; - source_stall : IN std_logic; - valid : IN std_logic; - reset_design : OUT std_logic; - reset_n : OUT std_logic; - sink_ready_ctrl : OUT std_logic; - source_packet_error : OUT std_logic_vector (1 DOWNTO 0); - source_valid_ctrl : OUT std_logic; - stall : OUT std_logic - ); - --- Declarations - -end component auk_dspip_interface_controller ; - - -component auk_dspip_avalon_streaming_controller is - port( - clk : in std_logic; - clk_en : in std_logic := '1'; - reset_n : in std_logic; - ready : in std_logic; - sink_packet_error : in std_logic_vector (1 downto 0); - sink_stall : in std_logic; - source_stall : in std_logic; - valid : in std_logic; - reset_design : out std_logic; - sink_ready_ctrl : out std_logic; - source_packet_error : out std_logic_vector (1 downto 0); - source_valid_ctrl : out std_logic; - stall : out std_logic - ); - --- Declarations - -end component auk_dspip_avalon_streaming_controller; - -component auk_dspip_avalon_streaming_controller_pe is - generic ( - FIFO_WIDTH_g : natural := 8; - ENABLE_PIPELINE_DEPTH_g : natural := 0; -- this value should match the depth of the enable pipeline in the core - FAMILY_g : string := "Stratix II"; - MEM_TYPE_g : string := "Auto" - ); - port( - clk : in std_logic; - clk_en : in std_logic := '1'; - reset_n : in std_logic; - ready : in std_logic; - sink_packet_error : in std_logic_vector (1 downto 0); - sink_stall : in std_logic; - source_stall : in std_logic; - valid : in std_logic; - reset_design : out std_logic; - sink_ready_ctrl : out std_logic; - source_packet_error : out std_logic_vector (1 downto 0); - source_valid_ctrl : out std_logic; - stall : out std_logic; - data_in : in std_logic_vector(FIFO_WIDTH_g-1 downto 0); - data_out : out std_logic_vector(FIFO_WIDTH_g-1 downto 0); - design_stall : out std_logic - ); - --- Declarations - -end component auk_dspip_avalon_streaming_controller_pe; - -component auk_dspip_avalon_streaming_sink is - - generic( - WIDTH_g : integer := 16; - PACKET_SIZE_g : natural := 4; - FIFO_DEPTH_g : natural := 5; --if PFC mode is selected, this generic - --is used for passing the poly_factor. - MIN_DATA_COUNT_g : natural := 2; - PFC_MODE_g : string := "false"; - SOP_EOP_CALC_g : string := "false"; -- calculate sop and eop rather than - -- reading value from fifo - FAMILY_g : string := "Stratix II"; - MEM_TYPE_g : string := "Auto" - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data : out std_logic_vector(WIDTH_g-1 downto 0); - sink_ready_ctrl : in std_logic; --the controller will tell - --the interface whether - --new input can be accepted. - sink_stall : out std_logic; --needs to stall the design - --if no new data is coming - packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only. - --when any of these doesn't behave as - --expected, the error is flagged. - send_sop : out std_logic; -- transmit SOP signal to the design. - -- It only transmits the legal SOP. - send_eop : out std_logic; -- transmit EOP signal to the design. - -- It only transmits the legal EOP. - ----------------- ATLANTIC SIDE SIGNALS - at_sink_ready : out std_logic; --it will be '1' whenever the - --sink_ready_ctrl signal is high. - at_sink_valid : in std_logic; - at_sink_data : in std_logic_vector(WIDTH_g-1 downto 0); - at_sink_sop : in std_logic := '0'; - at_sink_eop : in std_logic := '0'; - at_sink_error : in std_logic_vector(1 downto 0) := "00" --it indicates - --that there is an error in the packet. - - ); - -end component auk_dspip_avalon_streaming_sink; - -component auk_dspip_avalon_streaming_source is - generic( - WIDTH_g : integer := 16; - PACKET_SIZE_g : natural := 4; - HAVE_COUNTER_g : string := "false"; - COUNTER_LIMIT_g : natural := 4; - MULTI_CHANNEL_g : string := "true" - ); - port( - clk : in std_logic; - reset_n : in std_logic; - ----------------- DESIGN SIDE SIGNALS - data : in std_logic_vector (WIDTH_g-1 downto 0); - data_count : in std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0) := (others => '0'); - source_valid_ctrl : in std_logic; - design_stall : in std_logic; - source_stall : out std_logic; - packet_error : in std_logic_vector (1 downto 0); - ----------------- AVALON_STREAMING SIDE SIGNALS - at_source_ready : in std_logic; - at_source_valid : out std_logic; - at_source_data : out std_logic_vector (WIDTH_g-1 downto 0); - at_source_channel : out std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0); - at_source_error : out std_logic_vector (1 downto 0); - at_source_sop : out std_logic; - at_source_eop : out std_logic - ); - --- Declarations - -end component auk_dspip_avalon_streaming_source; - - -component auk_dspip_delay is - generic ( - WIDTH_g : natural := 8; -- data width - DELAY_g : natural := 8; - -- number of clock cycles the input - -- will be delayed by - MEMORY_TYPE_g : string := "AUTO"; - -- possible values are "m4k", "m512", - -- "register", "mram", "auto", - -- "lutram", "M9K", "M144K". - -- Any other string will be interpreted - -- as "auto" - REGISTER_FIRST_g : natural := 1; - -- if "1", the first delay is guaranteed - -- to be in registers - REGISTER_LAST_g : natural := 1); -- if "1", the last delay is guaranteed - -- to be in registers - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(WIDTH_g-1 downto 0); - dataout : out std_logic_vector(WIDTH_g-1 downto 0) - ); -end component auk_dspip_delay; - - -component auk_dspip_fastadd is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end component auk_dspip_fastadd; - - -component auk_dspip_fastaddsub is - generic ( - INWIDTH_g : natural := 18; - LABWIDTH_g : natural := 16); - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. Don't know - -- Stratix III yet. - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - add_nsub : in std_logic; - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end component auk_dspip_fastaddsub; - - -component auk_dspip_pipelined_adder is - generic ( - INWIDTH_g : natural := 42; - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. - -- Alex : should I use 19 bits for Stratix III? - -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. - LABWIDTH_g : natural := 38); - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end component auk_dspip_pipelined_adder; - - -component auk_dspip_fast_accumulator is - generic ( - DATA_WIDTH_g : natural := 42; - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. - -- for Stratix III is 20 so labwidth should be set to 18. - -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. - LABWIDTH_g : natural := 38; - NUM_OF_CHANNELS_g : natural := 1; - ACCUM_OUT_WIDTH_g : natural := 48; - ACCUM_MEM_TYPE_g : string := "auto"); - port ( - reset : in std_logic; - clk : in std_logic; - enb : in std_logic; - add_to_zero : in std_logic; - datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); - datao : out std_logic_vector(ACCUM_OUT_WIDTH_g-1 downto 0)); -end component auk_dspip_fast_accumulator; - - -component auk_dspip_fifo_pfc is - generic ( - NUM_CHANNELS_g : integer := 5; - POLY_FACTOR_g : integer := 3; - DATA_WIDTH_g : integer := 16; - ALMOST_FULL_VALUE_g : integer := 2; - RAM_TYPE_g : string := "AUTO"; - CALCULATE_USED_WORDS_ONCE : boolean := true - ); - port ( - - datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); - datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0); - channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0); - used_w : out std_logic_vector(log2_ceil(POLY_FACTOR_g * NUM_CHANNELS_g)+1 downto 0); - - wrreq : in std_logic; - rdreq : in std_logic; - almost_full : out std_logic; - empty : out std_logic; - sclr : in std_logic; - clk : in std_logic; - reset : in std_logic; - enable : in std_logic - ); -end component auk_dspip_fifo_pfc; -component auk_dspip_fpcompiler_alufp is - port ( - sysclk : in std_logic; - reset : in std_logic; - enable : in std_logic; - addsub : in std_logic; - aa : in std_logic_vector (42 downto 1); - aasat, aazip : in std_logic; - bb : in std_logic_vector (42 downto 1); - bbsat, bbzip : in std_logic; - cc : out std_logic_vector (42 downto 1); - ccsat, cczip : out std_logic - ); -end component auk_dspip_fpcompiler_alufp; -component auk_dspip_fpcompiler_aslf is - port ( - inbus : in std_logic_vector (32 downto 1); - shift : in std_logic_vector (5 downto 1); - - outbus : out std_logic_vector (32 downto 1) - ); -end component auk_dspip_fpcompiler_aslf; -component auk_dspip_fpcompiler_asrf is - port ( - inbus : in std_logic_vector (32 downto 1); - shift : in std_logic_vector (5 downto 1); - - outbus : out std_logic_vector (32 downto 1) - ); -end component auk_dspip_fpcompiler_asrf; - -component auk_dspip_fpcompiler_castftox is - port ( - aa : in std_logic_vector (32 downto 1); - cc : out std_logic_vector (42 downto 1); - ccsat, cczip : out std_logic - ); -end component auk_dspip_fpcompiler_castftox; - -component auk_dspip_fpcompiler_castxtof is - port ( - sysclk : in std_logic; - reset : in std_logic; - enable : in std_logic; - aa : in std_logic_vector (42 downto 1); - aasat, aazip : in std_logic; - cc : out std_logic_vector (32 downto 1) - ); -end component auk_dspip_fpcompiler_castxtof; - -component auk_dspip_fpcompiler_clzf is - port ( - frac : in std_logic_vector (32 downto 1); - count : out std_logic_vector (5 downto 1) - ); -end component auk_dspip_fpcompiler_clzf; -component auk_dspip_fpcompiler_mulfp is - port ( - sysclk : in std_logic; - reset : in std_logic; - enable : in std_logic; - aa : in std_logic_vector (42 downto 1); - aasat, aazip : in std_logic; - bb : in std_logic_vector (42 downto 1); - bbsat, bbzip : in std_logic; - cc : out std_logic_vector (42 downto 1); - ccsat, cczip : out std_logic - ); -end component auk_dspip_fpcompiler_mulfp; - -component auk_dspip_pfc is - generic ( - NUM_CHANNELS_g : integer := 5; - POLY_FACTOR_g : integer := 3; - DATA_WIDTH_g : integer := 16; - RAM_TYPE_g : string := "AUTO" - ); - port ( - - datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); - datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0); - channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0); - - in_valid : in std_logic; - out_valid : out std_logic; - clk : in std_logic; - reset : in std_logic; - enable : in std_logic - ); -end component auk_dspip_pfc; - - -component auk_dspip_roundsat is - generic ( - IN_WIDTH_g : natural := 8; -- data width - OUT_WIDTH_g : natural := 8; -- data width - LATENCY : natural := 1; - ROUNDING_TYPE_g : string := "TRUNCATE_LOW" - ); - - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(IN_WIDTH_g-1 downto 0); - dataout : out std_logic_vector(OUT_WIDTH_g-1 downto 0)); -end component auk_dspip_roundsat; - -component auk_dspip_avalon_streaming_block_source is - generic ( - MAX_BLK_g : natural; - DATAWIDTH_g : natural; - HYPER_OPTIMIZATION : natural := 0); - port ( - clk : in std_logic; - reset : in std_logic; - in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - in_valid : in std_logic; - source_stall : out std_logic; - in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); - source_valid : out std_logic; - source_ready : in std_logic; - source_sop : out std_logic; - source_eop : out std_logic; - source_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0)); -end component auk_dspip_avalon_streaming_block_source; - -component auk_dspip_avalon_streaming_block_sink is - generic ( - MAX_BLK_g : natural; - STALL_g : natural; - DATAWIDTH_g : natural; - -- this generic is specific for the FFT. - NUM_STAGES_g : natural; - FFT_ARCH : string; - HYPER_OPTIMIZATION : natural := 0); - port ( - clk : in std_logic; - reset : in std_logic; - in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - in_sop : in std_logic; - in_eop : in std_logic; - in_inverse : in std_logic; - sink_valid : in std_logic; - sink_ready : out std_logic; - source_stall : in std_logic; - in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); - processing : in std_logic; - in_error : in std_logic_vector(1 downto 0); - out_error : out std_logic_vector(1 downto 0); - out_valid : out std_logic; - out_sop : out std_logic; - out_eop : out std_logic; - out_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0); - curr_blk : out std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - -- these are specific to the FFT, no effort has been made to optimize! - curr_pwr_2 : out std_logic; - curr_inverse : out std_logic; - curr_input_sel : out std_logic_vector(NUM_STAGES_g - 1 downto 0)); -end component auk_dspip_avalon_streaming_block_sink; - -component auk_dspip_avalon_streaming_block_sink_fftfprvs is - generic ( - MAX_BLK_g : natural; - STALL_g : natural; - DATAWIDTH_g : natural; - -- this generic is specific for the FFT. - NUM_STAGES_g : natural; - FFT_ARCH : string); - port ( - clk : in std_logic; - reset : in std_logic; - in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - in_sop : in std_logic; - in_eop : in std_logic; - in_inverse : in std_logic; - sink_valid : in std_logic; - sink_ready : out std_logic; - source_stall : in std_logic; - in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); - processing : in std_logic; - in_error : in std_logic_vector(1 downto 0); - out_error : out std_logic_vector(1 downto 0); - out_valid : out std_logic; - out_sop : out std_logic; - out_eop : out std_logic; - out_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0); - curr_blk : out std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); - mlenfor : out std_logic_vector(log2_ceil(4**NUM_STAGES_g) downto 0); - mlentwo : out std_logic_vector(log2_ceil(4**NUM_STAGES_g) downto 0); - -- these are specific to the FFT, no effort has been made to optimize! - curr_pwr_2 : out std_logic; - curr_inverse : out std_logic; - curr_input_sel : out std_logic_vector(NUM_STAGES_g - 1 downto 0)); -end component auk_dspip_avalon_streaming_block_sink_fftfprvs; - - -end package auk_dspip_lib_pkg; diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd deleted file mode 100644 index 305288c..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd +++ /dev/null @@ -1,367 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_math_pkg.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/packages/auk_dspip_math_pkg.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : DSP_IP --- --- Project : --- --- Description : --- --- Common functions for DSP_IP cores. --- --- --- $Log: auk_dspip_math_pkg.vhd,v $ --- Revision 1.4 2006/07/28 18:52:50 sdemirso --- no compilation errors with the new directory structure --- --- Revision 1.3 2006/07/28 10:27:30 sdemirso --- Header updated --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; -use ieee.numeric_std.all; -PACKAGE auk_dspip_math_pkg IS - - - ----------------------------------------------------------------------------- - -- NOTE that these log functions are not intended to synthesize directly - -- into hardware, rather they are used to generate constants for - -- synthesized hardware. - ----------------------------------------------------------------------------- - --------------------------------------------------------------------------- - -- LOG2_CEIL Function. - -- Effectively performs log2() followed by ceil() - -- e.g. CEIL_LOG2(255) returns 8 - -- CEIL_LOG2(256) returns 8 - -- CEIL_LOG2(257) returns 9 - --------------------------------------------------------------------------- - function log2_ceil(arg : in integer) return integer; - function log2_ceil_one(arg : in integer) return integer; -- log2_ceil(1)=0 - --------------------------------------------------------------------------- - -- LOG2_FLOOR Function. - -- Effectively performs log2() followed by floor() - -- e.g. CEIL_LOG2(255) returns 7 - -- CEIL_LOG2(256) returns 8 - -- CEIL_LOG2(257) returns 8 - --------------------------------------------------------------------------- - function log2_floor(arg : in integer) return integer; - - ----------------------------------------------------------------------------- - -- SIGN functions - ----------------------------------------------------------------------------- - -- returns the sign bit of a vector - function sign (arg : in signed) return std_logic; - - -- sign extends ARG to size SIZE. - function sign_extend (arg : in signed; size : in positive) return signed; - - -- sign extend one bit - function xt1 (arg : in signed) return signed; - - --------------------------------------------------------------------------- - -- Arithmetic FUNCTIONs. - --------------------------------------------------------------------------- - -- Check integer for odd-ness - function is_odd(arg : integer) return boolean; - - ----------------------------------------------------------------------------- - -- Logical functions - ----------------------------------------------------------------------------- - -- Result of and'ing all of the bits of the vector. - function and_reduce(arg : std_logic_vector) return std_logic; - function and_reduce(arg : unsigned) return std_logic; - - -- Result of or'ing all of the bits of the vector. - function or_reduce(arg : std_logic_vector) return std_logic; - function or_reduce(arg : unsigned) return std_logic; - - -- returns index+1 of the highest asserted bit. - function highest_one(arg : unsigned) return natural; - - -- returns index+1 of the lowest asserted bit. - function lowest_one(arg : unsigned) return natural; - - -- returns the count of number of ones. - function count_ones(arg : unsigned) return natural; - - -- Bit reverse - function bit_reverse(arg : unsigned) return unsigned; - - -- Invert the argument bitwise - function invert(arg : unsigned) return unsigned; - - --Halve towards up - function halve_ceil(arg:natural) return natural; - - function div_ceil(a:natural;b:natural) return natural; - -END PACKAGE auk_dspip_math_pkg; - -package body auk_dspip_math_pkg is - --------------------------------------------------------------------------- - -- LOG2_CEIL Function. - --------------------------------------------------------------------------- - function log2_ceil(arg : in integer) return integer is - variable res : integer; - begin - res := 0; - for i in 0 to 30 loop - if (arg > (2**i)) then - res := i+1; - end if; - end loop; -- i - return res; - end log2_ceil; - --------------------------------------------------------------------------- - -- LOG2_CEIL_ONE Function. - --------------------------------------------------------------------------- - function log2_ceil_one(arg : in integer) return integer is - variable res : integer; - begin - res := 0; - for i in 0 to 30 loop - if (arg > (2**i)) then - res := i+1; - end if; - end loop; -- i - if res = 0 then - res := 1; - end if; - return res; - end log2_ceil_one; - - --------------------------------------------------------------------------- - -- LOG2_FLOOR Function. - ----------------------------------------------------------------------------- - function log2_floor(arg : in integer) return integer is - variable res : integer; - begin - res := 0; - for i in 0 to 30 loop - if (arg >= (2**i)) then - res := i; - end if; - end loop; -- i - return res; - end log2_floor; - - ----------------------------------------------------------------------------- - -- SIGN Function - ----------------------------------------------------------------------------- - function sign (arg : in signed) return std_logic is - variable res : std_logic; - begin - res := arg(arg'left); - return(res); - end sign; - - ----------------------------------------------------------------------------- - -- SIGN_EXTEND Function - ----------------------------------------------------------------------------- - function sign_extend (arg : in signed; size : in positive) return signed is - variable res : signed(size-1 downto 0); - begin - if arg'length > size then - assert arg'length < size report "WARNING, can't sign extend" severity warning; - end if; - for i in arg'length to size-1 loop - res(i) := arg(arg'left); - end loop; -- i - res(arg'length-1 downto 0) := arg; - return(res); - end sign_extend; - - ----------------------------------------------------------------------------- - -- XT1 Function - ----------------------------------------------------------------------------- - function xt1 (arg : in signed) return signed is - variable res : signed(arg'length downto 0); - begin - res := arg(arg'left) & arg; - return(res); - end xt1; - - ----------------------------------------------------------------------------- - -- IS_ODD Function - ----------------------------------------------------------------------------- - function is_odd(arg : integer) return boolean is - begin - return ((arg mod 2) = 1); - end is_odd; - - - ----------------------------------------------------------------------------- - -- AND_REDUCE Function - ----------------------------------------------------------------------------- - function and_reduce(arg : std_logic_vector) return std_logic is - variable res : std_logic; - begin - res := '1'; - for i in arg'range loop - res := res and arg(i); - end loop; - return res; - end; - - function and_reduce(arg : unsigned) return std_logic is - variable res : std_logic; - begin - res := '1'; - for i in arg'range loop - res := res and arg(i); - end loop; - return res; - end; - - - ----------------------------------------------------------------------------- - -- OR_REDUCE Function - ----------------------------------------------------------------------------- - function or_reduce(arg : unsigned) return std_logic is - variable res : std_logic; - begin - res := '0'; - for i in arg'range loop - res := res or arg(i); - end loop; - return res; - end; - - function or_reduce(arg : std_logic_vector) return std_logic is - variable res : std_logic; - begin - res := '0'; - for i in arg'range loop - res := res or arg(i); - end loop; - return res; - end; - - --------------------------------------------------------------------------- - -- HIGHEST_ONE Function. - --------------------------------------------------------------------------- - -- Returns index+1 of the highest asserted bit, or 0 if no bit set. - -- Vector is evaluated from left to right, not high to low! - function highest_one(arg : unsigned) return natural is - begin - for i in arg'range loop - if arg(i) = '1' then - return i+1; - end if; - end loop; - return 0; - end; - - ----------------------------------------------------------------------------- - -- LOWEST_ONE Function - ----------------------------------------------------------------------------- - -- Returns index+1 of the lowest asserted bit, or 0 if no bit set. - -- Vector is evaluated from left to right, not high to low! - function lowest_one(arg : unsigned) return natural is - begin - for i in 0 to arg'length-1 loop - if (arg(i) = '1') then - return(i+1); - end if; - end loop; - return(0); - end; - - ----------------------------------------------------------------------------- - -- COUNT_ONES - --------------------------------------------------------------------------- - -- Returns the count of the number of ones. - function count_ones(arg : unsigned) return natural is - variable count : integer; - begin - count := 0; - for i in 0 to arg'length-1 loop - if (arg(i) = '1') then - count := count + 1; - end if; - end loop; - return count; - end; - - ----------------------------------------------------------------------------- - -- BIT_REVERSE function - ----------------------------------------------------------------------------- - function bit_reverse(arg : unsigned) return unsigned is - variable res : unsigned(arg'range); - begin - for i in arg'range loop - res(i) := arg(arg'high - i); - end loop; - return(res); - end; - - ----------------------------------------------------------------------------- - -- INVERT Function - ----------------------------------------------------------------------------- - function invert(arg : unsigned) - return unsigned -- (word'high downto 0) - is - variable res : unsigned(arg'high downto 0); - begin - - for i in arg'range loop - res(i) := not arg(i); - end loop; - return (res); - end invert; - - ----------------------------------------------------------------------------- - -- HALVE_CEIL Function - ----------------------------------------------------------------------------- - function halve_ceil(arg : natural) return natural is - variable res : natural; - begin - if is_odd(arg) then - res := (arg+1)/2; - else - res := arg/2; - end if; - return (res); - end halve_ceil; - -------------------------------------------------------------------------------- --- DIV_CEIL function -------------------------------------------------------------------------------- - function div_ceil(a : natural; b : natural) return natural is - variable res : natural := a/b; - begin - if res*b /= a then - res := res +1; - end if; - return res; - end div_ceil; - -end package body auk_dspip_math_pkg; diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd deleted file mode 100644 index 54149f3..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd +++ /dev/null @@ -1,309 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_fast_accumulator.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/fu/fast_accum/rtl/auk_dspip_fast_accumulator.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Alex Diaz-Manero --- --- Project : FIR --- --- Description : --- --- Fast pipelined Accumulator for fast filters --- --- --- $Log: auk_dspip_fast_accumulator.vhd,v $ --- Revision 1.2 2007/10/09 19:08:16 admanero --- slight mod to avoid propagation of X through Sum at MSB bits --- --- Revision 1.1 2007/09/24 16:59:34 admanero --- first revision of fast (pipelined) accumulator. --- --- --- ALTERA Confidential and Proprietary --- Copyright 2007 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -library work; -use work.auk_dspip_math_pkg.all; ---use auk_dspip_lib.auk_dspip_lib_pkg.all; - - -entity auk_dspip_pipelined_adder is - generic ( - -- This adder assumes that both operands datain1 and datain2 are of the same data width - -- if that is not the case and the shorter word is (sign) expanded to the size of the bigger then - -- a penalty is incurred in the pipelined implementation. - -- But for the time being I only need the same size :-) So don't make your life too difficult - INWIDTH_g : natural := 42; - -- width of lab in selected device ( 10 or 16 in Cyclone, - -- Cylone II, Stratix and Stratix II. - -- Alex : should I use 19 bits for Stratix III? - -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. - -- Shall I have family generic to set labwidth? - LABWIDTH_g : natural := 38); - port ( - datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); - datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); - clk : in std_logic; - enable : in std_logic; - reset : in std_logic; - dataout : out std_logic_vector(INWIDTH_g downto 0)); -end auk_dspip_pipelined_adder; - - -architecture rtl of auk_dspip_pipelined_adder is - - type NATURAL_ARRAY is array(NATURAL RANGE <>) of NATURAL; - - -- IN_OR_OUT is 0 for IN and 1 for ADD (OUT) - function calc_number_of_stages(FULL_WIDTH, LABWIDTH, IN_OR_OUT : natural) return natural is - variable tmp : natural; - variable result : natural; - begin - tmp := FULL_WIDTH; - result := 0; - while tmp > LABWIDTH+IN_OR_OUT loop - tmp := tmp - LABWIDTH; - result := result + 1; - end loop; - - return result; - end calc_number_of_stages; - - - function calc_width_of_stages(FULL_WIDTH, LABWIDTH, IN_OR_OUT : natural) return natural_array is - Constant STAGES_c : natural := calc_number_of_stages(FULL_WIDTH, LABWIDTH, IN_OR_OUT); - variable tmp : natural; - variable result : natural_array(stages_c downto 0); - begin - tmp := FULL_WIDTH; - for I in 0 to STAGES_c loop - if I=STAGES_c then - result(I) := tmp; - else - result(I) := LABWIDTH; - tmp := tmp - LABWIDTH; - end if; - end loop; - return result; - - end calc_width_of_stages; - --- This stages_c will be either 0, 1 or 2. Rarely it will be 3. --- 39 "divided" by 19 still should yield just 1 (2 stages). but for 40 it should 2 (3 stages) --- So, it should be a function not a just a division. --- For an adder, for simplicity, shouldn't I have the same stages for input and output? Well, leave it like this anyways - Constant STAGES_ADD_c : natural := calc_number_of_stages(INWIDTH_g+1, LABWIDTH_g, 1); --ACCUM_OUT_WIDTH_g / LABWIDTH_g; - Constant WIDTH_STAGES_ADD_c : natural_array(STAGES_ADD_c downto 0) := calc_width_of_stages(INWIDTH_g+1, LABWIDTH_g, 1); - Constant STAGES_IN_c : natural := calc_number_of_stages(INWIDTH_g, LABWIDTH_g, 0); - Constant WIDTH_STAGES_IN_c : natural_array(STAGES_IN_c downto 0) := calc_width_of_stages(INWIDTH_g, LABWIDTH_g, 0); - - type TRIANGLE_DELAY_t is array (natural range<>, natural range<>) of std_logic_vector(LABWIDTH_g-1 downto 0); - type TRIANGLE_DELAY_P1_t is array (natural range<>, natural range<>) of std_logic_vector(LABWIDTH_g downto 0); - type COLUMN_VECTOR_t is array (natural range<>) of std_logic_vector(LABWIDTH_g downto 0); - - signal input1_side_data_scaled_delay_q : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal input1_side_data_scaled_delay_d : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal input2_side_data_scaled_delay_q : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal input2_side_data_scaled_delay_d : TRIANGLE_DELAY_t(STAGES_IN_c downto 0, STAGES_IN_c downto 0); - signal output_side_data_scaled_delay_q : TRIANGLE_DELAY_P1_t(STAGES_ADD_c downto 0, STAGES_ADD_c downto 0); - signal output_side_data_scaled_delay_d : TRIANGLE_DELAY_P1_t(STAGES_ADD_c downto 0, STAGES_ADD_c downto 0); - signal datai1_int : COLUMN_VECTOR_t(STAGES_ADD_c downto 0); - signal datai2_int : COLUMN_VECTOR_t(STAGES_ADD_c downto 0); - signal datao_int : COLUMN_VECTOR_t(STAGES_ADD_c downto 0); - signal cout_cin_d : std_logic_vector(STAGES_ADD_c+1 downto 0); - signal cout_cin_q : std_logic_vector(STAGES_ADD_c+1 downto 0); - signal enb : std_logic; - - -begin - - enb <= enable; - - ifg4: if STAGES_ADD_c>0 generate - reg2 : process(clk, reset) is - begin - if reset = '1' then - cout_cin_q(STAGES_ADD_c+1 downto 1) <= (others => '0'); - elsif rising_edge(clk) then - if enb = '1' then - cout_cin_q(STAGES_ADD_c+1 downto 1) <= cout_cin_d(STAGES_ADD_c+1 downto 1); - end if; - end if; - end process reg2; - end generate ifg4; - - cout_cin_q(0) <= '0'; - - fg1: for J in 0 to STAGES_IN_c generate - - fg1c: for I in 0 to STAGES_IN_c generate - ifg6b: if I <= 1 generate - input1_side_data_scaled_delay_d(I, J)(WIDTH_STAGES_IN_c(J)-1 downto 0) <= datain1(WIDTH_STAGES_IN_c(J)-1 + J*LABWIDTH_g downto J*LABWIDTH_g); - input2_side_data_scaled_delay_d(I, J)(WIDTH_STAGES_IN_c(J)-1 downto 0) <= datain2(WIDTH_STAGES_IN_c(J)-1 + J*LABWIDTH_g downto J*LABWIDTH_g); - end generate ifg6b; - ifg7b: if I > 1 generate - input1_side_data_scaled_delay_d(I, J)(LABWIDTH_g-1 downto 0) <= input1_side_data_scaled_delay_q(I-1, J)(LABWIDTH_g-1 downto 0); - input2_side_data_scaled_delay_d(I, J)(LABWIDTH_g-1 downto 0) <= input2_side_data_scaled_delay_q(I-1, J)(LABWIDTH_g-1 downto 0); - end generate ifg7b; - end generate fg1c; - - end generate fg1; - - reg : process(clk, reset) is - begin - if reset = '1' then - loop1: for I in 1 to STAGES_IN_c loop - loop2: for J in I to STAGES_IN_c loop - input1_side_data_scaled_delay_q(I, J) <= (others => '0'); - input2_side_data_scaled_delay_q(I, J) <= (others => '0'); - end loop loop2; - end loop loop1; - elsif rising_edge(clk) then - if enb = '1' then - loop3: for I in 1 to STAGES_IN_c loop - loop4: for J in I to STAGES_IN_c loop - input1_side_data_scaled_delay_q(I, J) <= input1_side_data_scaled_delay_d(I, J); - input2_side_data_scaled_delay_q(I, J) <= input2_side_data_scaled_delay_d(I, J); - end loop loop4; - end loop loop3; - end if; - end if; - end process reg; - - adding: process(cout_cin_q, datai1_int, datai2_int) - variable tmp_debug_s : signed(LABWIDTH_g downto 0); - variable tmp_debug_u : unsigned(LABWIDTH_g downto 0); - begin - --Only the upper most chunk sum is signed, the other chunks ought to be unsigned - for I in 0 to STAGES_ADD_c loop - if I=STAGES_ADD_c then - if cout_cin_q(I)='1' then - --datao_int(I) <= signed(datai_int(I)) + signed(datas_int(I)) + natural(1); - tmp_debug_s(WIDTH_STAGES_ADD_c(I)-1 downto 0) := signed(datai1_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + signed(datai2_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + natural(1); - else - --datao_int(I) <= signed(datai_int(I)) + signed(datas_int(I)) + natural(0); - tmp_debug_s(WIDTH_STAGES_ADD_c(I)-1 downto 0) := signed(datai1_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + signed(datai2_int(I)(WIDTH_STAGES_ADD_c(I)-1 downto 0)) + natural(0); - end if; - - datao_int(I) <= std_logic_vector(tmp_debug_s); - cout_cin_d(I+1) <= tmp_debug_s(LABWIDTH_g); - else - if cout_cin_q(I)='1' then - --datao_int(I) <= unsigned(datai_int(I)) + unsigned(datas_int(I)) + natural(1); - tmp_debug_u := unsigned(datai1_int(I)) + unsigned(datai2_int(I)) + natural(1); - else - --datao_int(I) <= unsigned(datai_int(I)) + unsigned(datas_int(I)) + natural(0); - tmp_debug_u := unsigned(datai1_int(I)) + unsigned(datai2_int(I)) + natural(0); - end if; - datao_int(I) <= std_logic_vector(tmp_debug_u); - cout_cin_d(I+1) <= tmp_debug_u(LABWIDTH_g); - end if; - end loop; - end process adding; - - - --Always STAGES_ADD_c >= STAGES_IN_c - --when it is greater it needs special handling - fg1b: for I in 0 to STAGES_ADD_c generate - - --I need to split STAGES_ADD_c from STAGES_IN_c - fg2: for J in 0 to I generate - ifg1a: if J=0 and I=0 generate - --In reality the chunks below the MSB are unsigned. Only the upper most (MSB) chunk is signed - -- - datai1_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input1_side_data_scaled_delay_d(0, 0)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - datai2_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input2_side_data_scaled_delay_d(0, 0)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - end generate ifg1a; - ifg1: if J=I and I0 and J>0 generate - --In reality the chunks below the MSB are unsigned. Only the upper most (MSB) chunk is signed - datai1_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input1_side_data_scaled_delay_q(I, J)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - datai2_int(I)(WIDTH_STAGES_IN_c(I) downto 0) <= std_logic_vector('0' & input2_side_data_scaled_delay_q(I, J)(WIDTH_STAGES_IN_c(I)-1 downto 0)); - end generate ifg1; - -------- - --Could it be possible to have STAGES_ADD_c > STAGES_IN_c ? yes, it could => sign extension stages needed herefor datai_int - ifg2a: if J=I and I>=STAGES_IN_c and I STAGES_IN_c) - datai1_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input1_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - datai2_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input2_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - --this last chunk doesn't need sign extension, it would need it if we wanted carry out (i.e. for overflow) - end generate ifg2a; - -------- - -- Only the MSB chunk needs to be signed. Question: Is there a need for a pipelined accumulator with unsigned data? - ifg2: if J=I and I=STAGES_ADD_c generate - datai1_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input1_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - datai2_int(I)(LABWIDTH_g downto 0) <= std_logic_vector(sign_extend(signed(input2_side_data_scaled_delay_q(STAGES_IN_c, J)(WIDTH_STAGES_IN_c(STAGES_IN_c)-1 downto 0)), LABWIDTH_g+1)); - --this last chunk doesn't need sign extension, it would need it if we wanted carry out (i.e. for overflow) - end generate ifg2; - end generate fg2; - - - -- output connection, MSB chunk from _d the remainder from _q - ifg3: if I=STAGES_ADD_c generate - dataout(WIDTH_STAGES_ADD_c(I)-1+LABWIDTH_g*I downto LABWIDTH_g*I) <= output_side_data_scaled_delay_d(STAGES_ADD_c-I, I)(WIDTH_STAGES_ADD_c(I)-1 downto 0); - end generate ifg3; - ifg4: if I 1 generate - output_side_data_scaled_delay_d(I, J)(LABWIDTH_g-1 downto 0) <= output_side_data_scaled_delay_q(I-1, J)(LABWIDTH_g-1 downto 0); - end generate ifg7; - end generate fg3b; - - end generate fg3a; - -- - reg_o : process(clk, reset) is - begin - if reset = '1' then - loop1: for I in 1 to STAGES_ADD_c loop - loop2: for J in 0 to STAGES_ADD_c-I loop - output_side_data_scaled_delay_q(I, J) <= (others => '0'); - end loop loop2; - end loop loop1; - elsif rising_edge(clk) then - if enb = '1' then - loop3: for I in 1 to STAGES_ADD_c loop - loop4: for J in 0 to STAGES_ADD_c-I loop - output_side_data_scaled_delay_q(I, J) <= output_side_data_scaled_delay_d(I, J); - end loop loop4; - end loop loop3; - end if; - end if; - end process reg_o; - -end rtl; - diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd deleted file mode 100644 index 70665a2..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd +++ /dev/null @@ -1,438 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_roundsat.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/fu/roundsat/rtl/auk_dspip_roundsat.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : Volker Mauer --- --- Project : common FU library --- --- Description : --- --- This functional unit can be used to implement various forms of --- rounding, saturation and truncation. --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - - -entity auk_dspip_roundsat is - generic ( - IN_WIDTH_g : natural := 8; -- data width - OUT_WIDTH_g : natural := 8; -- data width - ROUNDING_TYPE_g : string := "TRUNCATE_LOW"; - LATENCY : natural := 1 - ); - - port ( - clk : in std_logic; - reset : in std_logic; - enable : in std_logic; -- global clock enable - datain : in std_logic_vector(IN_WIDTH_g-1 downto 0); - dataout : out std_logic_vector(OUT_WIDTH_g-1 downto 0)); -end entity auk_dspip_roundsat; - -architecture beh of auk_dspip_roundsat is - -begin -- architecture beh - - ----------------------------------------------------------------------------- - -- truncate low - ----------------------------------------------------------------------------- - trunc_low: if ROUNDING_TYPE_g = "TRUNCATE_LOW" generate - begin -- generate trunc_low - trunc_low_p: process (clk) is - begin -- process trunc_low_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end process trunc_low_p; - end generate trunc_low; - - ----------------------------------------------------------------------------- - -- truncate high - ----------------------------------------------------------------------------- - trunc_high: if ROUNDING_TYPE_g = "TRUNCATE_HIGH" generate - begin -- generate trunc_high - trunc_high_p: process (clk) is - begin -- process trunc_high_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - dataout <= datain(OUT_WIDTH_g-1 downto 0); - end if; - end if; - end if; - end process trunc_high_p; - end generate trunc_high; - - ----------------------------------------------------------------------------- - -- saturation - ----------------------------------------------------------------------------- - sat : if ROUNDING_TYPE_g = "SATURATE" generate - begin -- generate sat - sat_p : process (clk) is - begin -- process sat_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- synchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if signed(datain) > 2**(OUT_WIDTH_g-1)-1 then - dataout <= std_logic_vector(to_signed(2**(OUT_WIDTH_g-1)-1, OUT_WIDTH_g)); - elsif signed(datain) < -2**(OUT_WIDTH_g-1) then - dataout <= std_logic_vector(to_signed(-2**(OUT_WIDTH_g-1), OUT_WIDTH_g)); - else - dataout <= datain(OUT_WIDTH_g-1 downto 0); - end if; - end if; - end if; - end if; - end process sat_p; - end generate sat; - - ----------------------------------------------------------------------------- - -- symmetrical saturation - ----------------------------------------------------------------------------- - satsym : if ROUNDING_TYPE_g = "SATURATE_SYM" generate - begin -- generate satsym - satsym_p : process (clk) is - begin -- process satsym_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if signed(datain) > 2**(OUT_WIDTH_g-1)-1 then - dataout <= std_logic_vector(to_signed(2**(OUT_WIDTH_g-1)-1, OUT_WIDTH_g)); - elsif signed(datain) < -2**(OUT_WIDTH_g-1)+1 then - dataout <= std_logic_vector(to_signed(-2**(OUT_WIDTH_g-1)+1, OUT_WIDTH_g)); - else - dataout <= datain(OUT_WIDTH_g-1 downto 0); - end if; - end if; - end if; - end if; - end process satsym_p; - end generate satsym; - - ----------------------------------------------------------------------------- - -- simple rounding (always rounds up) - ----------------------------------------------------------------------------- - round : if ROUNDING_TYPE_g = "ROUND_UP" generate - - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - - begin -- generate round - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - - round_p : process (clk) is - begin -- process round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if RB = '1' then - dataout <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process round_p; - end generate round; - - ----------------------------------------------------------------------------- - -- round towards 0 - ----------------------------------------------------------------------------- - round0 : if ROUNDING_TYPE_g = "ROUND0" generate - signal SB : std_logic; -- sign bit - begin -- generate round0 - - SB <= datain(IN_WIDTH_g-1); - - round0_p : process (clk) is - variable OR_accu : std_logic := '0'; - begin -- process round0_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - OR_accu := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-1 loop - OR_accu := OR_accu or datain(i); - end loop; -- i - if SB = '1' and OR_accu = '1' then - dataout <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process round0_p; - end generate round0; - - ----------------------------------------------------------------------------- - -- round away from 0 - ----------------------------------------------------------------------------- - round_up_sym : if ROUNDING_TYPE_g = "ROUND_UP_SYM" generate - signal SB : std_logic; -- sign bit - signal SB_delayed : std_logic; -- sign bit, delayed - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - signal dataout_temp : std_logic_vector(OUT_WIDTH_g-1 downto 0); - -- internal, readable version of dataoout - - begin -- generate round_up_sym - - SB <= datain(IN_WIDTH_g-1); - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - - round_up_sym_p : process (clk) is - variable OR_accu : std_logic := '0'; - begin -- process round_up_sym_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout_temp <= (others => '0'); - SB_delayed <= '0'; - else - if enable = '1' then - SB_delayed <= SB; - OR_accu := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-1 loop - OR_accu := OR_accu or datain(i); - end loop; -- i - if (SB = '0' and RB = '1') or (SB = '1' and RB='1' and OR_accu='1') then - dataout_temp <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout_temp <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process round_up_sym_p; - - dataout <= dataout_temp; - - assert not(SB_delayed = '0' and dataout_temp(OUT_WIDTH_g-1 downto OUT_WIDTH_g-2)="10") report "Overflow during rounding, dataout invalid. This condition occurs when ROUND_UP_SYM is selected for rounding, and a large integer appears at the input. Please consider changing rounding mode to CONVERGENT rounding, where overflows cannot happen, or apply SATURATION first." severity warning; - - end generate round_up_sym; - - ----------------------------------------------------------------------------- - -- convergent rounding - ----------------------------------------------------------------------------- - conv_round_1 : if ROUNDING_TYPE_g = "CONV_ROUND" and LATENCY = 1 generate - signal LSB : std_logic; -- least significant retained bit - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - - begin -- generate conv_round - - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - LSB <= datain(IN_WIDTH_g-OUT_WIDTH_g); - - conv_round_p1 : process (clk) is - variable OR_accu : std_logic := '0'; - begin -- process conv_round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - OR_accu := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-2 loop - OR_accu := OR_accu or datain(i); - end loop; -- i - if RB = '1' and (LSB = '1' or OR_accu = '1') then - dataout <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datain(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process conv_round_p1; - end generate conv_round_1; - - - conv_round_2 : if ROUNDING_TYPE_g = "CONV_ROUND" and LATENCY = 2 generate - signal LSB : std_logic; -- least significant retained bit - signal RB : std_logic; -- rounding bit (MSB of discarded bit) - signal datareg : std_logic_vector(IN_WIDTH_g-1 downto 0); - signal OR_accu : std_logic; - - begin -- generate conv_round - - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - - conv_round_p : process(clk) is - variable OR_Temp : std_logic := '0'; - begin - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - RB <= '0'; - LSB <= '0'; - OR_accu <= '0'; - datareg <= (others =>'0'); - else - if enable = '1' then - OR_Temp := '0'; - for i in 0 to IN_WIDTH_g-OUT_WIDTH_g-2 loop - OR_Temp := OR_Temp or datain(i); - end loop; -- - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - LSB <= datain(IN_WIDTH_g-OUT_WIDTH_g); - OR_accu <= OR_Temp; - datareg <= datain; - end if; - end if; - end if; - end process conv_round_p; - - conv_round_p2 : process (clk) is - begin -- process conv_round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if RB = '1' and (LSB = '1' or OR_accu = '1') then - dataout <= std_logic_vector(signed(datareg(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datareg(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process conv_round_p2; - end generate conv_round_2; - - -conv_round_3 : if ROUNDING_TYPE_g = "CONV_ROUND" and LATENCY = 3 generate - signal LSB,LSB_R : std_logic; -- least significant retained bit - signal RB,RB_R : std_logic; -- rounding bit (MSB of discarded bit) - signal datareg, datareg_2 : std_logic_vector(IN_WIDTH_g-1 downto 0); - signal OR_accu_1, OR_accu_2 : std_logic; - signal OR_accu : std_logic; - - begin -- generate conv_round - - ----------------------------------------------------------------------------- - -- get relevant bits - ----------------------------------------------------------------------------- - - conv_round_p : process(clk) is - variable OR_Temp_2,OR_Temp_1 : std_logic := '0'; - begin - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - RB <= '0'; - LSB <= '0'; - RB_R <= '0'; - LSB_R <= '0'; - OR_accu_1 <= '0'; - OR_accu_2 <= '0'; - datareg <= (others =>'0'); - else - if enable = '1' then - OR_Temp_1 := '0'; - OR_Temp_2 := '0'; - for i in 0 to (IN_WIDTH_g-OUT_WIDTH_g-2)/2 loop - OR_Temp_1 := OR_Temp_1 or datain(i); - end loop; -- - for i in ((IN_WIDTH_g-OUT_WIDTH_g-2)/2)+1 to (IN_WIDTH_g-OUT_WIDTH_g-2) loop - OR_Temp_2 := OR_Temp_2 or datain(i); - end loop; -- RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - LSB <= datain(IN_WIDTH_g-OUT_WIDTH_g); - LSB_R <= LSB; - RB <= datain(IN_WIDTH_g-OUT_WIDTH_g-1); - RB_R <= RB; - OR_accu_1 <= OR_Temp_1; - OR_accu_2 <= OR_Temp_2; - OR_accu <= OR_accu_1 or OR_accu_2; - datareg <= datain; - datareg_2 <= datareg; - end if; - end if; - end if; - end process conv_round_p; - - conv_round_p2 : process (clk) is - begin -- process conv_round_p - if rising_edge(clk) then -- rising clock edge - if reset = '1' then -- asynchronous reset (active high) - dataout <= (others => '0'); - else - if enable = '1' then - if RB_R = '1' and (LSB_R = '1' or OR_accu = '1') then - dataout <= std_logic_vector(signed(datareg_2(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g))+1); - else - dataout <= datareg_2(IN_WIDTH_g-1 downto IN_WIDTH_g-OUT_WIDTH_g); - end if; - end if; - end if; - end if; - end process conv_round_p2; - end generate conv_round_3; - ----------------------------------------------------------------------------- - -- error checking: - -- Have we got a valid rounding mode? - -- Is the input greater than the output? - ----------------------------------------------------------------------------- - assert (ROUNDING_TYPE_g = "SATURATE" or - ROUNDING_TYPE_g = "SATURATE_SYM" or - ROUNDING_TYPE_g = "ROUND_UP" or - ROUNDING_TYPE_g = "ROUND0" or - ROUNDING_TYPE_g = "ROUND_UP_SYM" or - ROUNDING_TYPE_g = "CONV_ROUND" or - ROUNDING_TYPE_g = "TRUNCATE_LOW" or - ROUNDING_TYPE_g = "TRUNCATE_HIGH" - ) report "Please check your rounding type and its spelling. Currently, we only support SATURATE, SATURATE_SYM, ROUND_UP, ROUND0, CONV_ROUND, TRUNCATE_LOW, TRUNCATE_HIGH" severity error; - assert (((LATENCY = 1) or (ROUNDING_TYPE_g = "CONV_ROUND" and (LATENCY = 3 or LATENCY = 2)))) report "Please check your Latency. Currently we only support latency for all modes and 2 latency for convergent round" severity error; - - -end architecture beh; diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd deleted file mode 100644 index c792d98..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd +++ /dev/null @@ -1,121 +0,0 @@ --- (C) 2001-2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions and other --- software and tools, and its AMPP partner logic functions, and any output --- files from any of the foregoing (including device programming or simulation --- files), and any associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License Subscription --- Agreement, Intel FPGA IP License Agreement, or other applicable --- license agreement, including, without limitation, that your use is for the --- sole purpose of programming logic devices manufactured by Intel and sold by --- Intel or its authorized distributors. Please refer to the applicable --- agreement for further details. - - -------------------------------------------------------------------------- -------------------------------------------------------------------------- --- --- Revision Control Information --- --- $RCSfile: auk_dspip_text_pkg.vhd,v $ --- $Source: /cvs/uksw/dsp_cores/lib/packages/auk_dspip_text_pkg.vhd,v $ --- --- $Revision: #1 $ --- $Date: 2018/07/18 $ --- Check in by : $Author: psgswbuild $ --- Author : DSP_IP --- --- Project : --- --- Description : --- --- Common functions for DSP_IP cores. --- --- --- $Log: auk_dspip_text_pkg.vhd,v $ --- Revision 1.2 2007/05/04 15:33:11 sdemirso --- merge from 7.1 --- --- Revision 1.1 2007/02/01 17:29:45 kmarks --- Initial commit --- --- Revision 1.5 2006/08/17 10:13:02 sdemirso --- log2_ceil_one function added --- --- Revision 1.4 2006/07/28 18:52:50 sdemirso --- no compilation errors with the new directory structure --- --- Revision 1.3 2006/07/28 10:27:30 sdemirso --- Header updated --- --- ALTERA Confidential and Proprietary --- Copyright 2006 (c) Altera Corporation --- All rights reserved --- -------------------------------------------------------------------------- -------------------------------------------------------------------------- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; -use ieee.numeric_std.all; -PACKAGE auk_dspip_text_pkg IS - - - ----------------------------------------------------------------------------- - -- NOTE that these log functions are not intended to synthesize directly - -- into hardware, rather they are used to generate constants for - -- synthesized hardware. - ----------------------------------------------------------------------------- - type array_natural_t is array (natural range <>) of integer; - - function parse_string_array (str : string; size : natural) return array_natural_t; - - function str_to_int (str : string; base : string) return integer; - -END PACKAGE auk_dspip_text_pkg; - -package body auk_dspip_text_pkg is - --------------------------------------------------------------------------- - -- str_to_int Function. Only parses positive decimal values - --------------------------------------------------------------------------- - function str_to_int(str : string; base : string) return integer is - variable res : integer; - variable base_cnt : integer; - begin - res := 0; - base_cnt:=1; - for i in str'length downto 1 loop - if str(i) /= ' ' then - res := res + (character'pos(str(i)) - character'pos('0'))*base_cnt; - base_cnt:=base_cnt*10; - end if; - end loop; -- i - return res; - end str_to_int; - - --------------------------------------------------------------------------- - -- parse_string_array Function. - --------------------------------------------------------------------------- - function parse_string_array(str : string; size : natural) return array_natural_t is - variable this_str : string(1 to 32); - variable cnt_char : natural; -- how many characters have we seen - variable cnt_str : natural:=0; - variable res : array_natural_t(0 to size-1); - begin - this_str := (others => ' '); - cnt_char := 1; - for i in str'left to str'right loop - if str(i) = ',' then - res(cnt_str) := str_to_int(this_str, "DEC"); - cnt_char := 1; - cnt_str := cnt_str + 1; - this_str := (others => ' '); - else - this_str(cnt_char) := str(i); - cnt_char := cnt_char+1; - end if; - end loop; -- i - res(cnt_str) := str_to_int(this_str, "DEC"); - return res; - end parse_string_array; - - end package body auk_dspip_text_pkg; diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd deleted file mode 100644 index fdfa848..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv b/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv deleted file mode 100644 index 76d2504..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/counter_module.sv b/FPGA_61.440/db/ip/tx_cic/submodules/counter_module.sv deleted file mode 100644 index 4385698..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/counter_module.sv and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/hyper_pipeline_interface.v b/FPGA_61.440/db/ip/tx_cic/submodules/hyper_pipeline_interface.v deleted file mode 100644 index a9bd59a..0000000 Binary files a/FPGA_61.440/db/ip/tx_cic/submodules/hyper_pipeline_interface.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv b/FPGA_61.440/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv deleted file mode 100644 index 0e99d24..0000000 --- a/FPGA_61.440/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv +++ /dev/null @@ -1,217 +0,0 @@ -// (C) 2001-2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - - -module tx_cic_cic_ii_0 ( - in_data, - out_ready, - in_valid, - clk, - clken, - reset_n, - in_ready, - in_error, - out_error, - out_data, - out_valid - ); - - parameter DEVICE_FAMILY = "Cyclone IV E"; - - - - parameter FILTER_TYPE = "interpolator"; - parameter STAGES = 6; - parameter D_DELAY = 1; - parameter VRC_EN = 0; - parameter RCF_MAX = 3200; - parameter RCF_MIN = 3200; - parameter INTERFACES = 1; - parameter CH_PER_INT = 1; - parameter INT_USE_MEM = "false"; - parameter INT_MEM = "auto"; - parameter DIF_USE_MEM = "false"; - parameter DIF_MEM = "auto"; - parameter IN_WIDTH = 16; - parameter OUT_WIDTH = 16; - parameter ROUND_TYPE = "TRUNCATE"; - parameter PIPELINING = 0; - - - parameter C_STAGE_0_WIDTH = 75; - parameter C_STAGE_1_WIDTH = 75; - parameter C_STAGE_2_WIDTH = 75; - parameter C_STAGE_3_WIDTH = 75; - parameter C_STAGE_4_WIDTH = 75; - parameter C_STAGE_5_WIDTH = 75; - parameter C_STAGE_6_WIDTH = 75; - parameter C_STAGE_7_WIDTH = 75; - parameter C_STAGE_8_WIDTH = 75; - parameter C_STAGE_9_WIDTH = 75; - parameter C_STAGE_10_WIDTH = 75; - parameter C_STAGE_11_WIDTH = 75; - parameter MAX_C_STAGE_WIDTH = 75; - - parameter I_STAGE_0_WIDTH = 75; - parameter I_STAGE_1_WIDTH = 75; - parameter I_STAGE_2_WIDTH = 75; - parameter I_STAGE_3_WIDTH = 75; - parameter I_STAGE_4_WIDTH = 75; - parameter I_STAGE_5_WIDTH = 75; - parameter I_STAGE_6_WIDTH = 75; - parameter I_STAGE_7_WIDTH = 75; - parameter I_STAGE_8_WIDTH = 75; - parameter I_STAGE_9_WIDTH = 75; - parameter I_STAGE_10_WIDTH = 75; - parameter I_STAGE_11_WIDTH = 75; - parameter MAX_I_STAGE_WIDTH = 75; - - localparam TOTAL_CHANNELS = CH_PER_INT*INTERFACES; - - localparam INTERFACES_IN = (FILTER_TYPE=="decimator" & INTERFACES > 1) ? INTERFACES : 1 ; - localparam INTERFACES_OUT = (FILTER_TYPE=="interpolator" & INTERFACES > 1) ? INTERFACES : 1 ; - localparam CHANNEL_SIZE_OUT = (FILTER_TYPE=="interpolator" & INTERFACES > 1) ? CH_PER_INT : TOTAL_CHANNELS ; - localparam CHANNEL_SIZE_IN = (FILTER_TYPE=="decimator" & INTERFACES > 1) ? CH_PER_INT : TOTAL_CHANNELS ; - localparam CHANNEL_OUT_WIDTH = (CHANNEL_SIZE_OUT > 1) ? $clog2(CHANNEL_SIZE_OUT) : 1; - localparam NUMBER_OF_CHANNELS = INTERFACES*CH_PER_INT; - localparam RATE_FACTOR_WIDTH = $clog2(RCF_MAX+1); - localparam CHANNEL_WIDTH = $clog2(TOTAL_CHANNELS); - localparam COUNTER_FS_MAX = RCF_MAX*NUMBER_OF_CHANNELS; - - //latency calculations - //localparam COMB_STAGE_LATENCY = 1; - //localparam COMB_SECTION_LATENCY = COMB_STAGE_LATENCY*STAGES; - //localparam INT_SECTION_LATENCY = (~INT_USE_MEM & PIPELINING > 1) ? PIPELINING*STAGES: STAGES*NUMBER_OF_CHANNELS; - //localparam MUX_LATENCY = NUMBER_OF_CHANNELS*(INTERFACES-1)+1; - //localparam DEC_SISO_LATENCY = COMB_SECTION_LATENCY + INT_SECTION_LATENCY; - //localparam INT_SISO_LATENCY = COMB_SECTION_LATENCY + INT_SECTION_LATENCY; - //localparam DEC_MISO_LATENCY = COMB_SECTION_LATENCY+INT_SECTION_LATENCY+2; - //localparam INT_SIMO_LATENCY = COMB_SECTION_LATENCY+INT_SECTION_LATENCY+MUX_LATENCY ; - //localparam S_LATENCY = (FILTER_TYPE == "decimator") ? DEC_SISO_LATENCY : INT_SISO_LATENCY; - //localparam M_LATENCY = (FILTER_TYPE == "decimator") ? DEC_MISO_LATENCY : INT_SIMO_LATENCY; - //localparam TOTAL_LATENCY = (INTERFACES > 1) ? M_LATENCY : S_LATENCY; - //localparam CLOCKS_PER_SAMPLE = RCF_MIN/INTERFACES; - - - - - - - - - - - -input clk; -input clken; -input reset_n; -logic [RATE_FACTOR_WIDTH-1:0] rate; -logic in_startofpacket; -logic in_endofpacket; -output in_ready; -input in_valid; -logic [CHANNEL_OUT_WIDTH-1:0] out_channel; -logic out_startofpacket; -logic out_endofpacket; -input [1:0] in_error; -output [1:0] out_error; -input out_ready; -output out_valid; -input [IN_WIDTH-1:0] in_data; -output [OUT_WIDTH-1:0] out_data; - -wire [IN_WIDTH-1:0] din [INTERFACES_IN-1:0]; -wire [OUT_WIDTH-1:0] dout [INTERFACES_OUT-1:0]; - - - - - -assign din[0] = in_data; - -assign out_data = dout[0]; -assign in_startofpacket = 1'b1; -assign in_endofpacket = 1'b1; -assign rate = '0; - - - - alt_cic_core #( - .DEVICE_FAMILY (DEVICE_FAMILY), - .FILTER_TYPE (FILTER_TYPE), - .STAGES (STAGES), - .D_DELAY (D_DELAY), - .VRC_EN (VRC_EN), - .RCF_MAX (RCF_MAX), - .RCF_MIN (RCF_MIN), - .INTERFACES (INTERFACES), - .CH_PER_INT (CH_PER_INT), - .INT_USE_MEM (INT_USE_MEM), - .INT_MEM (INT_MEM), - .DIF_USE_MEM (DIF_USE_MEM), - .DIF_MEM (DIF_MEM), - .IN_WIDTH (IN_WIDTH), - .OUT_WIDTH (OUT_WIDTH), - .ROUND_TYPE (ROUND_TYPE), - .PIPELINING (PIPELINING), - - .C_STAGE_0_WIDTH(C_STAGE_0_WIDTH), - .C_STAGE_1_WIDTH(C_STAGE_1_WIDTH), - .C_STAGE_2_WIDTH(C_STAGE_2_WIDTH), - .C_STAGE_3_WIDTH(C_STAGE_3_WIDTH), - .C_STAGE_4_WIDTH(C_STAGE_4_WIDTH), - .C_STAGE_5_WIDTH(C_STAGE_5_WIDTH), - .C_STAGE_6_WIDTH(C_STAGE_6_WIDTH), - .C_STAGE_7_WIDTH(C_STAGE_7_WIDTH), - .C_STAGE_8_WIDTH(C_STAGE_8_WIDTH), - .C_STAGE_9_WIDTH(C_STAGE_9_WIDTH), - .C_STAGE_10_WIDTH(C_STAGE_10_WIDTH), - .C_STAGE_11_WIDTH(C_STAGE_11_WIDTH), - .MAX_C_STAGE_WIDTH(MAX_C_STAGE_WIDTH), - - .I_STAGE_0_WIDTH(I_STAGE_0_WIDTH), - .I_STAGE_1_WIDTH(I_STAGE_1_WIDTH), - .I_STAGE_2_WIDTH(I_STAGE_2_WIDTH), - .I_STAGE_3_WIDTH(I_STAGE_3_WIDTH), - .I_STAGE_4_WIDTH(I_STAGE_4_WIDTH), - .I_STAGE_5_WIDTH(I_STAGE_5_WIDTH), - .I_STAGE_6_WIDTH(I_STAGE_6_WIDTH), - .I_STAGE_7_WIDTH(I_STAGE_7_WIDTH), - .I_STAGE_8_WIDTH(I_STAGE_8_WIDTH), - .I_STAGE_9_WIDTH(I_STAGE_9_WIDTH), - .I_STAGE_10_WIDTH(I_STAGE_10_WIDTH), - .I_STAGE_11_WIDTH(I_STAGE_11_WIDTH), - .MAX_I_STAGE_WIDTH(MAX_I_STAGE_WIDTH) - ) core ( - .clk(clk), - .clken(clken), - .reset_n(reset_n), - .rate(rate), - .in_startofpacket(in_startofpacket), - .in_endofpacket(in_endofpacket), - .in_data(din), - .in_ready(in_ready), - .in_valid(in_valid), - .out_channel(out_channel), - .out_startofpacket(out_startofpacket), - .out_endofpacket(out_endofpacket), - .in_error(in_error), - .out_error(out_error), - .out_ready(out_ready), - .out_data(dout), - .out_valid(out_valid) - ); - defparam core.HYPER_PIPELINE = 0; - - -endmodule diff --git a/FPGA_61.440/db/ip/tx_cic/tx_cic.bsf b/FPGA_61.440/db/ip/tx_cic/tx_cic.bsf deleted file mode 100644 index 9da2ed1..0000000 --- a/FPGA_61.440/db/ip/tx_cic/tx_cic.bsf +++ /dev/null @@ -1,129 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 256 232) - (text "tx_cic" (rect 111 -1 134 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 216 20 228)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "in_error[1..0]" (rect 0 0 50 12)(font "Arial" (font_size 8))) - (text "in_error[1..0]" (rect 4 61 88 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 80 72)(line_width 3)) - ) - (port - (pt 0 88) - (input) - (text "in_valid" (rect 0 0 29 12)(font "Arial" (font_size 8))) - (text "in_valid" (rect 4 77 52 88)(font "Arial" (font_size 8))) - (line (pt 0 88)(pt 80 88)(line_width 1)) - ) - (port - (pt 0 120) - (input) - (text "in_data[15..0]" (rect 0 0 51 12)(font "Arial" (font_size 8))) - (text "in_data[15..0]" (rect 4 109 88 120)(font "Arial" (font_size 8))) - (line (pt 0 120)(pt 80 120)(line_width 3)) - ) - (port - (pt 256 120) - (input) - (text "out_ready" (rect 0 0 41 12)(font "Arial" (font_size 8))) - (text "out_ready" (rect 204 109 258 120)(font "Arial" (font_size 8))) - (line (pt 256 120)(pt 176 120)(line_width 1)) - ) - (port - (pt 0 160) - (input) - (text "clk" (rect 0 0 10 12)(font "Arial" (font_size 8))) - (text "clk" (rect 4 149 22 160)(font "Arial" (font_size 8))) - (line (pt 0 160)(pt 80 160)(line_width 1)) - ) - (port - (pt 0 200) - (input) - (text "reset_n" (rect 0 0 30 12)(font "Arial" (font_size 8))) - (text "reset_n" (rect 4 189 46 200)(font "Arial" (font_size 8))) - (line (pt 0 200)(pt 80 200)(line_width 1)) - ) - (port - (pt 0 104) - (output) - (text "in_ready" (rect 0 0 35 12)(font "Arial" (font_size 8))) - (text "in_ready" (rect 4 93 52 104)(font "Arial" (font_size 8))) - (line (pt 0 104)(pt 80 104)(line_width 1)) - ) - (port - (pt 256 72) - (output) - (text "out_data[15..0]" (rect 0 0 57 12)(font "Arial" (font_size 8))) - (text "out_data[15..0]" (rect 183 61 273 72)(font "Arial" (font_size 8))) - (line (pt 256 72)(pt 176 72)(line_width 3)) - ) - (port - (pt 256 88) - (output) - (text "out_error[1..0]" (rect 0 0 56 12)(font "Arial" (font_size 8))) - (text "out_error[1..0]" (rect 186 77 276 88)(font "Arial" (font_size 8))) - (line (pt 256 88)(pt 176 88)(line_width 3)) - ) - (port - (pt 256 104) - (output) - (text "out_valid" (rect 0 0 35 12)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 264 104)(font "Arial" (font_size 8))) - (line (pt 256 104)(pt 176 104)(line_width 1)) - ) - (drawing - (text "av_st_in" (rect 31 43 110 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "error" (rect 85 67 200 144)(font "Arial" (color 0 0 0))) - (text "valid" (rect 85 83 200 176)(font "Arial" (color 0 0 0))) - (text "ready" (rect 85 99 200 208)(font "Arial" (color 0 0 0))) - (text "in_data" (rect 85 115 212 240)(font "Arial" (color 0 0 0))) - (text "av_st_out" (rect 177 43 408 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "out_data" (rect 136 67 320 144)(font "Arial" (color 0 0 0))) - (text "error" (rect 151 83 332 176)(font "Arial" (color 0 0 0))) - (text "valid" (rect 153 99 336 208)(font "Arial" (color 0 0 0))) - (text "ready" (rect 148 115 326 240)(font "Arial" (color 0 0 0))) - (text "clock" (rect 52 131 134 275)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clk" (rect 85 155 188 320)(font "Arial" (color 0 0 0))) - (text "reset" (rect 51 171 132 355)(font "Arial" (color 128 0 0)(font_size 9))) - (text "reset_n" (rect 85 195 212 400)(font "Arial" (color 0 0 0))) - (text " tx_cic " (rect 227 216 502 442)(font "Arial" )) - (line (pt 80 32)(pt 176 32)(line_width 1)) - (line (pt 176 32)(pt 176 216)(line_width 1)) - (line (pt 80 216)(pt 176 216)(line_width 1)) - (line (pt 80 32)(pt 80 216)(line_width 1)) - (line (pt 81 52)(pt 81 124)(line_width 1)) - (line (pt 82 52)(pt 82 124)(line_width 1)) - (line (pt 175 52)(pt 175 124)(line_width 1)) - (line (pt 174 52)(pt 174 124)(line_width 1)) - (line (pt 81 140)(pt 81 164)(line_width 1)) - (line (pt 82 140)(pt 82 164)(line_width 1)) - (line (pt 81 180)(pt 81 204)(line_width 1)) - (line (pt 82 180)(pt 82 204)(line_width 1)) - (line (pt 0 0)(pt 256 0)(line_width 1)) - (line (pt 256 0)(pt 256 232)(line_width 1)) - (line (pt 0 232)(pt 256 232)(line_width 1)) - (line (pt 0 0)(pt 0 232)(line_width 1)) - ) -) diff --git a/FPGA_61.440/db/ip/tx_cic/tx_cic.debuginfo b/FPGA_61.440/db/ip/tx_cic/tx_cic.debuginfo deleted file mode 100644 index 00476b7..0000000 --- a/FPGA_61.440/db/ip/tx_cic/tx_cic.debuginfo +++ /dev/null @@ -1,858 +0,0 @@ - - - - - - - com.altera.sopcmodel.ensemble.EClockAdapter - HANDSHAKE - false - true - true - true - - - java.lang.String - EP4CE10E22C8 - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - - - java.lang.String - 8 - false - true - false - true - - - com.altera.sopcmodel.ensemble.Ensemble$EFabricMode - QSYS - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1617214539 - false - true - true - true - - - boolean - false - false - true - false - true - - - com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage - VERILOG - false - false - false - true - - - boolean - true - false - true - true - true - - - com.altera.sopcmodel.definition.BoundaryDefinition - - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - WOLF-LITE.qpf - false - true - false - true - - - boolean - false - false - true - false - true - - - long - 0 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - long - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - - - java.lang.String - NATIVE - false - true - false - true - DESIGN_ENVIRONMENT - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - interpolator - false - true - true - true - - - int - 6 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 3200 - false - true - true - true - - - int - 8 - false - false - false - true - - - int - 21 - false - false - false - true - - - int - 3200 - true - true - false - true - - - int - 3200 - true - true - false - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 16 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - TRUNCATE - false - true - true - true - - - int - 16 - false - true - true - true - - - int - 16 - true - false - false - true - - - boolean - false - true - false - false - true - - - java.lang.String - auto - true - true - true - true - - - java.lang.String - logic_element - false - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - auto - true - true - true - true - - - java.lang.String - logic_element - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - true - false - true - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 75 - true - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - true - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clock - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - in_error - Input - 2 - error - - - in_valid - Input - 1 - valid - - - in_ready - Output - 1 - ready - - - in_data - Input - 16 - in_data - - - - - - ui.blockdiagram.direction - OUTPUT - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - out_data - Output - 16 - out_data - - - out_error - Output - 2 - error - - - out_valid - Output - 1 - valid - - - out_ready - Input - 1 - ready - - - - - 1 - altera_cic_ii - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - CIC - 18.1 - - - 1 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 18.1 - - - 1 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input - 18.1 - - - 2 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - 18.1 625 - 08626627EDAB00000178897F8C06 - diff --git a/FPGA_61.440/db/ip/tx_cic/tx_cic.qip b/FPGA_61.440/db/ip/tx_cic/tx_cic.qip deleted file mode 100644 index d45e441..0000000 --- a/FPGA_61.440/db/ip/tx_cic/tx_cic.qip +++ /dev/null @@ -1,114 +0,0 @@ -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "tx_cic" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../../tx_cic.sopcinfo"] -set_global_assignment -entity "tx_cic" -library "tx_cic" -name SLD_INFO "QSYS_NAME tx_cic HAS_SOPCINFO 1 GENERATION_ID 1617214539" -set_global_assignment -library "tx_cic" -name SLD_FILE [file join $::quartus(qip_path) "tx_cic.debuginfo"] -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_QSYS_MODE "STANDALONE" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -library "tx_cic" -name MISC_FILE [file join $::quartus(qip_path) "../../../tx_cic.qsys"] -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_NAME "dHhfY2lj" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_DISPLAY_NAME "dHhfY2lj" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_REPORT_HIERARCHY "On" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYxNzIxNDUzOQ==::QXV0byBHRU5FUkFUSU9OX0lE" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMEUyMkM4::QXV0byBERVZJQ0U=" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19DTE9DS19SQVRF::LTE=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19DTE9DS19ET01BSU4=::LTE=::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "tx_cic" -library "tx_cic" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19SRVNFVF9ET01BSU4=::LTE=::QXV0byBSRVNFVF9ET01BSU4=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_NAME "dHhfY2ljX2NpY19paV8w" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_DISPLAY_NAME "Q0lD" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIENhc2NhZGVkIEludGVncmF0b3IgQ29tYiBJSQ==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "ZGVzaWduX2Vudg==::TkFUSVZF::ZGVzaWduX2Vudg==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBJViBF::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "RklMVEVSX1RZUEU=::aW50ZXJwb2xhdG9y::RmlsdGVyIHR5cGU=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "U1RBR0VT::Ng==::TnVtYmVyIG9mIHN0YWdlcw==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "RF9ERUxBWQ==::MQ==::RGlmZmVyZW50aWFsIGRlbGF5" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "VlJDX0VO::MA==::RW5hYmxlIHZhcmlhYmxlIHJhdGUgY2hhbmdlIGZhY3Rvcg==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "UkNGX0ZJWA==::MzIwMA==::UmF0ZSBjaGFuZ2UgZmFjdG9y" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "UkNGX01JTg==::MzIwMA==::UkNGX01JTg==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "UkNGX01BWA==::MzIwMA==::UkNGX01BWA==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SU5URVJGQUNFUw==::MQ==::TnVtYmVyIG9mIGludGVyZmFjZXM=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q0hfUEVSX0lOVA==::MQ==::TnVtYmVyIG9mIGNoYW5uZWxzIHBlciBpbnRlcmZhY2U=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SU5fV0lEVEg=::MTY=::SW5wdXQgZGF0YSB3aWR0aA==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q0xLX0VOX1BPUlQ=::ZmFsc2U=::VXNlIGNsb2NrIGVuYWJsZSBwb3J0" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Uk9VTkRfVFlQRQ==::VFJVTkNBVEU=::T3V0cHV0IFJvdW5kaW5nIE1ldGhvZA==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "UkVRX09VVF9XSURUSA==::MTY=::T3V0cHV0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SU5UX01FTQ==::YXV0bw==::SW50ZWdyYXRvciBkYXRhIHN0b3JhZ2UgdHlwZQ==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "UkVRX0lOVF9NRU0=::bG9naWNfZWxlbWVudA==::SW50ZWdyYXRvciBkYXRhIHN0b3JhZ2UgdHlwZQ==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "RElGX1VTRV9NRU0=::ZmFsc2U=::TWFwIGRpZmZlcmVudGlhdG9yIGRhdGEgc3RvcmFnZSB0byBtZW1vcnk=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "RElGX01FTQ==::YXV0bw==::RGlmZmVyZW50aWF0b3IgZGF0YSBzdG9yYWdlIHR5cGU=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "UkVRX0RJRl9NRU0=::bG9naWNfZWxlbWVudA==::RGlmZmVyZW50aWF0b3IgZGF0YSBzdG9yYWdlIHR5cGU=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "UkVRX1BJUEVMSU5F::MA==::UGlwZWxpbmUgc3RhZ2VzIHBlciBpbnRlZ3JhdG9y" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8wX1dJRFRI::NzU=::Q19TVEFHRV8wX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8wX1dJRFRI::NzU=::SV9TVEFHRV8wX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8xX1dJRFRI::NzU=::Q19TVEFHRV8xX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8xX1dJRFRI::NzU=::SV9TVEFHRV8xX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8yX1dJRFRI::NzU=::Q19TVEFHRV8yX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8yX1dJRFRI::NzU=::SV9TVEFHRV8yX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8zX1dJRFRI::NzU=::Q19TVEFHRV8zX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8zX1dJRFRI::NzU=::SV9TVEFHRV8zX1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV80X1dJRFRI::NzU=::Q19TVEFHRV80X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV80X1dJRFRI::NzU=::SV9TVEFHRV80X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV81X1dJRFRI::NzU=::Q19TVEFHRV81X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV81X1dJRFRI::NzU=::SV9TVEFHRV81X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV82X1dJRFRI::NzU=::Q19TVEFHRV82X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV82X1dJRFRI::NzU=::SV9TVEFHRV82X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV83X1dJRFRI::NzU=::Q19TVEFHRV83X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV83X1dJRFRI::NzU=::SV9TVEFHRV83X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV84X1dJRFRI::NzU=::Q19TVEFHRV84X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV84X1dJRFRI::NzU=::SV9TVEFHRV84X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV85X1dJRFRI::NzU=::Q19TVEFHRV85X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV85X1dJRFRI::NzU=::SV9TVEFHRV85X1dJRFRI" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8xMF9XSURUSA==::NzU=::Q19TVEFHRV8xMF9XSURUSA==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8xMF9XSURUSA==::NzU=::SV9TVEFHRV8xMF9XSURUSA==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "Q19TVEFHRV8xMV9XSURUSA==::NzU=::Q19TVEFHRV8xMV9XSURUSA==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "SV9TVEFHRV8xMV9XSURUSA==::NzU=::SV9TVEFHRV8xMV9XSURUSA==" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "TUFYX0lfU1RBR0VfV0lEVEg=::NzU=::TUFYX0lfU1RBR0VfV0lEVEg=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "TUFYX0NfU1RBR0VfV0lEVEg=::NzU=::TUFYX0NfU1RBR0VfV0lEVEg=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0X3NlbGVjdA==::MA==::T3B0aW1pemUgZm9yIFN0cmF0aXggMTA=" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0::MA==::aHlwZXJfb3B0" - -set_global_assignment -library "tx_cic" -name VERILOG_FILE [file join $::quartus(qip_path) "tx_cic.v"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_math_pkg.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_text_pkg.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_lib_pkg.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_small_fifo.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_controller.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_sink.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_avalon_streaming_source.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_delay.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_fastaddsub.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_fastadd.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_pipelined_adder.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_roundsat.vhd"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_dsp_cic_common_pkg.sv"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_cic_lib_pkg.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_differentiator.vhd"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_downsample.sv"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_integrator.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_upsample.vhd"] -set_global_assignment -library "tx_cic" -name VHDL_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_channel_buffer.vhd"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/auk_dspip_variable_downsample.sv"] -set_global_assignment -library "tx_cic" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/hyper_pipeline_interface.v"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/counter_module.sv"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_int_siso.sv"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_dec_siso.sv"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_int_simo.sv"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_dec_miso.sv"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/alt_cic_core.sv"] -set_global_assignment -library "tx_cic" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/alt_cic_core.ocp"] -set_global_assignment -library "tx_cic" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/tx_cic_cic_ii_0.sv"] - -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_TOOL_NAME "altera_cic_ii" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "tx_cic_cic_ii_0" -library "tx_cic" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/tx_cic/tx_cic.v b/FPGA_61.440/db/ip/tx_cic/tx_cic.v deleted file mode 100644 index d78f193..0000000 --- a/FPGA_61.440/db/ip/tx_cic/tx_cic.v +++ /dev/null @@ -1,33 +0,0 @@ -// tx_cic.v - -// Generated using ACDS version 18.1 625 - -`timescale 1 ps / 1 ps -module tx_cic ( - input wire [1:0] in_error, // av_st_in.error - input wire in_valid, // .valid - output wire in_ready, // .ready - input wire [15:0] in_data, // .in_data - output wire [15:0] out_data, // av_st_out.out_data - output wire [1:0] out_error, // .error - output wire out_valid, // .valid - input wire out_ready, // .ready - input wire clk, // clock.clk - input wire reset_n // reset.reset_n - ); - - tx_cic_cic_ii_0 cic_ii_0 ( - .clk (clk), // clock.clk - .reset_n (reset_n), // reset.reset_n - .in_error (in_error), // av_st_in.error - .in_valid (in_valid), // .valid - .in_ready (in_ready), // .ready - .in_data (in_data), // .in_data - .out_data (out_data), // av_st_out.out_data - .out_error (out_error), // .error - .out_valid (out_valid), // .valid - .out_ready (out_ready), // .ready - .clken (1'b1) // (terminated) - ); - -endmodule diff --git a/FPGA_61.440/db/ip/tx_cic/tx_cic__report.html b/FPGA_61.440/db/ip/tx_cic/tx_cic__report.html deleted file mode 100644 index cd2ed26..0000000 --- a/FPGA_61.440/db/ip/tx_cic/tx_cic__report.html +++ /dev/null @@ -1,349 +0,0 @@ - - - - - datasheet for tx_cic - - - - - - - - -
tx_cic -
-
-
- - - - - -
2021.03.31.22:15:40Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

cic_ii_0

altera_cic_ii v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
design_envNATIVE
selected_device_familyCYCLONEIVE
FILTER_TYPEinterpolator
STAGES6
D_DELAY1
VRC_EN0
RCF_FIX3200
RCF_LB8
RCF_UB21
RCF_MIN3200
RCF_MAX3200
INTERFACES1
CH_PER_INT1
IN_WIDTH16
CLK_EN_PORTfalse
ROUND_TYPETRUNCATE
REQ_OUT_WIDTH16
OUT_WIDTH16
INT_USE_MEMfalse
INT_MEMauto
REQ_INT_MEMlogic_element
DIF_USE_MEMfalse
DIF_MEMauto
REQ_DIF_MEMlogic_element
REQ_PIPELINE0
PIPELINING0
C_STAGE_0_WIDTH75
I_STAGE_0_WIDTH75
C_STAGE_1_WIDTH75
I_STAGE_1_WIDTH75
C_STAGE_2_WIDTH75
I_STAGE_2_WIDTH75
C_STAGE_3_WIDTH75
I_STAGE_3_WIDTH75
C_STAGE_4_WIDTH75
I_STAGE_4_WIDTH75
C_STAGE_5_WIDTH75
I_STAGE_5_WIDTH75
C_STAGE_6_WIDTH75
I_STAGE_6_WIDTH75
C_STAGE_7_WIDTH75
I_STAGE_7_WIDTH75
C_STAGE_8_WIDTH75
I_STAGE_8_WIDTH75
C_STAGE_9_WIDTH75
I_STAGE_9_WIDTH75
C_STAGE_10_WIDTH75
I_STAGE_10_WIDTH75
C_STAGE_11_WIDTH75
I_STAGE_11_WIDTH75
MAX_I_STAGE_WIDTH75
MAX_C_STAGE_WIDTH75
hyper_opt_select0
hyper_opt0
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,04 seconds
- - diff --git a/FPGA_61.440/db/ip/tx_cic/tx_cic__report.xml b/FPGA_61.440/db/ip/tx_cic/tx_cic__report.xml deleted file mode 100644 index 1dd3a5e..0000000 --- a/FPGA_61.440/db/ip/tx_cic/tx_cic__report.xml +++ /dev/null @@ -1,435 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:tx_cic "tx_cic" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - tx_cic" reuses altera_cic_ii "submodules/tx_cic_cic_ii_0"]]> - queue size: 0 starting:altera_cic_ii "submodules/tx_cic_cic_ii_0" - tx_cic" instantiated altera_cic_ii "cic_ii_0"]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:altera_cic_ii "submodules/tx_cic_cic_ii_0" - tx_cic" instantiated altera_cic_ii "cic_ii_0"]]> - - - diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altq.ocp b/FPGA_61.440/db/ip/tx_nco/submodules/asj_altq.ocp deleted file mode 100644 index 1bd2b88..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altq.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcash.ocp b/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcash.ocp deleted file mode 100644 index ccc465c..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcash.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcpipe.ocp b/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcpipe.ocp deleted file mode 100644 index 9cae72b..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcpipe.ocp and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcpipe.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcpipe.v deleted file mode 100644 index 36513b7..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_altqmcpipe.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_gam_dp.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_gam_dp.v deleted file mode 100644 index 9664a86..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_gam_dp.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v deleted file mode 100644 index 9a264e0..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v deleted file mode 100644 index 7c3b46c..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_derot.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_derot.v deleted file mode 100644 index cf2428b..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_derot.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_isdr.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_isdr.v deleted file mode 100644 index 0017f76..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_isdr.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_madx_cen.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_madx_cen.v deleted file mode 100644 index 2590a31..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_madx_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_mady_cen.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_mady_cen.v deleted file mode 100644 index 20fdefe..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_mady_cen.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_mob_w.v b/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_mob_w.v deleted file mode 100644 index 39e5e62..0000000 Binary files a/FPGA_61.440/db/ip/tx_nco/submodules/asj_nco_mob_w.v and /dev/null differ diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v b/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v deleted file mode 100644 index 7aedc86..0000000 --- a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v +++ /dev/null @@ -1,435 +0,0 @@ -// Copyright (C) 1988-2012 Altera Corporation - -// Any megafunction design, and related net list (encrypted or decrypted), -// support information, device programming or simulation file, and any other -// associated documentation or information provided by Altera or a partner -// under Altera's Megafunction Partnership Program may be used only to -// program PLD devices (but not masked PLD devices) from Altera. Any other -// use of such megafunction design, net list, support information, device -// programming or simulation file, or any other related documentation or -// information is prohibited for any other purpose, including, but not -// limited to modification, reverse engineering, de-compiling, or use with -// any other silicon devices, unless such use is explicitly licensed under -// a separate agreement with Altera or a megafunction partner. Title to -// the intellectual property, including patents, copyrights, trademarks, -// trade secrets, or maskworks, embodied in any such megafunction design, -// net list, support information, device programming or simulation file, or -// any other related documentation or information provided by Altera or a -// megafunction partner, remains with Altera, the megafunction partner, or -// their respective licensors. No other licenses, including any licenses -// needed under any third party's intellectual property, are provided herein. - - -module tx_nco_nco_ii_0(clk, reset_n, clken, phi_inc_i, fsin_o, fcos_o, out_valid); - -parameter mpr = 16; -parameter opr = 32; -parameter apr = 22; -parameter apri= 22; -parameter aprf= 32; -parameter aprp= 16; -parameter aprid=27; -parameter dpri= 4; -parameter rdw = 16; -parameter rawc = 11; -parameter rnwc = 2048; -parameter rawf = 11; -parameter rnwf = 2048; -parameter Pn = 1048576; -parameter mxnbc = 32768; -parameter mxnbf = 32768; -parameter rsfc = "tx_nco_nco_ii_0_sin_c.hex"; -parameter rsff = "tx_nco_nco_ii_0_sin_f.hex"; -parameter rcfc = "tx_nco_nco_ii_0_cos_c.hex"; -parameter rcff = "tx_nco_nco_ii_0_cos_f.hex"; -parameter nc = 1; -parameter log2nc =0; -parameter outselinit = 0; -parameter paci0= 0; -parameter paci1= 0; -parameter paci2= 0; -parameter paci3= 0; -parameter paci4= 0; -parameter paci5= 0; -parameter paci6= 0; -parameter paci7= 0; -//parameter numba = 1; -//parameter log2numba = 0; - -input clk; -input reset_n; -input clken; -input [apr-1:0] phi_inc_i; - -output [mpr-1:0] fsin_o; -output [mpr-1:0] fcos_o; -output out_valid; -wire reset; -assign reset = !reset_n; - -wire [apr-1:0] phi_inc_i_w; -wire [apr-1:0] phi_acc_w; -wire [mpr-1:0] rfx_s; -wire [mpr-1:0] rcx_s; -wire [mpr-1:0] rfx_c; -wire [mpr-1:0] rcx_c; -wire [mpr-1:0] rfy_s; -wire [mpr-1:0] rcy_s; -wire [mpr-1:0] rfy_c; -wire [mpr-1:0] rcy_c; -wire [rawc-1:0] raxxx001ms; -wire [rawc-1:0] raxxx001mc; -wire [rawc-1:0] raxxx000m; -wire [rawf-1:0] raxxx000l; -wire [rawc-1:0] raxxx001m; -wire [rawf-1:0] raxxx001l; -wire [opr-1:0] result_i; -wire [opr-1:0] result_r; -wire [mpr-1:0] fsin_o_w; -wire [mpr-1:0] fcos_o_w; -wire out_valid_w; - -//Pipelining for Hyper Retimer starts from here -parameter hyper_pipeline = 0; -integer i; - -reg [1-1:0] reset_reg [3-1:0]; -wire [1-1:0] reset_pipelined; -reg [1-1:0] clken_reg [3-1:0]; -wire [1-1:0] clken_pipelined; -reg [apr-1:0] phi_inc_i_reg [3-1:0]; -wire [apr-1:0] phi_inc_i_pipelined; -reg [1-1:0] out_valid_w_reg [2-1:0]; -wire [1-1:0] out_valid_w_pipelined; -reg [mpr-1:0] fsin_o_w_reg [2-1:0]; -wire [mpr-1:0] fsin_o_w_pipelined; -reg [opr-1:0] result_i_reg [1-1:0]; -wire [opr-1:0] result_i_pipelined; -reg [mpr-1:0] fcos_o_w_reg [2-1:0]; -wire [mpr-1:0] fcos_o_w_pipelined; -reg [opr-1:0] result_r_reg [1-1:0]; -wire [opr-1:0] result_r_pipelined; -reg [mpr-1:0] rcx_c_reg [2-1:0]; -wire [mpr-1:0] rcx_c_pipelined; -reg [mpr-1:0] rfx_c_reg [2-1:0]; -wire [mpr-1:0] rfx_c_pipelined; -reg [mpr-1:0] rcx_s_reg [2-1:0]; -wire [mpr-1:0] rcx_s_pipelined; -reg [mpr-1:0] rfx_s_reg [2-1:0]; -wire [mpr-1:0] rfx_s_pipelined; -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - reset_reg[0] <= reset; - for (i = 1; i < 3; i=i+1) begin - reset_reg[i] <= reset_reg[i-1]; - end - end - assign reset_pipelined = reset_reg[3-1]; - end - else begin - assign reset_pipelined = reset; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - clken_reg[0] <= clken; - for (i = 1; i < 3; i=i+1) begin - clken_reg[i] <= clken_reg[i-1]; - end - end - assign clken_pipelined = clken_reg[3-1]; - end - else begin - assign clken_pipelined = clken; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - phi_inc_i_reg[0] <= phi_inc_i; - for (i = 1; i < 3; i=i+1) begin - phi_inc_i_reg[i] <= phi_inc_i_reg[i-1]; - end - end - assign phi_inc_i_pipelined = phi_inc_i_reg[3-1]; - end - else begin - assign phi_inc_i_pipelined = phi_inc_i; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - out_valid_w_reg[0] <= out_valid_w; - for (i = 1; i < 2; i=i+1) begin - out_valid_w_reg[i] <= out_valid_w_reg[i-1]; - end - end - assign out_valid_w_pipelined = out_valid_w_reg[2-1]; - end - else begin - assign out_valid_w_pipelined = out_valid_w; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - fsin_o_w_reg[0] <= fsin_o_w; - for (i = 1; i < 2; i=i+1) begin - fsin_o_w_reg[i] <= fsin_o_w_reg[i-1]; - end - end - assign fsin_o_w_pipelined = fsin_o_w_reg[2-1]; - end - else begin - assign fsin_o_w_pipelined = fsin_o_w; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - result_i_reg[0] <= result_i; - end - assign result_i_pipelined = result_i_reg[1-1]; - end - else begin - assign result_i_pipelined = result_i; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - fcos_o_w_reg[0] <= fcos_o_w; - for (i = 1; i < 2; i=i+1) begin - fcos_o_w_reg[i] <= fcos_o_w_reg[i-1]; - end - end - assign fcos_o_w_pipelined = fcos_o_w_reg[2-1]; - end - else begin - assign fcos_o_w_pipelined = fcos_o_w; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - result_r_reg[0] <= result_r; - end - assign result_r_pipelined = result_r_reg[1-1]; - end - else begin - assign result_r_pipelined = result_r; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rcx_c_reg[0] <= rcx_c; - for (i = 1; i < 2; i=i+1) begin - rcx_c_reg[i] <= rcx_c_reg[i-1]; - end - end - assign rcx_c_pipelined = rcx_c_reg[2-1]; - end - else begin - assign rcx_c_pipelined = rcx_c; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rfx_c_reg[0] <= rfx_c; - for (i = 1; i < 2; i=i+1) begin - rfx_c_reg[i] <= rfx_c_reg[i-1]; - end - end - assign rfx_c_pipelined = rfx_c_reg[2-1]; - end - else begin - assign rfx_c_pipelined = rfx_c; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rcx_s_reg[0] <= rcx_s; - for (i = 1; i < 2; i=i+1) begin - rcx_s_reg[i] <= rcx_s_reg[i-1]; - end - end - assign rcx_s_pipelined = rcx_s_reg[2-1]; - end - else begin - assign rcx_s_pipelined = rcx_s; // pipeline for this signal is disabled - end -endgenerate -// Pipeline block -generate - if (hyper_pipeline == 1) begin - always @ (posedge clk) begin - rfx_s_reg[0] <= rfx_s; - for (i = 1; i < 2; i=i+1) begin - rfx_s_reg[i] <= rfx_s_reg[i-1]; - end - end - assign rfx_s_pipelined = rfx_s_reg[2-1]; - end - else begin - assign rfx_s_pipelined = rfx_s; // pipeline for this signal is disabled - end -endgenerate - - -assign phi_inc_i_w = phi_inc_i_pipelined; - - -asj_altqmcpipe ux000 (.clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .phi_inc_int(phi_inc_i_w), - .phi_acc_reg(phi_acc_w) - ); - -defparam ux000.nc = nc ; -defparam ux000.apr = apr ; -defparam ux000.lat = 1 ; -defparam ux000.paci0 = paci0 ; -defparam ux000.paci1 = paci1 ; -defparam ux000.paci2 = paci2 ; -defparam ux000.paci3 = paci3 ; -defparam ux000.paci4 = paci4 ; -defparam ux000.paci5 = paci5 ; -defparam ux000.paci6 = paci6 ; -defparam ux000.paci7 = paci7 ; - - -asj_gam_dp ux008( .clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .phi_acc_w(phi_acc_w[apr-1:apr-rawc-rawf]), - .rom_add_cs(raxxx001ms), - .rom_add_cc(raxxx001mc), - .rom_add_f(raxxx001l) - ); -defparam ux008.rawc = rawc; -defparam ux008.rawf = rawf; -defparam ux008.apr = apri; - - -asj_nco_as_m_dp_cen ux0220(.clk(clk), - .clken (clken_pipelined), - .raxx_a(raxxx001ms[rawc-1:0]), - .raxx_b(raxxx001mc[rawc-1:0]), - .q_a(rcx_s[mpr-1:0]), - .q_b(rcx_c[mpr-1:0]) - ); -defparam ux0220.mpr = mpr; -defparam ux0220.rdw = rdw; -defparam ux0220.raw = rawc; -defparam ux0220.rnw = rnwc; -defparam ux0220.rf = rsfc; -defparam ux0220.dev = "Cyclone IV E"; - -asj_nco_as_m_cen ux0122(.clk(clk), - .clken (clken_pipelined), - .raxx(raxxx001l[rawf-1:0]), - .srw_int_res(rfx_s[mpr-1:0]) - ); -defparam ux0122.mpr = mpr; -defparam ux0122.rdw = rdw; -defparam ux0122.raw = rawf; -defparam ux0122.rnw = rnwf; -defparam ux0122.rf = rsff; -defparam ux0122.dev = "Cyclone IV E"; - -asj_nco_as_m_cen ux0123(.clk(clk), - .clken (clken_pipelined), - .raxx(raxxx001l[rawf-1:0]), - .srw_int_res(rfx_c[mpr-1:0]) - ); -defparam ux0123.mpr = mpr; -defparam ux0123.rdw = rdw; -defparam ux0123.raw = rawf; -defparam ux0123.rnw = rnwf; -defparam ux0123.rf = rcff; -defparam ux0123.dev = "Cyclone IV E"; - -asj_nco_madx_cen m1( - .dataa_0(rcy_c), - .dataa_1(rcy_s), - .datab_0(rfy_c), - .datab_1(rfy_s), - .result(result_r), - .clock0(clk), - .clken(clken_pipelined)); -defparam m1.mpr = mpr; -defparam m1.opr = opr; -// Writing multiplier for 'Cyclone IV E' - -asj_nco_mady_cen m0( - .dataa_0(rcy_s), - .dataa_1(rfy_s), - .datab_0(rfy_c), - .datab_1(rcy_c), - .result(result_i), - .clock0(clk), - .clken(clken_pipelined)); -defparam m0.mpr = mpr; -defparam m0.opr = opr; -// Writing multiplier for 'Cyclone IV E' - -asj_nco_derot ux0136(.crwx_rc(rcx_c_pipelined), - .crwx_rf(rfx_c_pipelined), - .srwx_rc(rcx_s_pipelined), - .srwx_rf(rfx_s_pipelined), - .crwy_rc(rcy_c), - .crwy_rf(rfy_c), - .srwy_rc(rcy_s), - .srwy_rf(rfy_s) - ); -defparam ux0136.mpr = mpr; -defparam ux0136.rxt = rdw; - -asj_nco_mob_w blk0( .clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .data_in(result_i_pipelined), - .data_out(fsin_o_w)); - -defparam blk0.mpr = mpr; - -asj_nco_mob_w blk1( .clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .data_in(result_r_pipelined), - .data_out(fcos_o_w)); - -defparam blk1.mpr = mpr; -assign fsin_o = fsin_o_w_pipelined; -assign fcos_o = fcos_o_w_pipelined; - -asj_nco_isdr ux710isdr(.clk(clk), - .reset(reset_pipelined), - .clken(clken_pipelined), - .data_ready(out_valid_w) - ); -defparam ux710isdr.ctc=8; -defparam ux710isdr.cpr=4; -assign out_valid = out_valid_w_pipelined; - - - -endmodule diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_cos_c.hex b/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_cos_c.hex deleted file mode 100644 index d0d114c..0000000 --- a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_cos_c.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:020000007fff80 -:020001007fff7f -:020002007ffe7f -:020003007ffe7e -:020004007ffd7e -:020005007ffb7f -:020006007ff980 -:020007007ff781 -:020008007ff582 -:020009007ff383 -:02000a007ff085 -:02000b007fec88 -:02000c007fe98a -:02000d007fe58d -:02000e007fe190 -:02000f007fdc94 -:020010007fd897 -:020011007fd29c -:020012007fcda0 -:020013007fc7a5 -:020014007fc1aa -:020015007fbbaf -:020016007fb4b5 -:020017007fadbb -:020018007fa6c1 -:020019007f9fc7 -:02001a007f97ce -:02001b007f8fd5 -:02001c007f86dd -:02001d007f7de5 -:02001e007f74ed -:02001f007f6bf5 -:020020007f61fe -:020021007f5707 -:020022007f4d10 -:020023007f421a -:020024007f3724 -:020025007f2c2e -:020026007f2138 -:020027007f1543 -:020028007f094e -:020029007efc5b -:02002a007eef67 -:02002b007ee273 -:02002c007ed57f -:02002d007ec78c -:02002e007eb999 -:02002f007eaba6 -:020030007e9cb4 -:020031007e8dc2 -:020032007e7ed0 -:020033007e6fde -:020034007e5fed -:020035007e4ffc -:020036007e3e0c -:020037007e2e1b -:020038007e1d2b -:020039007e0b3c -:02003a007dfa4d -:02003b007de85e -:02003c007dd570 -:02003d007dc381 -:02003e007db093 -:02003f007d9da5 -:020040007d89b8 -:020041007d76ca -:020042007d62dd -:020043007d4df1 -:020044007d3904 -:020045007d2418 -:020046007d0e2d -:020047007cf942 -:020048007ce357 -:020049007ccd6c -:02004a007cb682 -:02004b007c9f98 -:02004c007c88ae -:02004d007c71c4 -:02004e007c59db -:02004f007c41f2 -:020050007c2909 -:020051007c1021 -:020052007bf839 -:020053007bde52 -:020054007bc56a -:020055007bab83 -:020056007b919c -:020057007b77b5 -:020058007b5ccf -:020059007b41e9 -:02005a007b2603 -:02005b007b0a1e -:02005c007aee3a -:02005d007ad255 -:02005e007ab670 -:02005f007a998c -:020060007a7ca8 -:020061007a5fc4 -:020062007a41e1 -:020063007a23fe -:020064007a051b -:0200650079e63a -:0200660079c857 -:0200670079a975 -:02006800798994 -:02006900796ab2 -:02006a00794ad1 -:02006b007929f1 -:02006c00790910 -:02006d0078e831 -:02006e0078c751 -:02006f0078a572 -:02007000788492 -:020071007862b3 -:02007200783fd5 -:02007300781df6 -:0200740077fa19 -:0200750077d73b -:0200760077b35e -:02007700778f81 -:02007800776ba4 -:020079007747c7 -:02007a007722eb -:02007b0076fe0f -:02007c0076d834 -:02007d0076b358 -:02007e00768d7d -:02007f007667a2 -:020080007641c7 -:02008100761aed -:0200820075f314 -:0200830075cc3a -:0200840075a560 -:02008500757d87 -:020086007555ae -:02008700752dd5 -:020088007504fd -:0200890074db26 -:02008a0074b24e -:02008b00748877 -:02008c00745f9f -:02008d007435c8 -:02008e00740af2 -:02008f0073e01c -:0200900073b546 -:02009100738a70 -:02009200735e9b -:020093007333c5 -:020094007307f0 -:0200950072db1c -:0200960072ae48 -:02009700728174 -:020098007254a0 -:020099007227cc -:02009a0071f9fa -:02009b0071cb27 -:02009c00719d54 -:02009d00716f81 -:02009e007140af -:02009f007111dd -:0200a00070e20c -:0200a10070b23b -:0200a200708369 -:0200a300705398 -:0200a4007022c8 -:0200a5006ff2f8 -:0200a6006fc128 -:0200a7006f9058 -:0200a8006f5e89 -:0200a9006f2cba -:0200aa006efbeb -:0200ab006ec81d -:0200ac006e964e -:0200ad006e6380 -:0200ae006e30b2 -:0200af006dfde5 -:0200b0006dc918 -:0200b1006d954b -:0200b2006d617e -:0200b3006d2db1 -:0200b4006cf8e6 -:0200b5006cc31a -:0200b6006c8e4e -:0200b7006c5982 -:0200b8006c23b7 -:0200b9006beded -:0200ba006bb722 -:0200bb006b8157 -:0200bc006b4a8d -:0200bd006b13c3 -:0200be006adcfa -:0200bf006aa431 -:0200c0006a6d67 -:0200c1006a359e -:0200c20069fdd6 -:0200c30069c40e -:0200c400698b46 -:0200c50069527e -:0200c6006919b6 -:0200c70068e0ef -:0200c80068a628 -:0200c900686c61 -:0200ca0068329a -:0200cb0067f7d5 -:0200cc0067bc0f -:0200cd00678149 -:0200ce00674683 -:0200cf00670abe -:0200d00066cff9 -:0200d100669334 -:0200d200665670 -:0200d300661aab -:0200d40065dde8 -:0200d50065a024 -:0200d600656360 -:0200d70065259d -:0200d80064e8da -:0200d90064aa17 -:0200da00646c54 -:0200db00642d92 -:0200dc0063eed1 -:0200dd0063af0f -:0200de0063704d -:0200df0063318b -:0200e00062f1cb -:0200e10062b10a -:0200e200627149 -:0200e300623188 -:0200e40061f0c9 -:0200e50061af09 -:0200e600616e49 -:0200e700612d89 -:0200e80060ebcb -:0200e90060aa0b -:0200ea0060684c -:0200eb0060258e -:0200ec005fe3d0 -:0200ed005fa012 -:0200ee005f5d54 -:0200ef005f1a96 -:0200f0005ed7d9 -:0200f1005e931c -:0200f2005e4f5f -:0200f3005e0ba2 -:0200f4005dc7e6 -:0200f5005d822a -:0200f6005d3e6d -:0200f7005cf9b2 -:0200f8005cb3f7 -:0200f9005c6e3b -:0200fa005c2880 -:0200fb005be2c6 -:0200fc005b9c0b -:0200fd005b5650 -:0200fe005b0f96 -:0200ff005ac9dc -:020100005a8221 -:020101005a3b67 -:0201020059f3af -:0201030059acf5 -:0201040059643c -:02010500591c83 -:0201060058d3cc -:02010700588b13 -:0201080058425b -:0201090057f9a4 -:02010a0057b0ec -:02010b00576734 -:02010c00571d7d -:02010d0056d3c7 -:02010e00568a0f -:02010f00563f59 -:0201100055f5a3 -:0201110055aaed -:02011200556036 -:02011300551580 -:0201140054c9cc -:02011500547e16 -:02011600543261 -:0201170053e7ac -:02011800539bf7 -:02011900534e43 -:02011a0053028e -:02011b0052b5db -:02011c00526827 -:02011d00521b73 -:02011e0051cec0 -:02011f0051810c -:02012000513359 -:0201210050e5a7 -:020122005097f4 -:02012300504941 -:020124004ffb8f -:020125004facdd -:020126004f5d2b -:020127004f0e79 -:020128004ebfc8 -:020129004e7016 -:02012a004e2065 -:02012b004dd1b4 -:02012c004d8103 -:02012d004d3152 -:02012e004ce0a3 -:02012f004c90f2 -:020130004c3f42 -:020131004bee93 -:020132004b9de3 -:020133004b4c33 -:020134004afb84 -:020135004aa9d5 -:020136004a5825 -:020137004a0676 -:0201380049b4c8 -:0201390049611a -:02013a00490f6b -:02013b0048bcbe -:02013c00486910 -:02013d00481662 -:02013e0047c3b5 -:02013f00477007 -:02014000471c5a -:0201410046c9ad -:02014200467500 -:02014300462153 -:0201440045cda7 -:020145004578fb -:0201460045244e -:0201470044cfa3 -:02014800447af7 -:0201490044254b -:02014a0043d0a0 -:02014b00437bf4 -:02014c00432549 -:02014d0042d09e -:02014e00427af3 -:02014f00422448 -:0201500041ce9e -:020151004177f4 -:02015200412149 -:0201530040caa0 -:020154004073f6 -:02015500401d4b -:020156003fc5a3 -:020157003f6ef9 -:020158003f174f -:020159003ebfa7 -:02015a003e68fd -:02015b003e1054 -:02015c003db8ac -:02015d003d6003 -:02015e003d075b -:02015f003cafb3 -:020160003c560b -:020161003bfe63 -:020162003ba5bb -:020163003b4c13 -:020164003af26d -:020165003a99c5 -:020166003a401d -:0201670039e677 -:02016800398cd0 -:02016900393328 -:02016a0038d982 -:02016b00387edc -:02016c00382435 -:02016d0037ca8f -:02016e00376fe9 -:02016f00371542 -:0201700036ba9d -:02017100365ff7 -:02017200360451 -:0201730035a8ad -:02017400354d07 -:0201750034f262 -:020176003496bd -:02017700343a18 -:0201780033df73 -:020179003383ce -:02017a0033262a -:02017b0032ca86 -:02017c00326ee1 -:02017d0032113d -:02017e0031b599 -:02017f003158f5 -:0201800030fb52 -:02018100309eae -:0201820030410a -:020183002fe467 -:020184002f87c3 -:020185002f2a1f -:020186002ecc7d -:020187002e6eda -:020188002e1136 -:020189002db394 -:02018a002d55f1 -:02018b002cf74f -:02018c002c99ac -:02018d002c3a0a -:02018e002bdc68 -:02018f002b7dc6 -:020190002b1f23 -:020191002ac082 -:020192002a61e0 -:020193002a023e -:0201940029a39d -:020195002944fb -:0201960028e55a -:020197002886b8 -:02019800282617 -:0201990027c776 -:02019a002767d5 -:02019b00270833 -:02019c0026a893 -:02019d002648f2 -:02019e0025e852 -:02019f002588b1 -:0201a000252810 -:0201a10024c870 -:0201a2002467d0 -:0201a30024072f -:0201a40023a690 -:0201a5002346ef -:0201a60022e550 -:0201a7002284b0 -:0201a800222310 -:0201a90021c271 -:0201aa002161d1 -:0201ab00210031 -:0201ac00209f92 -:0201ad00203ef2 -:0201ae001fdd53 -:0201af001f7bb4 -:0201b0001f1a14 -:0201b1001eb876 -:0201b2001e57d6 -:0201b3001df538 -:0201b4001d9399 -:0201b5001d31fa -:0201b6001ccf5c -:0201b7001c6dbd -:0201b8001c0b1e -:0201b9001ba980 -:0201ba001b47e1 -:0201bb001ae543 -:0201bc001a82a5 -:0201bd001a2006 -:0201be0019be68 -:0201bf00195bca -:0201c00018f92c -:0201c10018968e -:0201c2001833f0 -:0201c30017d053 -:0201c400176eb4 -:0201c500170b16 -:0201c60016a879 -:0201c7001645db -:0201c80015e23e -:0201c900157fa0 -:0201ca00151c02 -:0201cb0014b965 -:0201cc001455c8 -:0201cd0013f22b -:0201ce00138f8d -:0201cf00132bf0 -:0201d00012c853 -:0201d1001264b6 -:0201d200120118 -:0201d300119d7c -:0201d400113ade -:0201d50010d642 -:0201d6001072a5 -:0201d700100f07 -:0201d8000fab6b -:0201d9000f47ce -:0201da000ee332 -:0201db000e8094 -:0201dc000e1cf7 -:0201dd000db85b -:0201de000d54be -:0201df000cf022 -:0201e0000c8c85 -:0201e1000c28e8 -:0201e2000bc44c -:0201e3000b5fb0 -:0201e4000afb14 -:0201e5000a9777 -:0201e6000a33da -:0201e70009cf3e -:0201e800096aa2 -:0201e900090605 -:0201ea0008a269 -:0201eb00083ecc -:0201ec0007d931 -:0201ed00077594 -:0201ee000711f7 -:0201ef0006ac5c -:0201f0000648bf -:0201f10005e324 -:0201f200057f87 -:0201f300051bea -:0201f40004b64f -:0201f5000452b2 -:0201f60003ed17 -:0201f70003897a -:0201f8000324de -:0201f90002c042 -:0201fa00025ba6 -:0201fb0001f70a -:0201fc0001926e -:0201fd00012ed1 -:0201fe0000c936 -:0201ff00006599 -:020200000000fc -:02020100ff9b61 -:02020200ff37c4 -:02020300fed229 -:02020400fe6e8c -:02020500fe09f0 -:02020600fda554 -:02020700fd40b8 -:02020800fcdc1c -:02020900fc7780 -:02020a00fc13e3 -:02020b00fbae48 -:02020c00fb4aab -:02020d00fae510 -:02020e00fa8173 -:02020f00fa1dd6 -:02021000f9b83b -:02021100f9549e -:02021200f8ef03 -:02021300f88b66 -:02021400f827c9 -:02021500f7c22e -:02021600f75e91 -:02021700f6faf5 -:02021800f69658 -:02021900f631bc -:02021a00f5cd20 -:02021b00f56983 -:02021c00f505e6 -:02021d00f4a14a -:02021e00f43cae -:02021f00f3d812 -:02022000f37475 -:02022100f310d8 -:02022200f2ac3c -:02022300f2489f -:02022400f1e403 -:02022500f18066 -:02022600f11dc8 -:02022700f0b92c -:02022800f0558f -:02022900eff1f3 -:02022a00ef8e55 -:02022b00ef2ab8 -:02022c00eec61c -:02022d00ee637e -:02022e00edffe2 -:02022f00ed9c44 -:02023000ed38a7 -:02023100ecd50a -:02023200ec716d -:02023300ec0ecf -:02023400ebab32 -:02023500eb4795 -:02023600eae4f8 -:02023700ea815a -:02023800ea1ebc -:02023900e9bb1f -:02023a00e95881 -:02023b00e8f5e4 -:02023c00e89246 -:02023d00e830a7 -:02023e00e7cd0a -:02023f00e76a6c -:02024000e707ce -:02024100e6a530 -:02024200e64292 -:02024300e5e0f4 -:02024400e57e55 -:02024500e51bb7 -:02024600e4b919 -:02024700e4577a -:02024800e3f5dc -:02024900e3933d -:02024a00e3319e -:02024b00e2cf00 -:02024c00e26d61 -:02024d00e20bc2 -:02024e00e1a924 -:02024f00e14884 -:02025000e0e6e6 -:02025100e08546 -:02025200e023a7 -:02025300dfc208 -:02025400df6168 -:02025500df00c8 -:02025600de9f29 -:02025700de3e89 -:02025800ddddea -:02025900dd7c4a -:02025a00dd1baa -:02025b00dcba0b -:02025c00dc5a6a -:02025d00dbf9cb -:02025e00db992a -:02025f00db388a -:02026000dad8ea -:02026100da7849 -:02026200da18a8 -:02026300d9b808 -:02026400d95867 -:02026500d8f8c7 -:02026600d89925 -:02026700d83984 -:02026800d7dae3 -:02026900d77a42 -:02026a00d71ba0 -:02026b00d6bcff -:02026c00d65d5d -:02026d00d5febc -:02026e00d59f1a -:02026f00d54078 -:02027000d4e1d7 -:02027100d48334 -:02027200d42492 -:02027300d3c6f0 -:02027400d3674e -:02027500d309ab -:02027600d2ab09 -:02027700d24d66 -:02027800d1efc4 -:02027900d19220 -:02027a00d1347d -:02027b00d0d6db -:02027c00d07937 -:02027d00d01c93 -:02027e00cfbff0 -:02027f00cf624c -:02028000cf05a8 -:02028100cea805 -:02028200ce4b61 -:02028300cdefbd -:02028400cd9219 -:02028500cd3674 -:02028600ccdad0 -:02028700cc7d2c -:02028800cc2187 -:02028900cbc6e2 -:02028a00cb6a3d -:02028b00cb0e98 -:02028c00cab3f3 -:02028d00ca584d -:02028e00c9fca9 -:02028f00c9a103 -:02029000c9465d -:02029100c8ebb8 -:02029200c89111 -:02029300c8366b -:02029400c7dcc5 -:02029500c7821e -:02029600c72778 -:02029700c6cdd2 -:02029800c6742a -:02029900c61a83 -:02029a00c5c0dd -:02029b00c56735 -:02029c00c50e8d -:02029d00c4b4e7 -:02029e00c45b3f -:02029f00c40297 -:0202a000c3aaef -:0202a100c35147 -:0202a200c2f99f -:0202a300c2a0f7 -:0202a400c2484e -:0202a500c1f0a6 -:0202a600c198fd -:0202a700c14153 -:0202a800c0e9ab -:0202a900c09201 -:0202aa00c03b57 -:0202ab00bfe3af -:0202ac00bf8d04 -:0202ad00bf365a -:0202ae00bedfb1 -:0202af00be8906 -:0202b000be325c -:0202b100bddcb2 -:0202b200bd8607 -:0202b300bd305c -:0202b400bcdbb1 -:0202b500bc8506 -:0202b600bc305a -:0202b700bbdbaf -:0202b800bb8603 -:0202b900bb3157 -:0202ba00badcac -:0202bb00ba88ff -:0202bc00ba3353 -:0202bd00b9dfa7 -:0202be00b98bfa -:0202bf00b9374d -:0202c000b8e4a0 -:0202c100b890f3 -:0202c200b83d45 -:0202c300b7ea98 -:0202c400b797ea -:0202c500b7443c -:0202c600b6f18f -:0202c700b69fe0 -:0202c800b64c32 -:0202c900b5fa84 -:0202ca00b5a8d5 -:0202cb00b55725 -:0202cc00b50576 -:0202cd00b4b4c7 -:0202ce00b46317 -:0202cf00b41267 -:0202d000b3c1b8 -:0202d100b37008 -:0202d200b32057 -:0202d300b2cfa8 -:0202d400b27ff7 -:0202d500b22f46 -:0202d600b1e095 -:0202d700b190e4 -:0202d800b14132 -:0202d900b0f281 -:0202da00b0a3cf -:0202db00b0541d -:0202dc00b0056b -:0202dd00afb7b9 -:0202de00af6906 -:0202df00af1b53 -:0202e000aecda1 -:0202e100ae7fee -:0202e200ae323a -:0202e300ade587 -:0202e400ad98d3 -:0202e500ad4b1f -:0202e600acfe6c -:0202e700acb2b7 -:0202e800ac6503 -:0202e900ac194e -:0202ea00abce99 -:0202eb00ab82e4 -:0202ec00ab372e -:0202ed00aaeb7a -:0202ee00aaa0c4 -:0202ef00aa560d -:0202f000aa0b57 -:0202f100a9c1a1 -:0202f200a976eb -:0202f300a92d33 -:0202f400a8e37d -:0202f500a899c6 -:0202f600a8500e -:0202f700a80756 -:0202f800a7be9f -:0202f900a775e7 -:0202fa00a72d2e -:0202fb00a6e477 -:0202fc00a69cbe -:0202fd00a65405 -:0202fe00a60d4b -:0202ff00a5c593 -:02030000a57ed8 -:02030100a5371e -:02030200a4f164 -:02030300a4aaaa -:02030400a464ef -:02030500a41e34 -:02030600a3d87a -:02030700a392bf -:02030800a34d03 -:02030900a30748 -:02030a00a2c28d -:02030b00a27ed0 -:02030c00a23914 -:02030d00a1f558 -:02030e00a1b19b -:02030f00a16dde -:02031000a12921 -:02031100a0e664 -:02031200a0a3a6 -:02031300a060e8 -:02031400a01d2a -:020315009fdb6c -:020316009f98ae -:020317009f56ef -:020318009f152f -:020319009ed371 -:02031a009e92b1 -:02031b009e51f1 -:02031c009e1031 -:02031d009dcf72 -:02031e009d8fb1 -:02031f009d4ff0 -:020320009d0f2f -:020321009ccf6f -:020322009c90ad -:020323009c51eb -:020324009c1229 -:020325009bd368 -:020326009b94a6 -:020327009b56e3 -:020328009b1820 -:020329009adb5d -:02032a009a9d9a -:02032b009a60d6 -:02032c009a2312 -:02032d0099e64f -:02032e0099aa8a -:02032f00996dc6 -:02033000993101 -:0203310098f63c -:0203320098ba77 -:02033300987fb1 -:020334009844eb -:02033500980925 -:0203360097ce60 -:02033700979499 -:02033800975ad2 -:0203390097200b -:02033a0096e744 -:02033b0096ae7c -:02033c009675b4 -:02033d00963cec -:02033e00960324 -:02033f0095cb5c -:02034000959393 -:02034100955cc9 -:02034200952400 -:0203430094ed37 -:0203440094b66d -:02034500947fa3 -:020346009449d8 -:0203470094130d -:0203480093dd43 -:0203490093a778 -:02034a009372ac -:02034b00933de0 -:02034c00930814 -:02034d0092d349 -:02034e00929f7c -:02034f00926baf -:020350009237e2 -:02035100920315 -:0203520091d048 -:02035300919d7a -:02035400916aac -:020355009138dd -:0203560091050f -:0203570090d440 -:0203580090a271 -:020359009070a2 -:02035a00903fd2 -:02035b00900e02 -:02035c008fde32 -:02035d008fad62 -:02035e008f7d91 -:02035f008f4ebf -:020360008f1eee -:020361008eef1d -:020362008ec04b -:020363008e9179 -:020364008e63a6 -:020365008e35d3 -:020366008e0700 -:020367008dd92e -:020368008dac5a -:020369008d7f86 -:02036a008d52b2 -:02036b008d25de -:02036c008cf90a -:02036d008ccd35 -:02036e008ca25f -:02036f008c768a -:020370008c4bb4 -:020371008c20de -:020372008bf608 -:020373008bcb32 -:020374008ba15b -:020375008b7883 -:020376008b4eac -:020377008b25d4 -:020378008afcfd -:020379008ad325 -:02037a008aab4c -:02037b008a8373 -:02037c008a5b9a -:02037d008a34c0 -:02037e008a0de6 -:02037f0089e60d -:0203800089bf33 -:02038100899958 -:0203820089737d -:02038300894da2 -:020384008928c6 -:020385008902eb -:0203860088de0f -:0203870088b933 -:02038800889556 -:02038900887179 -:02038a00884d9c -:02038b008829bf -:02038c008806e1 -:02038d0087e304 -:02038e0087c125 -:02038f00879e47 -:02039000877c68 -:02039100875b88 -:020392008739a9 -:020393008718c9 -:0203940086f7ea -:0203950086d709 -:0203960086b629 -:02039700869648 -:02039800867766 -:02039900865785 -:02039a008638a3 -:02039b00861ac0 -:02039c0085fbdf -:02039d0085ddfc -:02039e0085bf19 -:02039f0085a136 -:0203a000858452 -:0203a10085676e -:0203a200854a8a -:0203a300852ea5 -:0203a4008512c0 -:0203a50084f6dc -:0203a60084daf7 -:0203a70084bf11 -:0203a80084a42b -:0203a900848945 -:0203aa00846f5e -:0203ab00845577 -:0203ac00843b90 -:0203ad008422a8 -:0203ae008408c1 -:0203af0083f0d9 -:0203b00083d7f1 -:0203b10083bf08 -:0203b20083a71f -:0203b300838f36 -:0203b40083784c -:0203b500836162 -:0203b600834a78 -:0203b70083338e -:0203b800831da3 -:0203b9008307b8 -:0203ba0082f2cd -:0203bb0082dce2 -:0203bc0082c7f6 -:0203bd0082b309 -:0203be00829e1d -:0203bf00828a30 -:0203c000827742 -:0203c100826355 -:0203c200825067 -:0203c300823d79 -:0203c400822b8a -:0203c50082189c -:0203c6008206ad -:0203c70081f5be -:0203c80081e3cf -:0203c90081d2df -:0203ca0081c2ee -:0203cb0081b1fe -:0203cc0081a10d -:0203cd0081911c -:0203ce0081822a -:0203cf00817338 -:0203d000816446 -:0203d100815554 -:0203d200814761 -:0203d30081396e -:0203d400812b7b -:0203d500811e87 -:0203d600811193 -:0203d70081049f -:0203d80080f7ac -:0203d90080ebb7 -:0203da0080dfc2 -:0203db0080d4cc -:0203dc0080c9d6 -:0203dd0080bee0 -:0203de0080b3ea -:0203df0080a9f3 -:0203e000809ffc -:0203e100809505 -:0203e200808c0d -:0203e300808315 -:0203e400807a1d -:0203e500807125 -:0203e60080692c -:0203e700806133 -:0203e800805a39 -:0203e90080533f -:0203ea00804c45 -:0203eb0080454b -:0203ec00803f50 -:0203ed00803955 -:0203ee0080335a -:0203ef00802e5e -:0203f000802863 -:0203f100802466 -:0203f200801f6a -:0203f300801b6d -:0203f400801770 -:0203f500801472 -:0203f600801075 -:0203f700800d77 -:0203f800800b78 -:0203f900800979 -:0203fa0080077a -:0203fb0080057b -:0203fc0080037c -:0203fd0080027c -:0203fe0080027b -:0203ff0080017b -:02040000800179 -:02040100800178 -:02040200800276 -:02040300800275 -:02040400800373 -:02040500800570 -:0204060080076d -:0204070080096a -:02040800800b67 -:02040900800d64 -:02040a00801060 -:02040b0080145b -:02040c00801757 -:02040d00801b52 -:02040e00801f4d -:02040f00802447 -:02041000802842 -:02041100802e3b -:02041200803335 -:0204130080392e -:02041400803f27 -:02041500804520 -:02041600804c18 -:02041700805310 -:02041800805a08 -:02041900806100 -:02041a008069f7 -:02041b008071ee -:02041c00807ae4 -:02041d008083da -:02041e00808cd0 -:02041f008095c6 -:02042000809fbb -:0204210080a9b0 -:0204220080b3a5 -:0204230080be99 -:0204240080c98d -:0204250080d481 -:0204260080df75 -:0204270080eb68 -:0204280080f75b -:0204290081044c -:02042a0081113e -:02042b00811e30 -:02042c00812b22 -:02042d00813913 -:02042e00814704 -:02042f008155f5 -:020430008164e5 -:020431008173d5 -:020432008182c5 -:020433008191b5 -:0204340081a1a4 -:0204350081b193 -:0204360081c281 -:0204370081d270 -:0204380081e35e -:0204390081f54b -:02043a00820638 -:02043b00821825 -:02043c00822b11 -:02043d00823dfe -:02043e008250ea -:02043f008263d6 -:020440008277c1 -:02044100828aad -:02044200829e98 -:0204430082b382 -:0204440082c76d -:0204450082dc57 -:0204460082f240 -:02044700830729 -:02044800831d12 -:020449008333fb -:02044a00834ae3 -:02044b008361cb -:02044c008378b3 -:02044d00838f9b -:02044e0083a782 -:02044f0083bf69 -:0204500083d750 -:0204510083f036 -:0204520084081c -:02045300842201 -:02045400843be7 -:020455008455cc -:02045600846fb1 -:02045700848996 -:0204580084a47a -:0204590084bf5e -:02045a0084da42 -:02045b0084f625 -:02045c00851207 -:02045d00852eea -:02045e00854acd -:02045f008567af -:02046000858491 -:0204610085a173 -:0204620085bf54 -:0204630085dd35 -:0204640085fb16 -:02046500861af5 -:020466008638d6 -:020467008657b6 -:02046800867795 -:02046900869675 -:02046a0086b654 -:02046b0086d732 -:02046c0086f711 -:02046d008718ee -:02046e008739cc -:02046f00875ba9 -:02047000877c87 -:02047100879e64 -:0204720087c140 -:0204730087e31d -:020474008806f8 -:020475008829d4 -:02047600884daf -:0204770088718a -:02047800889565 -:0204790088b940 -:02047a0088de1a -:02047b008902f4 -:02047c008928cd -:02047d00894da7 -:02047e00897380 -:02047f00899959 -:0204800089bf32 -:0204810089e60a -:020482008a0de1 -:020483008a34b9 -:020484008a5b91 -:020485008a8368 -:020486008aab3f -:020487008ad316 -:020488008afcec -:020489008b25c1 -:02048a008b4e97 -:02048b008b786c -:02048c008ba142 -:02048d008bcb17 -:02048e008bf6eb -:02048f008c20bf -:020490008c4b93 -:020491008c7667 -:020492008ca23a -:020493008ccd0e -:020494008cf9e1 -:020495008d25b3 -:020496008d5285 -:020497008d7f57 -:020498008dac29 -:020499008dd9fb -:02049a008e07cb -:02049b008e359c -:02049c008e636d -:02049d008e913e -:02049e008ec00e -:02049f008eefde -:0204a0008f1ead -:0204a1008f4e7c -:0204a2008f7d4c -:0204a3008fad1b -:0204a4008fdee9 -:0204a500900eb7 -:0204a600903f85 -:0204a700907053 -:0204a80090a220 -:0204a90090d4ed -:0204aa009105ba -:0204ab00913886 -:0204ac00916a53 -:0204ad00919d1f -:0204ae0091d0eb -:0204af009203b6 -:0204b000923781 -:0204b100926b4c -:0204b200929f17 -:0204b30092d3e2 -:0204b4009308ab -:0204b500933d75 -:0204b60093723f -:0204b70093a709 -:0204b80093ddd2 -:0204b90094139a -:0204ba00944963 -:0204bb00947f2c -:0204bc0094b6f4 -:0204bd0094edbc -:0204be00952483 -:0204bf00955c4a -:0204c000959312 -:0204c10095cbd9 -:0204c20096039f -:0204c300963c65 -:0204c40096752b -:0204c50096aef1 -:0204c60096e7b7 -:0204c70097207c -:0204c800975a41 -:0204c900979406 -:0204ca0097cecb -:0204cb0098098e -:0204cc00984452 -:0204cd00987f16 -:0204ce0098bada -:0204cf0098f69d -:0204d000993160 -:0204d100996d23 -:0204d20099aae5 -:0204d30099e6a8 -:0204d4009a2369 -:0204d5009a602b -:0204d6009a9ded -:0204d7009adbae -:0204d8009b186f -:0204d9009b5630 -:0204da009b94f1 -:0204db009bd3b1 -:0204dc009c1270 -:0204dd009c5130 -:0204de009c90f0 -:0204df009ccfb0 -:0204e0009d0f6e -:0204e1009d4f2d -:0204e2009d8fec -:0204e3009dcfab -:0204e4009e1068 -:0204e5009e5126 -:0204e6009e92e4 -:0204e7009ed3a2 -:0204e8009f155e -:0204e9009f561c -:0204ea009f98d9 -:0204eb009fdb95 -:0204ec00a01d51 -:0204ed00a0600d -:0204ee00a0a3c9 -:0204ef00a0e685 -:0204f000a12940 -:0204f100a16dfb -:0204f200a1b1b6 -:0204f300a1f571 -:0204f400a2392b -:0204f500a27ee5 -:0204f600a2c2a0 -:0204f700a30759 -:0204f800a34d12 -:0204f900a392cc -:0204fa00a3d885 -:0204fb00a41e3d -:0204fc00a464f6 -:0204fd00a4aaaf -:0204fe00a4f167 -:0204ff00a5371f -:02050000a57ed6 -:02050100a5c58e -:02050200a60d44 -:02050300a654fc -:02050400a69cb3 -:02050500a6e46a -:02050600a72d1f -:02050700a775d6 -:02050800a7be8c -:02050900a80741 -:02050a00a850f7 -:02050b00a899ad -:02050c00a8e362 -:02050d00a92d16 -:02050e00a976cc -:02050f00a9c180 -:02051000aa0b34 -:02051100aa56e8 -:02051200aaa09d -:02051300aaeb51 -:02051400ab3703 -:02051500ab82b7 -:02051600abce6a -:02051700ac191d -:02051800ac65d0 -:02051900acb282 -:02051a00acfe35 -:02051b00ad4be6 -:02051c00ad9898 -:02051d00ade54a -:02051e00ae32fb -:02051f00ae7fad -:02052000aecd5e -:02052100af1b0e -:02052200af69bf -:02052300afb770 -:02052400b00520 -:02052500b054d0 -:02052600b0a380 -:02052700b0f230 -:02052800b141df -:02052900b1908f -:02052a00b1e03e -:02052b00b22fed -:02052c00b27f9c -:02052d00b2cf4b -:02052e00b320f8 -:02052f00b370a7 -:02053000b3c155 -:02053100b41202 -:02053200b463b0 -:02053300b4b45e -:02053400b5050b -:02053500b557b8 -:02053600b5a866 -:02053700b5fa13 -:02053800b64cbf -:02053900b69f6b -:02053a00b6f118 -:02053b00b744c3 -:02053c00b7976f -:02053d00b7ea1b -:02053e00b83dc6 -:02053f00b89072 -:02054000b8e41d -:02054100b937c8 -:02054200b98b73 -:02054300b9df1e -:02054400ba33c8 -:02054500ba8872 -:02054600badc1d -:02054700bb31c6 -:02054800bb8670 -:02054900bbdb1a -:02054a00bc30c3 -:02054b00bc856d -:02054c00bcdb16 -:02054d00bd30bf -:02054e00bd8668 -:02054f00bddc11 -:02055000be32b9 -:02055100be8961 -:02055200bedf0a -:02055300bf36b1 -:02055400bf8d59 -:02055500bfe302 -:02055600c03ba8 -:02055700c09250 -:02055800c0e9f8 -:02055900c1419e -:02055a00c19846 -:02055b00c1f0ed -:02055c00c24893 -:02055d00c2a03a -:02055e00c2f9e0 -:02055f00c35186 -:02056000c3aa2c -:02056100c402d2 -:02056200c45b78 -:02056300c4b41e -:02056400c50ec2 -:02056500c56768 -:02056600c5c00e -:02056700c61ab2 -:02056800c67457 -:02056900c6cdfd -:02056a00c727a1 -:02056b00c78245 -:02056c00c7dcea -:02056d00c8368e -:02056e00c89132 -:02056f00c8ebd7 -:02057000c9467a -:02057100c9a11e -:02057200c9fcc2 -:02057300ca5864 -:02057400cab308 -:02057500cb0eab -:02057600cb6a4e -:02057700cbc6f1 -:02057800cc2194 -:02057900cc7d37 -:02057a00ccdad9 -:02057b00cd367b -:02057c00cd921e -:02057d00cdefc0 -:02057e00ce4b62 -:02057f00cea804 -:02058000cf05a5 -:02058100cf6247 -:02058200cfbfe9 -:02058300d01c8a -:02058400d0792c -:02058500d0d6ce -:02058600d1346e -:02058700d1920f -:02058800d1efb1 -:02058900d24d51 -:02058a00d2abf2 -:02058b00d30992 -:02058c00d36733 -:02058d00d3c6d3 -:02058e00d42473 -:02058f00d48313 -:02059000d4e1b4 -:02059100d54053 -:02059200d59ff3 -:02059300d5fe93 -:02059400d65d32 -:02059500d6bcd2 -:02059600d71b71 -:02059700d77a11 -:02059800d7dab0 -:02059900d8394f -:02059a00d899ee -:02059b00d8f88e -:02059c00d9582c -:02059d00d9b8cb -:02059e00da1869 -:02059f00da7808 -:0205a000dad8a7 -:0205a100db3845 -:0205a200db99e3 -:0205a300dbf982 -:0205a400dc5a1f -:0205a500dcbabe -:0205a600dd1b5b -:0205a700dd7cf9 -:0205a800dddd97 -:0205a900de3e34 -:0205aa00de9fd2 -:0205ab00df006f -:0205ac00df610d -:0205ad00dfc2ab -:0205ae00e02348 -:0205af00e085e5 -:0205b000e0e683 -:0205b100e1481f -:0205b200e1a9bd -:0205b300e20b59 -:0205b400e26df6 -:0205b500e2cf93 -:0205b600e3312f -:0205b700e393cc -:0205b800e3f569 -:0205b900e45705 -:0205ba00e4b9a2 -:0205bb00e51b3e -:0205bc00e57eda -:0205bd00e5e077 -:0205be00e64213 -:0205bf00e6a5af -:0205c000e7074b -:0205c100e76ae7 -:0205c200e7cd83 -:0205c300e8301e -:0205c400e892bb -:0205c500e8f557 -:0205c600e958f2 -:0205c700e9bb8e -:0205c800ea1e29 -:0205c900ea81c5 -:0205ca00eae461 -:0205cb00eb47fc -:0205cc00ebab97 -:0205cd00ec0e32 -:0205ce00ec71ce -:0205cf00ecd569 -:0205d000ed3804 -:0205d100ed9c9f -:0205d200edff3b -:0205d300ee63d5 -:0205d400eec671 -:0205d500ef2a0b -:0205d600ef8ea6 -:0205d700eff142 -:0205d800f055dc -:0205d900f0b977 -:0205da00f11d11 -:0205db00f180ad -:0205dc00f1e448 -:0205dd00f248e2 -:0205de00f2ac7d -:0205df00f31017 -:0205e000f374b2 -:0205e100f3d84d -:0205e200f43ce7 -:0205e300f4a181 -:0205e400f5051b -:0205e500f569b6 -:0205e600f5cd51 -:0205e700f631eb -:0205e800f69685 -:0205e900f6fa20 -:0205ea00f75eba -:0205eb00f7c255 -:0205ec00f827ee -:0205ed00f88b89 -:0205ee00f8ef24 -:0205ef00f954bd -:0205f000f9b858 -:0205f100fa1df1 -:0205f200fa818c -:0205f300fae527 -:0205f400fb4ac0 -:0205f500fbae5b -:0205f600fc13f4 -:0205f700fc778f -:0205f800fcdc29 -:0205f900fd40c3 -:0205fa00fda55d -:0205fb00fe09f7 -:0205fc00fe6e91 -:0205fd00fed22c -:0205fe00ff37c5 -:0205ff00ff9b60 -:020600000000f8 -:02060100006592 -:0206020000c92d -:02060300012ec6 -:02060400019261 -:0206050001f7fb -:02060600025b95 -:0206070002c02f -:020608000324c9 -:02060900038963 -:02060a0003edfe -:02060b00045297 -:02060c0004b632 -:02060d00051bcb -:02060e00057f66 -:02060f0005e301 -:0206100006489a -:0206110006ac35 -:020612000711ce -:02061300077569 -:0206140007d904 -:02061500083e9d -:0206160008a238 -:020617000906d2 -:02061800096a6d -:0206190009cf07 -:02061a000a33a1 -:02061b000a973c -:02061c000afbd7 -:02061d000b5f71 -:02061e000bc40b -:02061f000c28a5 -:020620000c8c40 -:020621000cf0db -:020622000d5475 -:020623000db810 -:020624000e1caa -:020625000e8045 -:020626000ee3e1 -:020627000f477b -:020628000fab16 -:02062900100fb0 -:02062a0010724c -:02062b0010d6e7 -:02062c00113a81 -:02062d00119d1d -:02062e001201b7 -:02062f00126453 -:0206300012c8ee -:02063100132b89 -:02063200138f24 -:0206330013f2c0 -:0206340014555b -:0206350014b9f6 -:02063600151c91 -:02063700157f2d -:0206380015e2c9 -:02063900164564 -:02063a0016a800 -:02063b00170b9b -:02063c00176e37 -:02063d0017d0d4 -:02063e0018336f -:02063f0018960b -:0206400018f9a7 -:02064100195b43 -:0206420019bedf -:020643001a207b -:020644001a8218 -:020645001ae5b4 -:020646001b4750 -:020647001ba9ed -:020648001c0b89 -:020649001c6d26 -:02064a001ccfc3 -:02064b001d315f -:02064c001d93fc -:02064d001df599 -:02064e001e5735 -:02064f001eb8d3 -:020650001f1a6f -:020651001f7b0d -:020652001fddaa -:02065300203e47 -:02065400209fe5 -:02065500210082 -:02065600216120 -:0206570021c2be -:0206580022235b -:020659002284f9 -:02065a0022e597 -:02065b00234634 -:02065c0023a6d3 -:02065d00240770 -:02065e0024670f -:02065f0024c8ad -:0206600025284b -:020661002588ea -:0206620025e889 -:02066300264827 -:0206640026a8c6 -:02066500270864 -:02066600276704 -:0206670027c7a3 -:02066800282642 -:020669002886e1 -:02066a0028e581 -:02066b00294420 -:02066c0029a3c0 -:02066d002a025f -:02066e002a61ff -:02066f002ac09f -:020670002b1f3e -:020671002b7ddf -:020672002bdc7f -:020673002c3a1f -:020674002c99bf -:020675002cf760 -:020676002d5500 -:020677002db3a1 -:020678002e1141 -:020679002e6ee3 -:02067a002ecc84 -:02067b002f2a24 -:02067c002f87c6 -:02067d002fe468 -:02067e00304109 -:02067f00309eab -:0206800030fb4d -:020681003158ee -:0206820031b590 -:02068300321132 -:02068400326ed4 -:0206850032ca77 -:02068600332619 -:020687003383bb -:0206880033df5e -:02068900343a01 -:02068a003496a4 -:02068b0034f247 -:02068c00354dea -:02068d0035a88e -:02068e00360430 -:02068f00365fd4 -:0206900036ba78 -:0206910037151b -:02069200376fc0 -:0206930037ca64 -:02069400382408 -:02069500387ead -:0206960038d951 -:020697003933f5 -:02069800398c9b -:0206990039e640 -:02069a003a40e4 -:02069b003a998a -:02069c003af230 -:02069d003b4cd4 -:02069e003ba57a -:02069f003bfe20 -:0206a0003c56c6 -:0206a1003caf6c -:0206a2003d0712 -:0206a3003d60b8 -:0206a4003db85f -:0206a5003e1005 -:0206a6003e68ac -:0206a7003ebf54 -:0206a8003f17fa -:0206a9003f6ea2 -:0206aa003fc54a -:0206ab00401df0 -:0206ac00407399 -:0206ad0040ca41 -:0206ae004121e8 -:0206af00417791 -:0206b00041ce39 -:0206b1004224e1 -:0206b200427a8a -:0206b30042d033 -:0206b4004325dc -:0206b500437b85 -:0206b60043d02f -:0206b7004425d8 -:0206b800447a82 -:0206b90044cf2c -:0206ba004524d5 -:0206bb00457880 -:0206bc0045cd2a -:0206bd004621d4 -:0206be0046757f -:0206bf0046c92a -:0206c000471cd5 -:0206c100477080 -:0206c20047c32c -:0206c3004816d7 -:0206c400486983 -:0206c50048bc2f -:0206c600490fda -:0206c700496187 -:0206c80049b433 -:0206c9004a06df -:0206ca004a588c -:0206cb004aa93a -:0206cc004afbe7 -:0206cd004b4c94 -:0206ce004b9d42 -:0206cf004beef0 -:0206d0004c3f9d -:0206d1004c904b -:0206d2004ce0fa -:0206d3004d31a7 -:0206d4004d8156 -:0206d5004dd105 -:0206d6004e20b4 -:0206d7004e7063 -:0206d8004ebf13 -:0206d9004f0ec2 -:0206da004f5d72 -:0206db004fac22 -:0206dc004ffbd2 -:0206dd00504982 -:0206de00509733 -:0206df0050e5e4 -:0206e000513394 -:0206e100518145 -:0206e20051cef7 -:0206e300521ba8 -:0206e40052685a -:0206e50052b50c -:0206e6005302bd -:0206e700534e70 -:0206e800539b22 -:0206e90053e7d5 -:0206ea00543288 -:0206eb00547e3b -:0206ec0054c9ef -:0206ed005515a1 -:0206ee00556055 -:0206ef0055aa0a -:0206f00055f5be -:0206f100563f72 -:0206f200568a26 -:0206f30056d3dc -:0206f400571d90 -:0206f500576745 -:0206f60057b0fb -:0206f70057f9b1 -:0206f800584266 -:0206f900588b1c -:0206fa0058d3d3 -:0206fb00591c88 -:0206fc0059643f -:0206fd0059acf6 -:0206fe0059f3ae -:0206ff005a3b64 -:020700005a821b -:020701005ac9d3 -:020702005b0f8b -:020703005b5643 -:020704005b9cfc -:020705005be2b5 -:020706005c286d -:020707005c6e26 -:020708005cb3e0 -:020709005cf999 -:02070a005d3e52 -:02070b005d820d -:02070c005dc7c7 -:02070d005e0b81 -:02070e005e4f3c -:02070f005e93f7 -:020710005ed7b2 -:020711005f1a6d -:020712005f5d29 -:020713005fa0e5 -:020714005fe3a1 -:0207150060255d -:02071600606819 -:0207170060aad6 -:0207180060eb94 -:02071900612d50 -:02071a00616e0e -:02071b0061afcc -:02071c0061f08a -:02071d00623147 -:02071e00627106 -:02071f0062b1c5 -:0207200062f184 -:02072100633142 -:02072200637002 -:0207230063afc2 -:0207240063ee82 -:02072500642d41 -:02072600646c01 -:0207270064aac2 -:0207280064e883 -:02072900652544 -:02072a00656305 -:02072b0065a0c7 -:02072c0065dd89 -:02072d00661a4a -:02072e0066560d -:02072f006693cf -:0207300066cf92 -:02073100670a55 -:02073200674618 -:020733006781dc -:0207340067bca0 -:0207350067f764 -:02073600683227 -:02073700686cec -:0207380068a6b1 -:0207390068e076 -:02073a0069193b -:02073b00695201 -:02073c00698bc7 -:02073d0069c48d -:02073e0069fd53 -:02073f006a3519 -:020740006a6de0 -:020741006aa4a8 -:020742006adc6f -:020743006b1336 -:020744006b4afe -:020745006b81c6 -:020746006bb78f -:020747006bed58 -:020748006c2320 -:020749006c59e9 -:02074a006c8eb3 -:02074b006cc37d -:02074c006cf847 -:02074d006d2d10 -:02074e006d61db -:02074f006d95a6 -:020750006dc971 -:020751006dfd3c -:020752006e3007 -:020753006e63d3 -:020754006e969f -:020755006ec86c -:020756006efb38 -:020757006f2c05 -:020758006f5ed2 -:020759006f909f -:02075a006fc16d -:02075b006ff23b -:02075c00702209 -:02075d007053d7 -:02075e007083a6 -:02075f0070b276 -:0207600070e245 -:02076100711114 -:020762007140e4 -:02076300716fb4 -:02076400719d85 -:0207650071cb56 -:0207660071f927 -:020767007227f7 -:020768007254c9 -:0207690072819b -:02076a0072ae6d -:02076b0072db3f -:02076c00730711 -:02076d007333e4 -:02076e00735eb8 -:02076f00738a8b -:0207700073b55f -:0207710073e033 -:02077200740a07 -:020773007435db -:02077400745fb0 -:02077500748886 -:0207760074b25b -:0207770074db31 -:02077800750406 -:02077900752ddc -:02077a007555b3 -:02077b00757d8a -:02077c0075a561 -:02077d0075cc39 -:02077e0075f311 -:02077f00761ae8 -:020780007641c0 -:02078100766799 -:02078200768d72 -:0207830076b34b -:0207840076d825 -:0207850076fefe -:020786007722d8 -:020787007747b2 -:02078800776b8d -:02078900778f68 -:02078a0077b343 -:02078b0077d71e -:02078c0077fafa -:02078d00781dd5 -:02078e00783fb2 -:02078f0078628e -:0207900078846b -:0207910078a549 -:0207920078c726 -:0207930078e804 -:020794007909e1 -:020795007929c0 -:02079600794a9e -:02079700796a7d -:0207980079895d -:0207990079a93c -:02079a0079c81c -:02079b0079e6fd -:02079c007a05dc -:02079d007a23bd -:02079e007a419e -:02079f007a5f7f -:0207a0007a7c61 -:0207a1007a9943 -:0207a2007ab625 -:0207a3007ad208 -:0207a4007aeeeb -:0207a5007b0acd -:0207a6007b26b0 -:0207a7007b4194 -:0207a8007b5c78 -:0207a9007b775c -:0207aa007b9141 -:0207ab007bab26 -:0207ac007bc50b -:0207ad007bdef1 -:0207ae007bf8d6 -:0207af007c10bc -:0207b0007c29a2 -:0207b1007c4189 -:0207b2007c5970 -:0207b3007c7157 -:0207b4007c883f -:0207b5007c9f27 -:0207b6007cb60f -:0207b7007ccdf7 -:0207b8007ce3e0 -:0207b9007cf9c9 -:0207ba007d0eb2 -:0207bb007d249b -:0207bc007d3985 -:0207bd007d4d70 -:0207be007d625a -:0207bf007d7645 -:0207c0007d8931 -:0207c1007d9d1c -:0207c2007db008 -:0207c3007dc3f4 -:0207c4007dd5e1 -:0207c5007de8cd -:0207c6007dfaba -:0207c7007e0ba7 -:0207c8007e1d94 -:0207c9007e2e82 -:0207ca007e3e71 -:0207cb007e4f5f -:0207cc007e5f4e -:0207cd007e6f3d -:0207ce007e7e2d -:0207cf007e8d1d -:0207d0007e9c0d -:0207d1007eabfd -:0207d2007eb9ee -:0207d3007ec7df -:0207d4007ed5d0 -:0207d5007ee2c2 -:0207d6007eefb4 -:0207d7007efca6 -:0207d8007f0997 -:0207d9007f158a -:0207da007f217d -:0207db007f2c71 -:0207dc007f3765 -:0207dd007f4259 -:0207de007f4d4d -:0207df007f5742 -:0207e0007f6137 -:0207e1007f6b2c -:0207e2007f7422 -:0207e3007f7d18 -:0207e4007f860e -:0207e5007f8f04 -:0207e6007f97fb -:0207e7007f9ff2 -:0207e8007fa6ea -:0207e9007fade2 -:0207ea007fb4da -:0207eb007fbbd2 -:0207ec007fc1cb -:0207ed007fc7c4 -:0207ee007fcdbd -:0207ef007fd2b7 -:0207f0007fd8b0 -:0207f1007fdcab -:0207f2007fe1a5 -:0207f3007fe5a0 -:0207f4007fe99b -:0207f5007fec97 -:0207f6007ff092 -:0207f7007ff38e -:0207f8007ff58b -:0207f9007ff788 -:0207fa007ff985 -:0207fb007ffb82 -:0207fc007ffd7f -:0207fd007ffe7d -:0207fe007ffe7c -:0207ff007fff7a -:00000001FF diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_cos_f.hex b/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_cos_f.hex deleted file mode 100644 index 16bed90..0000000 --- a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_cos_f.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:020000007fff80 -:020001007fff7f -:020002007fff7e -:020003007fff7d -:020004007fff7c -:020005007fff7b -:020006007fff7a -:020007007fff79 -:020008007fff78 -:020009007fff77 -:02000a007fff76 -:02000b007fff75 -:02000c007fff74 -:02000d007fff73 -:02000e007fff72 -:02000f007fff71 -:020010007fff70 -:020011007fff6f -:020012007fff6e -:020013007fff6d -:020014007fff6c -:020015007fff6b -:020016007fff6a -:020017007fff69 -:020018007fff68 -:020019007fff67 -:02001a007fff66 -:02001b007fff65 -:02001c007fff64 -:02001d007fff63 -:02001e007fff62 -:02001f007fff61 -:020020007fff60 -:020021007fff5f -:020022007fff5e -:020023007fff5d -:020024007fff5c -:020025007fff5b -:020026007fff5a -:020027007fff59 -:020028007fff58 -:020029007fff57 -:02002a007fff56 -:02002b007fff55 -:02002c007fff54 -:02002d007fff53 -:02002e007fff52 -:02002f007fff51 -:020030007fff50 -:020031007fff4f -:020032007fff4e -:020033007fff4d -:020034007fff4c -:020035007fff4b -:020036007fff4a -:020037007fff49 -:020038007fff48 -:020039007fff47 -:02003a007fff46 -:02003b007fff45 -:02003c007fff44 -:02003d007fff43 -:02003e007fff42 -:02003f007fff41 -:020040007fff40 -:020041007fff3f -:020042007fff3e -:020043007fff3d -:020044007fff3c -:020045007fff3b -:020046007fff3a -:020047007fff39 -:020048007fff38 -:020049007fff37 -:02004a007fff36 -:02004b007fff35 -:02004c007fff34 -:02004d007fff33 -:02004e007fff32 -:02004f007fff31 -:020050007fff30 -:020051007fff2f -:020052007fff2e -:020053007fff2d -:020054007fff2c -:020055007fff2b -:020056007fff2a -:020057007fff29 -:020058007fff28 -:020059007fff27 -:02005a007fff26 -:02005b007fff25 -:02005c007fff24 -:02005d007fff23 -:02005e007fff22 -:02005f007fff21 -:020060007fff20 -:020061007fff1f -:020062007fff1e -:020063007fff1d -:020064007fff1c -:020065007fff1b -:020066007fff1a -:020067007fff19 -:020068007fff18 -:020069007fff17 -:02006a007fff16 -:02006b007fff15 -:02006c007fff14 -:02006d007fff13 -:02006e007fff12 -:02006f007fff11 -:020070007fff10 -:020071007fff0f -:020072007fff0e -:020073007fff0d -:020074007fff0c -:020075007fff0b -:020076007fff0a -:020077007fff09 -:020078007fff08 -:020079007fff07 -:02007a007fff06 -:02007b007fff05 -:02007c007fff04 -:02007d007fff03 -:02007e007fff02 -:02007f007fff01 -:020080007fff00 -:020081007fffff -:020082007ffffe -:020083007ffffd -:020084007ffffc -:020085007ffffb -:020086007ffffa -:020087007ffff9 -:020088007ffff8 -:020089007ffff7 -:02008a007ffff6 -:02008b007ffff5 -:02008c007ffff4 -:02008d007ffff3 -:02008e007ffff2 -:02008f007ffff1 -:020090007ffff0 -:020091007fffef -:020092007fffee -:020093007fffed -:020094007fffec -:020095007fffeb -:020096007fffea -:020097007fffe9 -:020098007fffe8 -:020099007fffe7 -:02009a007fffe6 -:02009b007fffe5 -:02009c007fffe4 -:02009d007fffe3 -:02009e007fffe2 -:02009f007fffe1 -:0200a0007fffe0 -:0200a1007fffdf -:0200a2007fffde -:0200a3007fffdd -:0200a4007fffdc -:0200a5007fffdb -:0200a6007fffda -:0200a7007fffd9 -:0200a8007fffd8 -:0200a9007fffd7 -:0200aa007fffd6 -:0200ab007fffd5 -:0200ac007fffd4 -:0200ad007fffd3 -:0200ae007fffd2 -:0200af007fffd1 -:0200b0007fffd0 -:0200b1007fffcf -:0200b2007fffce -:0200b3007fffcd -:0200b4007fffcc -:0200b5007fffcb -:0200b6007fffca -:0200b7007fffc9 -:0200b8007fffc8 -:0200b9007fffc7 -:0200ba007fffc6 -:0200bb007fffc5 -:0200bc007fffc4 -:0200bd007fffc3 -:0200be007fffc2 -:0200bf007fffc1 -:0200c0007fffc0 -:0200c1007fffbf -:0200c2007fffbe -:0200c3007fffbd -:0200c4007fffbc -:0200c5007fffbb -:0200c6007fffba -:0200c7007fffb9 -:0200c8007fffb8 -:0200c9007fffb7 -:0200ca007fffb6 -:0200cb007fffb5 -:0200cc007fffb4 -:0200cd007fffb3 -:0200ce007fffb2 -:0200cf007fffb1 -:0200d0007fffb0 -:0200d1007fffaf -:0200d2007fffae -:0200d3007fffad -:0200d4007fffac -:0200d5007fffab -:0200d6007fffaa -:0200d7007fffa9 -:0200d8007fffa8 -:0200d9007fffa7 -:0200da007fffa6 -:0200db007fffa5 -:0200dc007fffa4 -:0200dd007fffa3 -:0200de007fffa2 -:0200df007fffa1 -:0200e0007fffa0 -:0200e1007fff9f -:0200e2007fff9e -:0200e3007fff9d -:0200e4007fff9c -:0200e5007fff9b -:0200e6007fff9a -:0200e7007fff99 -:0200e8007fff98 -:0200e9007fff97 -:0200ea007fff96 -:0200eb007fff95 -:0200ec007fff94 -:0200ed007fff93 -:0200ee007fff92 -:0200ef007fff91 -:0200f0007fff90 -:0200f1007fff8f -:0200f2007fff8e -:0200f3007fff8d -:0200f4007fff8c -:0200f5007fff8b -:0200f6007fff8a -:0200f7007fff89 -:0200f8007fff88 -:0200f9007fff87 -:0200fa007fff86 -:0200fb007fff85 -:0200fc007fff84 -:0200fd007fff83 -:0200fe007fff82 -:0200ff007fff81 -:020100007fff7f -:020101007fff7e -:020102007fff7d -:020103007fff7c -:020104007fff7b -:020105007fff7a -:020106007fff79 -:020107007fff78 -:020108007fff77 -:020109007fff76 -:02010a007fff75 -:02010b007fff74 -:02010c007fff73 -:02010d007fff72 -:02010e007fff71 -:02010f007fff70 -:020110007fff6f -:020111007fff6e -:020112007fff6d -:020113007fff6c -:020114007fff6b -:020115007fff6a -:020116007fff69 -:020117007fff68 -:020118007fff67 -:020119007fff66 -:02011a007fff65 -:02011b007fff64 -:02011c007fff63 -:02011d007fff62 -:02011e007fff61 -:02011f007fff60 -:020120007fff5f -:020121007fff5e -:020122007fff5d -:020123007fff5c -:020124007fff5b -:020125007fff5a -:020126007fff59 -:020127007fff58 -:020128007fff57 -:020129007fff56 -:02012a007fff55 -:02012b007fff54 -:02012c007fff53 -:02012d007fff52 -:02012e007fff51 -:02012f007fff50 -:020130007fff4f -:020131007fff4e -:020132007fff4d -:020133007fff4c -:020134007fff4b -:020135007fff4a -:020136007fff49 -:020137007fff48 -:020138007fff47 -:020139007fff46 -:02013a007fff45 -:02013b007fff44 -:02013c007fff43 -:02013d007fff42 -:02013e007fff41 -:02013f007fff40 -:020140007fff3f -:020141007fff3e -:020142007fff3d -:020143007fff3c -:020144007fff3b -:020145007fff3a -:020146007fff39 -:020147007fff38 -:020148007fff37 -:020149007fff36 -:02014a007fff35 -:02014b007fff34 -:02014c007fff33 -:02014d007fff32 -:02014e007fff31 -:02014f007fff30 -:020150007fff2f -:020151007fff2e -:020152007fff2d -:020153007fff2c -:020154007fff2b -:020155007fff2a -:020156007fff29 -:020157007fff28 -:020158007fff27 -:020159007fff26 -:02015a007fff25 -:02015b007fff24 -:02015c007fff23 -:02015d007fff22 -:02015e007fff21 -:02015f007fff20 -:020160007fff1f -:020161007fff1e -:020162007fff1d -:020163007fff1c -:020164007fff1b -:020165007fff1a -:020166007fff19 -:020167007fff18 -:020168007fff17 -:020169007fff16 -:02016a007fff15 -:02016b007fff14 -:02016c007fff13 -:02016d007fff12 -:02016e007fff11 -:02016f007fff10 -:020170007fff0f -:020171007fff0e -:020172007fff0d -:020173007fff0c -:020174007fff0b -:020175007fff0a -:020176007fff09 -:020177007fff08 -:020178007fff07 -:020179007fff06 -:02017a007fff05 -:02017b007fff04 -:02017c007fff03 -:02017d007fff02 -:02017e007fff01 -:02017f007fff00 -:020180007fffff -:020181007ffffe -:020182007ffffd -:020183007ffffc -:020184007ffffb -:020185007ffffa -:020186007ffff9 -:020187007ffff8 -:020188007ffff7 -:020189007ffff6 -:02018a007ffff5 -:02018b007ffff4 -:02018c007ffff3 -:02018d007ffff2 -:02018e007ffff1 -:02018f007ffff0 -:020190007fffef -:020191007fffee -:020192007fffed -:020193007fffec -:020194007fffeb -:020195007fffea -:020196007fffe9 -:020197007fffe8 -:020198007fffe7 -:020199007fffe6 -:02019a007fffe5 -:02019b007fffe4 -:02019c007fffe3 -:02019d007fffe2 -:02019e007fffe1 -:02019f007fffe0 -:0201a0007fffdf -:0201a1007fffde -:0201a2007fffdd -:0201a3007fffdc -:0201a4007fffdb -:0201a5007fffda -:0201a6007fffd9 -:0201a7007fffd8 -:0201a8007fffd7 -:0201a9007fffd6 -:0201aa007fffd5 -:0201ab007fffd4 -:0201ac007fffd3 -:0201ad007fffd2 -:0201ae007fffd1 -:0201af007fffd0 -:0201b0007fffcf -:0201b1007fffce -:0201b2007fffcd -:0201b3007fffcc -:0201b4007fffcb -:0201b5007fffca -:0201b6007fffc9 -:0201b7007fffc8 -:0201b8007fffc7 -:0201b9007fffc6 -:0201ba007fffc5 -:0201bb007fffc4 -:0201bc007fffc3 -:0201bd007fffc2 -:0201be007fffc1 -:0201bf007fffc0 -:0201c0007fffbf -:0201c1007fffbe -:0201c2007fffbd -:0201c3007fffbc -:0201c4007fffbb -:0201c5007fffba -:0201c6007fffb9 -:0201c7007fffb8 -:0201c8007fffb7 -:0201c9007fffb6 -:0201ca007fffb5 -:0201cb007fffb4 -:0201cc007fffb3 -:0201cd007fffb2 -:0201ce007fffb1 -:0201cf007fffb0 -:0201d0007fffaf -:0201d1007fffae -:0201d2007fffad -:0201d3007fffac -:0201d4007fffab -:0201d5007fffaa -:0201d6007fffa9 -:0201d7007fffa8 -:0201d8007fffa7 -:0201d9007fffa6 -:0201da007fffa5 -:0201db007fffa4 -:0201dc007fffa3 -:0201dd007fffa2 -:0201de007fffa1 -:0201df007fffa0 -:0201e0007fff9f -:0201e1007fff9e -:0201e2007fff9d -:0201e3007fff9c -:0201e4007fff9b -:0201e5007fff9a -:0201e6007fff99 -:0201e7007fff98 -:0201e8007fff97 -:0201e9007fff96 -:0201ea007fff95 -:0201eb007fff94 -:0201ec007fff93 -:0201ed007fff92 -:0201ee007fff91 -:0201ef007fff90 -:0201f0007fff8f -:0201f1007fff8e -:0201f2007fff8d -:0201f3007fff8c -:0201f4007fff8b -:0201f5007fff8a -:0201f6007fff89 -:0201f7007fff88 -:0201f8007fff87 -:0201f9007fff86 -:0201fa007fff85 -:0201fb007fff84 -:0201fc007fff83 -:0201fd007fff82 -:0201fe007fff81 -:0201ff007fff80 -:020200007fff7e -:020201007fff7d -:020202007fff7c -:020203007fff7b -:020204007fff7a -:020205007fff79 -:020206007fff78 -:020207007fff77 -:020208007fff76 -:020209007fff75 -:02020a007fff74 -:02020b007fff73 -:02020c007fff72 -:02020d007fff71 -:02020e007fff70 -:02020f007fff6f -:020210007fff6e -:020211007fff6d -:020212007fff6c -:020213007fff6b -:020214007fff6a -:020215007fff69 -:020216007fff68 -:020217007fff67 -:020218007fff66 -:020219007fff65 -:02021a007fff64 -:02021b007fff63 -:02021c007fff62 -:02021d007fff61 -:02021e007fff60 -:02021f007fff5f -:020220007fff5e -:020221007fff5d -:020222007fff5c -:020223007fff5b -:020224007fff5a -:020225007fff59 -:020226007fff58 -:020227007fff57 -:020228007fff56 -:020229007fff55 -:02022a007fff54 -:02022b007fff53 -:02022c007fff52 -:02022d007fff51 -:02022e007fff50 -:02022f007fff4f -:020230007fff4e -:020231007fff4d -:020232007fff4c -:020233007fff4b -:020234007fff4a -:020235007fff49 -:020236007fff48 -:020237007fff47 -:020238007fff46 -:020239007fff45 -:02023a007fff44 -:02023b007fff43 -:02023c007fff42 -:02023d007fff41 -:02023e007fff40 -:02023f007fff3f -:020240007fff3e -:020241007fff3d -:020242007fff3c -:020243007fff3b -:020244007fff3a -:020245007fff39 -:020246007fff38 -:020247007fff37 -:020248007fff36 -:020249007fff35 -:02024a007fff34 -:02024b007fff33 -:02024c007fff32 -:02024d007fff31 -:02024e007fff30 -:02024f007fff2f -:020250007fff2e -:020251007fff2d -:020252007fff2c -:020253007fff2b -:020254007fff2a -:020255007fff29 -:020256007fff28 -:020257007fff27 -:020258007fff26 -:020259007fff25 -:02025a007fff24 -:02025b007fff23 -:02025c007fff22 -:02025d007fff21 -:02025e007fff20 -:02025f007fff1f -:020260007fff1e -:020261007fff1d -:020262007fff1c -:020263007fff1b -:020264007fff1a -:020265007fff19 -:020266007fff18 -:020267007fff17 -:020268007fff16 -:020269007fff15 -:02026a007fff14 -:02026b007fff13 -:02026c007fff12 -:02026d007fff11 -:02026e007fff10 -:02026f007fff0f -:020270007fff0e -:020271007fff0d -:020272007fff0c -:020273007fff0b -:020274007fff0a -:020275007fff09 -:020276007fff08 -:020277007fff07 -:020278007fff06 -:020279007fff05 -:02027a007fff04 -:02027b007fff03 -:02027c007fff02 -:02027d007fff01 -:02027e007fff00 -:02027f007fffff -:020280007ffffe -:020281007ffffd -:020282007ffffc -:020283007ffffb -:020284007ffffa -:020285007ffff9 -:020286007ffff8 -:020287007ffff7 -:020288007ffff6 -:020289007ffff5 -:02028a007ffff4 -:02028b007ffff3 -:02028c007ffff2 -:02028d007ffff1 -:02028e007ffff0 -:02028f007fffef -:020290007fffee -:020291007fffed -:020292007fffec -:020293007fffeb -:020294007fffea -:020295007fffe9 -:020296007fffe8 -:020297007fffe7 -:020298007fffe6 -:020299007fffe5 -:02029a007fffe4 -:02029b007fffe3 -:02029c007fffe2 -:02029d007fffe1 -:02029e007fffe0 -:02029f007fffdf -:0202a0007fffde -:0202a1007fffdd -:0202a2007fffdc -:0202a3007fffdb -:0202a4007fffda -:0202a5007fffd9 -:0202a6007fffd8 -:0202a7007fffd7 -:0202a8007fffd6 -:0202a9007fffd5 -:0202aa007fffd4 -:0202ab007fffd3 -:0202ac007fffd2 -:0202ad007fffd1 -:0202ae007fffd0 -:0202af007fffcf -:0202b0007fffce -:0202b1007fffcd -:0202b2007fffcc -:0202b3007fffcb -:0202b4007fffca -:0202b5007fffc9 -:0202b6007fffc8 -:0202b7007fffc7 -:0202b8007fffc6 -:0202b9007fffc5 -:0202ba007fffc4 -:0202bb007fffc3 -:0202bc007fffc2 -:0202bd007fffc1 -:0202be007fffc0 -:0202bf007fffbf -:0202c0007fffbe -:0202c1007fffbd -:0202c2007fffbc -:0202c3007fffbb -:0202c4007fffba -:0202c5007fffb9 -:0202c6007fffb8 -:0202c7007fffb7 -:0202c8007fffb6 -:0202c9007fffb5 -:0202ca007fffb4 -:0202cb007fffb3 -:0202cc007fffb2 -:0202cd007fffb1 -:0202ce007fffb0 -:0202cf007fffaf -:0202d0007fffae -:0202d1007fffad -:0202d2007fffac -:0202d3007fffab -:0202d4007fffaa -:0202d5007fffa9 -:0202d6007fffa8 -:0202d7007fffa7 -:0202d8007fffa6 -:0202d9007fffa5 -:0202da007fffa4 -:0202db007fffa3 -:0202dc007fffa2 -:0202dd007fffa1 -:0202de007fffa0 -:0202df007fff9f -:0202e0007fff9e -:0202e1007fff9d -:0202e2007fff9c -:0202e3007fff9b -:0202e4007fff9a -:0202e5007fff99 -:0202e6007fff98 -:0202e7007fff97 -:0202e8007fff96 -:0202e9007fff95 -:0202ea007fff94 -:0202eb007fff93 -:0202ec007fff92 -:0202ed007fff91 -:0202ee007fff90 -:0202ef007fff8f -:0202f0007fff8e -:0202f1007fff8d -:0202f2007fff8c -:0202f3007fff8b -:0202f4007fff8a -:0202f5007fff89 -:0202f6007fff88 -:0202f7007fff87 -:0202f8007fff86 -:0202f9007fff85 -:0202fa007fff84 -:0202fb007fff83 -:0202fc007fff82 -:0202fd007fff81 -:0202fe007fff80 -:0202ff007fff7f -:020300007fff7d -:020301007fff7c -:020302007fff7b -:020303007fff7a -:020304007fff79 -:020305007fff78 -:020306007fff77 -:020307007fff76 -:020308007fff75 -:020309007fff74 -:02030a007fff73 -:02030b007fff72 -:02030c007fff71 -:02030d007fff70 -:02030e007fff6f -:02030f007fff6e -:020310007fff6d -:020311007fff6c -:020312007fff6b -:020313007fff6a -:020314007fff69 -:020315007fff68 -:020316007fff67 -:020317007fff66 -:020318007fff65 -:020319007fff64 -:02031a007fff63 -:02031b007fff62 -:02031c007fff61 -:02031d007fff60 -:02031e007fff5f -:02031f007fff5e -:020320007fff5d -:020321007fff5c -:020322007fff5b -:020323007fff5a -:020324007fff59 -:020325007fff58 -:020326007fff57 -:020327007fff56 -:020328007fff55 -:020329007fff54 -:02032a007fff53 -:02032b007fff52 -:02032c007fff51 -:02032d007fff50 -:02032e007fff4f -:02032f007fff4e -:020330007fff4d -:020331007fff4c -:020332007fff4b -:020333007fff4a -:020334007fff49 -:020335007fff48 -:020336007fff47 -:020337007fff46 -:020338007fff45 -:020339007fff44 -:02033a007fff43 -:02033b007fff42 -:02033c007fff41 -:02033d007fff40 -:02033e007fff3f -:02033f007fff3e -:020340007fff3d -:020341007fff3c -:020342007fff3b -:020343007fff3a -:020344007fff39 -:020345007fff38 -:020346007fff37 -:020347007fff36 -:020348007fff35 -:020349007fff34 -:02034a007fff33 -:02034b007fff32 -:02034c007fff31 -:02034d007fff30 -:02034e007fff2f -:02034f007fff2e -:020350007fff2d -:020351007fff2c -:020352007fff2b -:020353007fff2a -:020354007fff29 -:020355007fff28 -:020356007fff27 -:020357007fff26 -:020358007fff25 -:020359007fff24 -:02035a007fff23 -:02035b007fff22 -:02035c007fff21 -:02035d007fff20 -:02035e007fff1f -:02035f007fff1e -:020360007fff1d -:020361007fff1c -:020362007fff1b -:020363007fff1a -:020364007fff19 -:020365007fff18 -:020366007fff17 -:020367007fff16 -:020368007fff15 -:020369007fff14 -:02036a007fff13 -:02036b007fff12 -:02036c007fff11 -:02036d007fff10 -:02036e007fff0f -:02036f007fff0e -:020370007fff0d -:020371007fff0c -:020372007fff0b -:020373007fff0a -:020374007fff09 -:020375007fff08 -:020376007fff07 -:020377007fff06 -:020378007fff05 -:020379007fff04 -:02037a007fff03 -:02037b007fff02 -:02037c007fff01 -:02037d007fff00 -:02037e007fffff -:02037f007ffffe -:020380007ffffd -:020381007ffffc -:020382007ffffb -:020383007ffffa -:020384007ffff9 -:020385007ffff8 -:020386007ffff7 -:020387007ffff6 -:020388007ffff5 -:020389007ffff4 -:02038a007ffff3 -:02038b007ffff2 -:02038c007ffff1 -:02038d007ffff0 -:02038e007fffef -:02038f007fffee -:020390007fffed -:020391007fffec -:020392007fffeb -:020393007fffea -:020394007fffe9 -:020395007fffe8 -:020396007fffe7 -:020397007fffe6 -:020398007fffe5 -:020399007fffe4 -:02039a007fffe3 -:02039b007fffe2 -:02039c007fffe1 -:02039d007fffe0 -:02039e007fffdf -:02039f007fffde -:0203a0007fffdd -:0203a1007fffdc -:0203a2007fffdb -:0203a3007fffda -:0203a4007fffd9 -:0203a5007fffd8 -:0203a6007fffd7 -:0203a7007fffd6 -:0203a8007fffd5 -:0203a9007fffd4 -:0203aa007fffd3 -:0203ab007fffd2 -:0203ac007fffd1 -:0203ad007fffd0 -:0203ae007fffcf -:0203af007fffce -:0203b0007fffcd -:0203b1007fffcc -:0203b2007fffcb -:0203b3007fffca -:0203b4007fffc9 -:0203b5007fffc8 -:0203b6007fffc7 -:0203b7007fffc6 -:0203b8007fffc5 -:0203b9007fffc4 -:0203ba007fffc3 -:0203bb007fffc2 -:0203bc007fffc1 -:0203bd007fffc0 -:0203be007fffbf -:0203bf007fffbe -:0203c0007fffbd -:0203c1007fffbc -:0203c2007fffbb -:0203c3007fffba -:0203c4007fffb9 -:0203c5007fffb8 -:0203c6007fffb7 -:0203c7007fffb6 -:0203c8007fffb5 -:0203c9007fffb4 -:0203ca007fffb3 -:0203cb007fffb2 -:0203cc007fffb1 -:0203cd007fffb0 -:0203ce007fffaf -:0203cf007fffae -:0203d0007fffad -:0203d1007fffac -:0203d2007fffab -:0203d3007fffaa -:0203d4007fffa9 -:0203d5007fffa8 -:0203d6007fffa7 -:0203d7007fffa6 -:0203d8007fffa5 -:0203d9007fffa4 -:0203da007fffa3 -:0203db007fffa2 -:0203dc007fffa1 -:0203dd007fffa0 -:0203de007fff9f -:0203df007fff9e -:0203e0007fff9d -:0203e1007fff9c -:0203e2007fff9b -:0203e3007fff9a -:0203e4007fff99 -:0203e5007fff98 -:0203e6007fff97 -:0203e7007fff96 -:0203e8007fff95 -:0203e9007fff94 -:0203ea007fff93 -:0203eb007fff92 -:0203ec007fff91 -:0203ed007fff90 -:0203ee007fff8f -:0203ef007fff8e -:0203f0007fff8d -:0203f1007fff8c -:0203f2007fff8b -:0203f3007fff8a -:0203f4007fff89 -:0203f5007fff88 -:0203f6007fff87 -:0203f7007fff86 -:0203f8007fff85 -:0203f9007fff84 -:0203fa007fff83 -:0203fb007fff82 -:0203fc007fff81 -:0203fd007fff80 -:0203fe007fff7f -:0203ff007fff7e -:020400007fff7c -:020401007fff7b -:020402007fff7a -:020403007fff79 -:020404007fff78 -:020405007fff77 -:020406007fff76 -:020407007fff75 -:020408007fff74 -:020409007fff73 -:02040a007fff72 -:02040b007fff71 -:02040c007fff70 -:02040d007fff6f -:02040e007fff6e -:02040f007fff6d -:020410007fff6c -:020411007fff6b -:020412007fff6a -:020413007fff69 -:020414007fff68 -:020415007fff67 -:020416007fff66 -:020417007fff65 -:020418007fff64 -:020419007fff63 -:02041a007fff62 -:02041b007fff61 -:02041c007fff60 -:02041d007fff5f -:02041e007fff5e -:02041f007fff5d -:020420007fff5c -:020421007fff5b -:020422007fff5a -:020423007fff59 -:020424007fff58 -:020425007fff57 -:020426007fff56 -:020427007fff55 -:020428007fff54 -:020429007fff53 -:02042a007fff52 -:02042b007fff51 -:02042c007fff50 -:02042d007fff4f -:02042e007fff4e -:02042f007fff4d -:020430007fff4c -:020431007fff4b -:020432007fff4a -:020433007fff49 -:020434007fff48 -:020435007fff47 -:020436007fff46 -:020437007fff45 -:020438007fff44 -:020439007fff43 -:02043a007fff42 -:02043b007fff41 -:02043c007fff40 -:02043d007fff3f -:02043e007fff3e -:02043f007fff3d -:020440007fff3c -:020441007fff3b -:020442007fff3a -:020443007fff39 -:020444007fff38 -:020445007fff37 -:020446007fff36 -:020447007fff35 -:020448007fff34 -:020449007fff33 -:02044a007fff32 -:02044b007fff31 -:02044c007fff30 -:02044d007fff2f -:02044e007fff2e -:02044f007fff2d -:020450007fff2c -:020451007fff2b -:020452007fff2a -:020453007fff29 -:020454007fff28 -:020455007fff27 -:020456007fff26 -:020457007fff25 -:020458007fff24 -:020459007fff23 -:02045a007fff22 -:02045b007fff21 -:02045c007fff20 -:02045d007fff1f -:02045e007fff1e -:02045f007fff1d -:020460007fff1c -:020461007fff1b -:020462007fff1a -:020463007fff19 -:020464007fff18 -:020465007fff17 -:020466007fff16 -:020467007fff15 -:020468007fff14 -:020469007fff13 -:02046a007fff12 -:02046b007fff11 -:02046c007fff10 -:02046d007fff0f -:02046e007fff0e -:02046f007fff0d -:020470007fff0c -:020471007fff0b -:020472007fff0a -:020473007fff09 -:020474007fff08 -:020475007fff07 -:020476007fff06 -:020477007fff05 -:020478007fff04 -:020479007fff03 -:02047a007fff02 -:02047b007fff01 -:02047c007fff00 -:02047d007fffff -:02047e007ffffe -:02047f007ffffd -:020480007ffffc -:020481007ffffb -:020482007ffffa -:020483007ffff9 -:020484007ffff8 -:020485007ffff7 -:020486007ffff6 -:020487007ffff5 -:020488007ffff4 -:020489007ffff3 -:02048a007ffff2 -:02048b007ffff1 -:02048c007ffff0 -:02048d007fffef -:02048e007fffee -:02048f007fffed -:020490007fffec -:020491007fffeb -:020492007fffea -:020493007fffe9 -:020494007fffe8 -:020495007fffe7 -:020496007fffe6 -:020497007fffe5 -:020498007fffe4 -:020499007fffe3 -:02049a007fffe2 -:02049b007fffe1 -:02049c007fffe0 -:02049d007fffdf -:02049e007fffde -:02049f007fffdd -:0204a0007fffdc -:0204a1007fffdb -:0204a2007fffda -:0204a3007fffd9 -:0204a4007fffd8 -:0204a5007fffd7 -:0204a6007fffd6 -:0204a7007fffd5 -:0204a8007fffd4 -:0204a9007fffd3 -:0204aa007fffd2 -:0204ab007fffd1 -:0204ac007fffd0 -:0204ad007fffcf -:0204ae007fffce -:0204af007fffcd -:0204b0007fffcc -:0204b1007fffcb -:0204b2007fffca -:0204b3007fffc9 -:0204b4007fffc8 -:0204b5007fffc7 -:0204b6007fffc6 -:0204b7007fffc5 -:0204b8007fffc4 -:0204b9007fffc3 -:0204ba007fffc2 -:0204bb007fffc1 -:0204bc007fffc0 -:0204bd007fffbf -:0204be007fffbe -:0204bf007fffbd -:0204c0007fffbc -:0204c1007fffbb -:0204c2007fffba -:0204c3007fffb9 -:0204c4007fffb8 -:0204c5007fffb7 -:0204c6007fffb6 -:0204c7007fffb5 -:0204c8007fffb4 -:0204c9007fffb3 -:0204ca007fffb2 -:0204cb007fffb1 -:0204cc007fffb0 -:0204cd007fffaf -:0204ce007fffae -:0204cf007fffad -:0204d0007fffac -:0204d1007fffab -:0204d2007fffaa -:0204d3007fffa9 -:0204d4007fffa8 -:0204d5007fffa7 -:0204d6007fffa6 -:0204d7007fffa5 -:0204d8007fffa4 -:0204d9007fffa3 -:0204da007fffa2 -:0204db007fffa1 -:0204dc007fffa0 -:0204dd007fff9f -:0204de007fff9e -:0204df007fff9d -:0204e0007fff9c -:0204e1007fff9b -:0204e2007fff9a -:0204e3007fff99 -:0204e4007fff98 -:0204e5007fff97 -:0204e6007fff96 -:0204e7007fff95 -:0204e8007fff94 -:0204e9007fff93 -:0204ea007fff92 -:0204eb007fff91 -:0204ec007fff90 -:0204ed007fff8f -:0204ee007fff8e -:0204ef007fff8d -:0204f0007fff8c -:0204f1007fff8b -:0204f2007fff8a -:0204f3007fff89 -:0204f4007fff88 -:0204f5007fff87 -:0204f6007fff86 -:0204f7007fff85 -:0204f8007fff84 -:0204f9007fff83 -:0204fa007fff82 -:0204fb007fff81 -:0204fc007fff80 -:0204fd007fff7f -:0204fe007fff7e -:0204ff007fff7d -:020500007fff7b -:020501007fff7a -:020502007fff79 -:020503007fff78 -:020504007fff77 -:020505007fff76 -:020506007fff75 -:020507007fff74 -:020508007fff73 -:020509007fff72 -:02050a007fff71 -:02050b007fff70 -:02050c007fff6f -:02050d007fff6e -:02050e007fff6d -:02050f007fff6c -:020510007fff6b -:020511007fff6a -:020512007fff69 -:020513007fff68 -:020514007fff67 -:020515007fff66 -:020516007fff65 -:020517007fff64 -:020518007fff63 -:020519007fff62 -:02051a007fff61 -:02051b007fff60 -:02051c007fff5f -:02051d007fff5e -:02051e007fff5d -:02051f007fff5c -:020520007fff5b -:020521007fff5a -:020522007fff59 -:020523007fff58 -:020524007fff57 -:020525007fff56 -:020526007fff55 -:020527007fff54 -:020528007fff53 -:020529007fff52 -:02052a007fff51 -:02052b007fff50 -:02052c007fff4f -:02052d007fff4e -:02052e007fff4d -:02052f007fff4c -:020530007fff4b -:020531007fff4a -:020532007fff49 -:020533007fff48 -:020534007fff47 -:020535007fff46 -:020536007fff45 -:020537007fff44 -:020538007fff43 -:020539007fff42 -:02053a007fff41 -:02053b007fff40 -:02053c007fff3f -:02053d007fff3e -:02053e007fff3d -:02053f007fff3c -:020540007fff3b -:020541007fff3a -:020542007fff39 -:020543007fff38 -:020544007fff37 -:020545007fff36 -:020546007fff35 -:020547007fff34 -:020548007fff33 -:020549007fff32 -:02054a007fff31 -:02054b007fff30 -:02054c007fff2f -:02054d007fff2e -:02054e007fff2d -:02054f007fff2c -:020550007fff2b -:020551007fff2a -:020552007fff29 -:020553007fff28 -:020554007fff27 -:020555007fff26 -:020556007fff25 -:020557007fff24 -:020558007fff23 -:020559007fff22 -:02055a007fff21 -:02055b007fff20 -:02055c007fff1f -:02055d007fff1e -:02055e007fff1d -:02055f007fff1c -:020560007fff1b -:020561007fff1a -:020562007fff19 -:020563007fff18 -:020564007fff17 -:020565007fff16 -:020566007fff15 -:020567007fff14 -:020568007fff13 -:020569007fff12 -:02056a007fff11 -:02056b007fff10 -:02056c007fff0f -:02056d007fff0e -:02056e007fff0d -:02056f007fff0c -:020570007fff0b -:020571007fff0a -:020572007fff09 -:020573007fff08 -:020574007fff07 -:020575007fff06 -:020576007fff05 -:020577007fff04 -:020578007fff03 -:020579007fff02 -:02057a007fff01 -:02057b007fff00 -:02057c007fffff -:02057d007ffffe -:02057e007ffffd -:02057f007ffffc -:020580007ffffb -:020581007ffffa -:020582007ffff9 -:020583007ffff8 -:020584007ffff7 -:020585007ffff6 -:020586007ffff5 -:020587007ffff4 -:020588007ffff3 -:020589007ffff2 -:02058a007ffff1 -:02058b007ffff0 -:02058c007fffef -:02058d007fffee -:02058e007fffed -:02058f007fffec -:020590007fffeb -:020591007fffea -:020592007fffe9 -:020593007fffe8 -:020594007fffe7 -:020595007fffe6 -:020596007fffe5 -:020597007fffe4 -:020598007fffe3 -:020599007fffe2 -:02059a007fffe1 -:02059b007fffe0 -:02059c007fffdf -:02059d007fffde -:02059e007fffdd -:02059f007fffdc -:0205a0007fffdb -:0205a1007fffda -:0205a2007fffd9 -:0205a3007fffd8 -:0205a4007fffd7 -:0205a5007fffd6 -:0205a6007fffd5 -:0205a7007fffd4 -:0205a8007fffd3 -:0205a9007fffd2 -:0205aa007fffd1 -:0205ab007fffd0 -:0205ac007fffcf -:0205ad007fffce -:0205ae007fffcd -:0205af007fffcc -:0205b0007fffcb -:0205b1007fffca -:0205b2007fffc9 -:0205b3007fffc8 -:0205b4007fffc7 -:0205b5007fffc6 -:0205b6007fffc5 -:0205b7007fffc4 -:0205b8007fffc3 -:0205b9007fffc2 -:0205ba007fffc1 -:0205bb007fffc0 -:0205bc007fffbf -:0205bd007fffbe -:0205be007fffbd -:0205bf007fffbc -:0205c0007fffbb -:0205c1007fffba -:0205c2007fffb9 -:0205c3007fffb8 -:0205c4007fffb7 -:0205c5007fffb6 -:0205c6007fffb5 -:0205c7007fffb4 -:0205c8007fffb3 -:0205c9007fffb2 -:0205ca007fffb1 -:0205cb007fffb0 -:0205cc007fffaf -:0205cd007fffae -:0205ce007fffad -:0205cf007fffac -:0205d0007fffab -:0205d1007fffaa -:0205d2007fffa9 -:0205d3007fffa8 -:0205d4007fffa7 -:0205d5007fffa6 -:0205d6007fffa5 -:0205d7007fffa4 -:0205d8007fffa3 -:0205d9007fffa2 -:0205da007fffa1 -:0205db007fffa0 -:0205dc007fff9f -:0205dd007fff9e -:0205de007fff9d -:0205df007fff9c -:0205e0007fff9b -:0205e1007fff9a -:0205e2007fff99 -:0205e3007fff98 -:0205e4007fff97 -:0205e5007fff96 -:0205e6007fff95 -:0205e7007fff94 -:0205e8007fff93 -:0205e9007fff92 -:0205ea007fff91 -:0205eb007fff90 -:0205ec007fff8f -:0205ed007fff8e -:0205ee007fff8d -:0205ef007fff8c -:0205f0007fff8b -:0205f1007fff8a -:0205f2007fff89 -:0205f3007fff88 -:0205f4007fff87 -:0205f5007fff86 -:0205f6007fff85 -:0205f7007fff84 -:0205f8007fff83 -:0205f9007fff82 -:0205fa007fff81 -:0205fb007fff80 -:0205fc007fff7f -:0205fd007fff7e -:0205fe007fff7d -:0205ff007fff7c -:020600007fff7a -:020601007fff79 -:020602007fff78 -:020603007fff77 -:020604007fff76 -:020605007fff75 -:020606007fff74 -:020607007fff73 -:020608007fff72 -:020609007fff71 -:02060a007fff70 -:02060b007fff6f -:02060c007fff6e -:02060d007fff6d -:02060e007fff6c -:02060f007fff6b -:020610007fff6a -:020611007fff69 -:020612007fff68 -:020613007fff67 -:020614007fff66 -:020615007fff65 -:020616007fff64 -:020617007fff63 -:020618007fff62 -:020619007fff61 -:02061a007fff60 -:02061b007fff5f -:02061c007fff5e -:02061d007fff5d -:02061e007fff5c -:02061f007fff5b -:020620007fff5a -:020621007fff59 -:020622007fff58 -:020623007fff57 -:020624007fff56 -:020625007fff55 -:020626007fff54 -:020627007fff53 -:020628007fff52 -:020629007fff51 -:02062a007fff50 -:02062b007fff4f -:02062c007fff4e -:02062d007fff4d -:02062e007fff4c -:02062f007fff4b -:020630007fff4a -:020631007fff49 -:020632007fff48 -:020633007fff47 -:020634007fff46 -:020635007fff45 -:020636007fff44 -:020637007fff43 -:020638007fff42 -:020639007fff41 -:02063a007fff40 -:02063b007fff3f -:02063c007fff3e -:02063d007fff3d -:02063e007fff3c -:02063f007fff3b -:020640007fff3a -:020641007fff39 -:020642007fff38 -:020643007fff37 -:020644007fff36 -:020645007fff35 -:020646007fff34 -:020647007fff33 -:020648007fff32 -:020649007fff31 -:02064a007fff30 -:02064b007fff2f -:02064c007fff2e -:02064d007fff2d -:02064e007fff2c -:02064f007fff2b -:020650007fff2a -:020651007fff29 -:020652007fff28 -:020653007fff27 -:020654007fff26 -:020655007fff25 -:020656007fff24 -:020657007fff23 -:020658007fff22 -:020659007fff21 -:02065a007fff20 -:02065b007fff1f -:02065c007fff1e -:02065d007fff1d -:02065e007fff1c -:02065f007fff1b -:020660007fff1a -:020661007fff19 -:020662007fff18 -:020663007fff17 -:020664007fff16 -:020665007fff15 -:020666007fff14 -:020667007fff13 -:020668007fff12 -:020669007fff11 -:02066a007fff10 -:02066b007fff0f -:02066c007fff0e -:02066d007fff0d -:02066e007fff0c -:02066f007fff0b -:020670007fff0a -:020671007fff09 -:020672007fff08 -:020673007fff07 -:020674007fff06 -:020675007fff05 -:020676007fff04 -:020677007fff03 -:020678007fff02 -:020679007fff01 -:02067a007fff00 -:02067b007fffff -:02067c007ffffe -:02067d007ffffd -:02067e007ffffc -:02067f007ffffb -:020680007ffffa -:020681007ffff9 -:020682007ffff8 -:020683007ffff7 -:020684007ffff6 -:020685007ffff5 -:020686007ffff4 -:020687007ffff3 -:020688007ffff2 -:020689007ffff1 -:02068a007ffff0 -:02068b007fffef -:02068c007fffee -:02068d007fffed -:02068e007fffec -:02068f007fffeb -:020690007fffea -:020691007fffe9 -:020692007fffe8 -:020693007fffe7 -:020694007fffe6 -:020695007fffe5 -:020696007fffe4 -:020697007fffe3 -:020698007fffe2 -:020699007fffe1 -:02069a007fffe0 -:02069b007fffdf -:02069c007fffde -:02069d007fffdd -:02069e007fffdc -:02069f007fffdb -:0206a0007fffda -:0206a1007fffd9 -:0206a2007fffd8 -:0206a3007fffd7 -:0206a4007fffd6 -:0206a5007fffd5 -:0206a6007fffd4 -:0206a7007fffd3 -:0206a8007fffd2 -:0206a9007fffd1 -:0206aa007fffd0 -:0206ab007fffcf -:0206ac007fffce -:0206ad007fffcd -:0206ae007fffcc -:0206af007fffcb -:0206b0007fffca -:0206b1007fffc9 -:0206b2007fffc8 -:0206b3007fffc7 -:0206b4007fffc6 -:0206b5007fffc5 -:0206b6007fffc4 -:0206b7007fffc3 -:0206b8007fffc2 -:0206b9007fffc1 -:0206ba007fffc0 -:0206bb007fffbf -:0206bc007fffbe -:0206bd007fffbd -:0206be007fffbc -:0206bf007fffbb -:0206c0007fffba -:0206c1007fffb9 -:0206c2007fffb8 -:0206c3007fffb7 -:0206c4007fffb6 -:0206c5007fffb5 -:0206c6007fffb4 -:0206c7007fffb3 -:0206c8007fffb2 -:0206c9007fffb1 -:0206ca007fffb0 -:0206cb007fffaf -:0206cc007fffae -:0206cd007fffad -:0206ce007fffac -:0206cf007fffab -:0206d0007fffaa -:0206d1007fffa9 -:0206d2007fffa8 -:0206d3007fffa7 -:0206d4007fffa6 -:0206d5007fffa5 -:0206d6007fffa4 -:0206d7007fffa3 -:0206d8007fffa2 -:0206d9007fffa1 -:0206da007fffa0 -:0206db007fff9f -:0206dc007fff9e -:0206dd007fff9d -:0206de007fff9c -:0206df007fff9b -:0206e0007fff9a -:0206e1007fff99 -:0206e2007fff98 -:0206e3007fff97 -:0206e4007fff96 -:0206e5007fff95 -:0206e6007fff94 -:0206e7007fff93 -:0206e8007fff92 -:0206e9007fff91 -:0206ea007fff90 -:0206eb007fff8f -:0206ec007fff8e -:0206ed007fff8d -:0206ee007fff8c -:0206ef007fff8b -:0206f0007fff8a -:0206f1007fff89 -:0206f2007fff88 -:0206f3007fff87 -:0206f4007fff86 -:0206f5007fff85 -:0206f6007fff84 -:0206f7007fff83 -:0206f8007fff82 -:0206f9007fff81 -:0206fa007fff80 -:0206fb007fff7f -:0206fc007fff7e -:0206fd007fff7d -:0206fe007fff7c -:0206ff007fff7b -:020700007fff79 -:020701007fff78 -:020702007fff77 -:020703007fff76 -:020704007fff75 -:020705007fff74 -:020706007fff73 -:020707007fff72 -:020708007fff71 -:020709007fff70 -:02070a007fff6f -:02070b007fff6e -:02070c007fff6d -:02070d007fff6c -:02070e007fff6b -:02070f007fff6a -:020710007fff69 -:020711007fff68 -:020712007fff67 -:020713007fff66 -:020714007fff65 -:020715007fff64 -:020716007fff63 -:020717007fff62 -:020718007fff61 -:020719007fff60 -:02071a007fff5f -:02071b007fff5e -:02071c007fff5d -:02071d007fff5c -:02071e007fff5b -:02071f007fff5a -:020720007fff59 -:020721007fff58 -:020722007fff57 -:020723007fff56 -:020724007fff55 -:020725007fff54 -:020726007fff53 -:020727007fff52 -:020728007fff51 -:020729007fff50 -:02072a007fff4f -:02072b007fff4e -:02072c007fff4d -:02072d007fff4c -:02072e007fff4b -:02072f007fff4a -:020730007fff49 -:020731007fff48 -:020732007fff47 -:020733007fff46 -:020734007fff45 -:020735007fff44 -:020736007fff43 -:020737007fff42 -:020738007fff41 -:020739007fff40 -:02073a007fff3f -:02073b007fff3e -:02073c007fff3d -:02073d007fff3c -:02073e007fff3b -:02073f007fff3a -:020740007fff39 -:020741007fff38 -:020742007fff37 -:020743007fff36 -:020744007fff35 -:020745007fff34 -:020746007fff33 -:020747007fff32 -:020748007fff31 -:020749007fff30 -:02074a007fff2f -:02074b007fff2e -:02074c007fff2d -:02074d007fff2c -:02074e007fff2b -:02074f007fff2a -:020750007fff29 -:020751007fff28 -:020752007fff27 -:020753007fff26 -:020754007fff25 -:020755007fff24 -:020756007fff23 -:020757007fff22 -:020758007fff21 -:020759007fff20 -:02075a007fff1f -:02075b007fff1e -:02075c007fff1d -:02075d007fff1c -:02075e007fff1b -:02075f007fff1a -:020760007fff19 -:020761007fff18 -:020762007fff17 -:020763007fff16 -:020764007fff15 -:020765007fff14 -:020766007fff13 -:020767007fff12 -:020768007fff11 -:020769007fff10 -:02076a007fff0f -:02076b007fff0e -:02076c007fff0d -:02076d007fff0c -:02076e007fff0b -:02076f007fff0a -:020770007fff09 -:020771007fff08 -:020772007fff07 -:020773007fff06 -:020774007fff05 -:020775007fff04 -:020776007fff03 -:020777007fff02 -:020778007fff01 -:020779007fff00 -:02077a007fffff -:02077b007ffffe -:02077c007ffffd -:02077d007ffffc -:02077e007ffffb -:02077f007ffffa -:020780007ffff9 -:020781007ffff8 -:020782007ffff7 -:020783007ffff6 -:020784007ffff5 -:020785007ffff4 -:020786007ffff3 -:020787007ffff2 -:020788007ffff1 -:020789007ffff0 -:02078a007fffef -:02078b007fffee -:02078c007fffed -:02078d007fffec -:02078e007fffeb -:02078f007fffea -:020790007fffe9 -:020791007fffe8 -:020792007fffe7 -:020793007fffe6 -:020794007fffe5 -:020795007fffe4 -:020796007fffe3 -:020797007fffe2 -:020798007fffe1 -:020799007fffe0 -:02079a007fffdf -:02079b007fffde -:02079c007fffdd -:02079d007fffdc -:02079e007fffdb -:02079f007fffda -:0207a0007fffd9 -:0207a1007fffd8 -:0207a2007fffd7 -:0207a3007fffd6 -:0207a4007fffd5 -:0207a5007fffd4 -:0207a6007fffd3 -:0207a7007fffd2 -:0207a8007fffd1 -:0207a9007fffd0 -:0207aa007fffcf -:0207ab007fffce -:0207ac007fffcd -:0207ad007fffcc -:0207ae007fffcb -:0207af007fffca -:0207b0007fffc9 -:0207b1007fffc8 -:0207b2007fffc7 -:0207b3007fffc6 -:0207b4007fffc5 -:0207b5007fffc4 -:0207b6007fffc3 -:0207b7007fffc2 -:0207b8007fffc1 -:0207b9007fffc0 -:0207ba007fffbf -:0207bb007fffbe -:0207bc007fffbd -:0207bd007fffbc -:0207be007fffbb -:0207bf007fffba -:0207c0007fffb9 -:0207c1007fffb8 -:0207c2007fffb7 -:0207c3007fffb6 -:0207c4007fffb5 -:0207c5007fffb4 -:0207c6007fffb3 -:0207c7007fffb2 -:0207c8007fffb1 -:0207c9007fffb0 -:0207ca007fffaf -:0207cb007fffae -:0207cc007fffad -:0207cd007fffac -:0207ce007fffab -:0207cf007fffaa -:0207d0007fffa9 -:0207d1007fffa8 -:0207d2007fffa7 -:0207d3007fffa6 -:0207d4007fffa5 -:0207d5007fffa4 -:0207d6007fffa3 -:0207d7007fffa2 -:0207d8007fffa1 -:0207d9007fffa0 -:0207da007fff9f -:0207db007fff9e -:0207dc007fff9d -:0207dd007fff9c -:0207de007fff9b -:0207df007fff9a -:0207e0007fff99 -:0207e1007fff98 -:0207e2007fff97 -:0207e3007fff96 -:0207e4007fff95 -:0207e5007fff94 -:0207e6007fff93 -:0207e7007fff92 -:0207e8007fff91 -:0207e9007fff90 -:0207ea007fff8f -:0207eb007fff8e -:0207ec007fff8d -:0207ed007fff8c -:0207ee007fff8b -:0207ef007fff8a -:0207f0007fff89 -:0207f1007fff88 -:0207f2007fff87 -:0207f3007fff86 -:0207f4007fff85 -:0207f5007fff84 -:0207f6007fff83 -:0207f7007fff82 -:0207f8007fff81 -:0207f9007fff80 -:0207fa007fff7f -:0207fb007fff7e -:0207fc007fff7d -:0207fd007fff7c -:0207fe007fff7b -:0207ff007fff7a -:00000001FF diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_sin_c.hex b/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_sin_c.hex deleted file mode 100644 index d20753a..0000000 --- a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_sin_c.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:020000000000fe -:02000100006598 -:0200020000c933 -:02000300012ecc -:02000400019267 -:0200050001f701 -:02000600025b9b -:0200070002c035 -:020008000324cf -:02000900038969 -:02000a0003ed04 -:02000b0004529d -:02000c0004b638 -:02000d00051bd1 -:02000e00057f6c -:02000f0005e307 -:020010000648a0 -:0200110006ac3b -:020012000711d4 -:0200130007756f -:0200140007d90a -:02001500083ea3 -:0200160008a23e -:020017000906d8 -:02001800096a73 -:0200190009cf0d -:02001a000a33a7 -:02001b000a9742 -:02001c000afbdd -:02001d000b5f77 -:02001e000bc411 -:02001f000c28ab -:020020000c8c46 -:020021000cf0e1 -:020022000d547b -:020023000db816 -:020024000e1cb0 -:020025000e804b -:020026000ee3e7 -:020027000f4781 -:020028000fab1c -:02002900100fb6 -:02002a00107252 -:02002b0010d6ed -:02002c00113a87 -:02002d00119d23 -:02002e001201bd -:02002f00126459 -:0200300012c8f4 -:02003100132b8f -:02003200138f2a -:0200330013f2c6 -:02003400145561 -:0200350014b9fc -:02003600151c97 -:02003700157f33 -:0200380015e2cf -:0200390016456a -:02003a0016a806 -:02003b00170ba1 -:02003c00176e3d -:02003d0017d0da -:02003e00183375 -:02003f00189611 -:0200400018f9ad -:02004100195b49 -:0200420019bee5 -:020043001a2081 -:020044001a821e -:020045001ae5ba -:020046001b4756 -:020047001ba9f3 -:020048001c0b8f -:020049001c6d2c -:02004a001ccfc9 -:02004b001d3165 -:02004c001d9302 -:02004d001df59f -:02004e001e573b -:02004f001eb8d9 -:020050001f1a75 -:020051001f7b13 -:020052001fddb0 -:02005300203e4d -:02005400209feb -:02005500210088 -:02005600216126 -:0200570021c2c4 -:02005800222361 -:020059002284ff -:02005a0022e59d -:02005b0023463a -:02005c0023a6d9 -:02005d00240776 -:02005e00246715 -:02005f0024c8b3 -:02006000252851 -:020061002588f0 -:0200620025e88f -:0200630026482d -:0200640026a8cc -:0200650027086a -:0200660027670a -:0200670027c7a9 -:02006800282648 -:020069002886e7 -:02006a0028e587 -:02006b00294426 -:02006c0029a3c6 -:02006d002a0265 -:02006e002a6105 -:02006f002ac0a5 -:020070002b1f44 -:020071002b7de5 -:020072002bdc85 -:020073002c3a25 -:020074002c99c5 -:020075002cf766 -:020076002d5506 -:020077002db3a7 -:020078002e1147 -:020079002e6ee9 -:02007a002ecc8a -:02007b002f2a2a -:02007c002f87cc -:02007d002fe46e -:02007e0030410f -:02007f00309eb1 -:0200800030fb53 -:020081003158f4 -:0200820031b596 -:02008300321138 -:02008400326eda -:0200850032ca7d -:0200860033261f -:020087003383c1 -:0200880033df64 -:02008900343a07 -:02008a003496aa -:02008b0034f24d -:02008c00354df0 -:02008d0035a894 -:02008e00360436 -:02008f00365fda -:0200900036ba7e -:02009100371521 -:02009200376fc6 -:0200930037ca6a -:0200940038240e -:02009500387eb3 -:0200960038d957 -:020097003933fb -:02009800398ca1 -:0200990039e646 -:02009a003a40ea -:02009b003a9990 -:02009c003af236 -:02009d003b4cda -:02009e003ba580 -:02009f003bfe26 -:0200a0003c56cc -:0200a1003caf72 -:0200a2003d0718 -:0200a3003d60be -:0200a4003db865 -:0200a5003e100b -:0200a6003e68b2 -:0200a7003ebf5a -:0200a8003f1700 -:0200a9003f6ea8 -:0200aa003fc550 -:0200ab00401df6 -:0200ac0040739f -:0200ad0040ca47 -:0200ae004121ee -:0200af00417797 -:0200b00041ce3f -:0200b1004224e7 -:0200b200427a90 -:0200b30042d039 -:0200b4004325e2 -:0200b500437b8b -:0200b60043d035 -:0200b7004425de -:0200b800447a88 -:0200b90044cf32 -:0200ba004524db -:0200bb00457886 -:0200bc0045cd30 -:0200bd004621da -:0200be00467585 -:0200bf0046c930 -:0200c000471cdb -:0200c100477086 -:0200c20047c332 -:0200c3004816dd -:0200c400486989 -:0200c50048bc35 -:0200c600490fe0 -:0200c70049618d -:0200c80049b439 -:0200c9004a06e5 -:0200ca004a5892 -:0200cb004aa940 -:0200cc004afbed -:0200cd004b4c9a -:0200ce004b9d48 -:0200cf004beef6 -:0200d0004c3fa3 -:0200d1004c9051 -:0200d2004ce000 -:0200d3004d31ad -:0200d4004d815c -:0200d5004dd10b -:0200d6004e20ba -:0200d7004e7069 -:0200d8004ebf19 -:0200d9004f0ec8 -:0200da004f5d78 -:0200db004fac28 -:0200dc004ffbd8 -:0200dd00504988 -:0200de00509739 -:0200df0050e5ea -:0200e00051339a -:0200e10051814b -:0200e20051cefd -:0200e300521bae -:0200e400526860 -:0200e50052b512 -:0200e6005302c3 -:0200e700534e76 -:0200e800539b28 -:0200e90053e7db -:0200ea0054328e -:0200eb00547e41 -:0200ec0054c9f5 -:0200ed005515a7 -:0200ee0055605b -:0200ef0055aa10 -:0200f00055f5c4 -:0200f100563f78 -:0200f200568a2c -:0200f30056d3e2 -:0200f400571d96 -:0200f50057674b -:0200f60057b001 -:0200f70057f9b7 -:0200f80058426c -:0200f900588b22 -:0200fa0058d3d9 -:0200fb00591c8e -:0200fc00596445 -:0200fd0059acfc -:0200fe0059f3b4 -:0200ff005a3b6a -:020100005a8221 -:020101005ac9d9 -:020102005b0f91 -:020103005b5649 -:020104005b9c02 -:020105005be2bb -:020106005c2873 -:020107005c6e2c -:020108005cb3e6 -:020109005cf99f -:02010a005d3e58 -:02010b005d8213 -:02010c005dc7cd -:02010d005e0b87 -:02010e005e4f42 -:02010f005e93fd -:020110005ed7b8 -:020111005f1a73 -:020112005f5d2f -:020113005fa0eb -:020114005fe3a7 -:02011500602563 -:0201160060681f -:0201170060aadc -:0201180060eb9a -:02011900612d56 -:02011a00616e14 -:02011b0061afd2 -:02011c0061f090 -:02011d0062314d -:02011e0062710c -:02011f0062b1cb -:0201200062f18a -:02012100633148 -:02012200637008 -:0201230063afc8 -:0201240063ee88 -:02012500642d47 -:02012600646c07 -:0201270064aac8 -:0201280064e889 -:0201290065254a -:02012a0065630b -:02012b0065a0cd -:02012c0065dd8f -:02012d00661a50 -:02012e00665613 -:02012f006693d5 -:0201300066cf98 -:02013100670a5b -:0201320067461e -:020133006781e2 -:0201340067bca6 -:0201350067f76a -:0201360068322d -:02013700686cf2 -:0201380068a6b7 -:0201390068e07c -:02013a00691941 -:02013b00695207 -:02013c00698bcd -:02013d0069c493 -:02013e0069fd59 -:02013f006a351f -:020140006a6de6 -:020141006aa4ae -:020142006adc75 -:020143006b133c -:020144006b4a04 -:020145006b81cc -:020146006bb795 -:020147006bed5e -:020148006c2326 -:020149006c59ef -:02014a006c8eb9 -:02014b006cc383 -:02014c006cf84d -:02014d006d2d16 -:02014e006d61e1 -:02014f006d95ac -:020150006dc977 -:020151006dfd42 -:020152006e300d -:020153006e63d9 -:020154006e96a5 -:020155006ec872 -:020156006efb3e -:020157006f2c0b -:020158006f5ed8 -:020159006f90a5 -:02015a006fc173 -:02015b006ff241 -:02015c0070220f -:02015d007053dd -:02015e007083ac -:02015f0070b27c -:0201600070e24b -:0201610071111a -:020162007140ea -:02016300716fba -:02016400719d8b -:0201650071cb5c -:0201660071f92d -:020167007227fd -:020168007254cf -:020169007281a1 -:02016a0072ae73 -:02016b0072db45 -:02016c00730717 -:02016d007333ea -:02016e00735ebe -:02016f00738a91 -:0201700073b565 -:0201710073e039 -:02017200740a0d -:020173007435e1 -:02017400745fb6 -:0201750074888c -:0201760074b261 -:0201770074db37 -:0201780075040c -:02017900752de2 -:02017a007555b9 -:02017b00757d90 -:02017c0075a567 -:02017d0075cc3f -:02017e0075f317 -:02017f00761aee -:020180007641c6 -:0201810076679f -:02018200768d78 -:0201830076b351 -:0201840076d82b -:0201850076fe04 -:020186007722de -:020187007747b8 -:02018800776b93 -:02018900778f6e -:02018a0077b349 -:02018b0077d724 -:02018c0077fa00 -:02018d00781ddb -:02018e00783fb8 -:02018f00786294 -:02019000788471 -:0201910078a54f -:0201920078c72c -:0201930078e80a -:020194007909e7 -:020195007929c6 -:02019600794aa4 -:02019700796a83 -:02019800798963 -:0201990079a942 -:02019a0079c822 -:02019b0079e603 -:02019c007a05e2 -:02019d007a23c3 -:02019e007a41a4 -:02019f007a5f85 -:0201a0007a7c67 -:0201a1007a9949 -:0201a2007ab62b -:0201a3007ad20e -:0201a4007aeef1 -:0201a5007b0ad3 -:0201a6007b26b6 -:0201a7007b419a -:0201a8007b5c7e -:0201a9007b7762 -:0201aa007b9147 -:0201ab007bab2c -:0201ac007bc511 -:0201ad007bdef7 -:0201ae007bf8dc -:0201af007c10c2 -:0201b0007c29a8 -:0201b1007c418f -:0201b2007c5976 -:0201b3007c715d -:0201b4007c8845 -:0201b5007c9f2d -:0201b6007cb615 -:0201b7007ccdfd -:0201b8007ce3e6 -:0201b9007cf9cf -:0201ba007d0eb8 -:0201bb007d24a1 -:0201bc007d398b -:0201bd007d4d76 -:0201be007d6260 -:0201bf007d764b -:0201c0007d8937 -:0201c1007d9d22 -:0201c2007db00e -:0201c3007dc3fa -:0201c4007dd5e7 -:0201c5007de8d3 -:0201c6007dfac0 -:0201c7007e0bad -:0201c8007e1d9a -:0201c9007e2e88 -:0201ca007e3e77 -:0201cb007e4f65 -:0201cc007e5f54 -:0201cd007e6f43 -:0201ce007e7e33 -:0201cf007e8d23 -:0201d0007e9c13 -:0201d1007eab03 -:0201d2007eb9f4 -:0201d3007ec7e5 -:0201d4007ed5d6 -:0201d5007ee2c8 -:0201d6007eefba -:0201d7007efcac -:0201d8007f099d -:0201d9007f1590 -:0201da007f2183 -:0201db007f2c77 -:0201dc007f376b -:0201dd007f425f -:0201de007f4d53 -:0201df007f5748 -:0201e0007f613d -:0201e1007f6b32 -:0201e2007f7428 -:0201e3007f7d1e -:0201e4007f8614 -:0201e5007f8f0a -:0201e6007f9701 -:0201e7007f9ff8 -:0201e8007fa6f0 -:0201e9007fade8 -:0201ea007fb4e0 -:0201eb007fbbd8 -:0201ec007fc1d1 -:0201ed007fc7ca -:0201ee007fcdc3 -:0201ef007fd2bd -:0201f0007fd8b6 -:0201f1007fdcb1 -:0201f2007fe1ab -:0201f3007fe5a6 -:0201f4007fe9a1 -:0201f5007fec9d -:0201f6007ff098 -:0201f7007ff394 -:0201f8007ff591 -:0201f9007ff78e -:0201fa007ff98b -:0201fb007ffb88 -:0201fc007ffd85 -:0201fd007ffe83 -:0201fe007ffe82 -:0201ff007fff80 -:020200007fff7e -:020201007fff7d -:020202007ffe7d -:020203007ffe7c -:020204007ffd7c -:020205007ffb7d -:020206007ff97e -:020207007ff77f -:020208007ff580 -:020209007ff381 -:02020a007ff083 -:02020b007fec86 -:02020c007fe988 -:02020d007fe58b -:02020e007fe18e -:02020f007fdc92 -:020210007fd895 -:020211007fd29a -:020212007fcd9e -:020213007fc7a3 -:020214007fc1a8 -:020215007fbbad -:020216007fb4b3 -:020217007fadb9 -:020218007fa6bf -:020219007f9fc5 -:02021a007f97cc -:02021b007f8fd3 -:02021c007f86db -:02021d007f7de3 -:02021e007f74eb -:02021f007f6bf3 -:020220007f61fc -:020221007f5705 -:020222007f4d0e -:020223007f4218 -:020224007f3722 -:020225007f2c2c -:020226007f2136 -:020227007f1541 -:020228007f094c -:020229007efc59 -:02022a007eef65 -:02022b007ee271 -:02022c007ed57d -:02022d007ec78a -:02022e007eb997 -:02022f007eaba4 -:020230007e9cb2 -:020231007e8dc0 -:020232007e7ece -:020233007e6fdc -:020234007e5feb -:020235007e4ffa -:020236007e3e0a -:020237007e2e19 -:020238007e1d29 -:020239007e0b3a -:02023a007dfa4b -:02023b007de85c -:02023c007dd56e -:02023d007dc37f -:02023e007db091 -:02023f007d9da3 -:020240007d89b6 -:020241007d76c8 -:020242007d62db -:020243007d4def -:020244007d3902 -:020245007d2416 -:020246007d0e2b -:020247007cf940 -:020248007ce355 -:020249007ccd6a -:02024a007cb680 -:02024b007c9f96 -:02024c007c88ac -:02024d007c71c2 -:02024e007c59d9 -:02024f007c41f0 -:020250007c2907 -:020251007c101f -:020252007bf837 -:020253007bde50 -:020254007bc568 -:020255007bab81 -:020256007b919a -:020257007b77b3 -:020258007b5ccd -:020259007b41e7 -:02025a007b2601 -:02025b007b0a1c -:02025c007aee38 -:02025d007ad253 -:02025e007ab66e -:02025f007a998a -:020260007a7ca6 -:020261007a5fc2 -:020262007a41df -:020263007a23fc -:020264007a0519 -:0202650079e638 -:0202660079c855 -:0202670079a973 -:02026800798992 -:02026900796ab0 -:02026a00794acf -:02026b007929ef -:02026c0079090e -:02026d0078e82f -:02026e0078c74f -:02026f0078a570 -:02027000788490 -:020271007862b1 -:02027200783fd3 -:02027300781df4 -:0202740077fa17 -:0202750077d739 -:0202760077b35c -:02027700778f7f -:02027800776ba2 -:020279007747c5 -:02027a007722e9 -:02027b0076fe0d -:02027c0076d832 -:02027d0076b356 -:02027e00768d7b -:02027f007667a0 -:020280007641c5 -:02028100761aeb -:0202820075f312 -:0202830075cc38 -:0202840075a55e -:02028500757d85 -:020286007555ac -:02028700752dd3 -:020288007504fb -:0202890074db24 -:02028a0074b24c -:02028b00748875 -:02028c00745f9d -:02028d007435c6 -:02028e00740af0 -:02028f0073e01a -:0202900073b544 -:02029100738a6e -:02029200735e99 -:020293007333c3 -:020294007307ee -:0202950072db1a -:0202960072ae46 -:02029700728172 -:0202980072549e -:020299007227ca -:02029a0071f9f8 -:02029b0071cb25 -:02029c00719d52 -:02029d00716f7f -:02029e007140ad -:02029f007111db -:0202a00070e20a -:0202a10070b239 -:0202a200708367 -:0202a300705396 -:0202a4007022c6 -:0202a5006ff2f6 -:0202a6006fc126 -:0202a7006f9056 -:0202a8006f5e87 -:0202a9006f2cb8 -:0202aa006efbe9 -:0202ab006ec81b -:0202ac006e964c -:0202ad006e637e -:0202ae006e30b0 -:0202af006dfde3 -:0202b0006dc916 -:0202b1006d9549 -:0202b2006d617c -:0202b3006d2daf -:0202b4006cf8e4 -:0202b5006cc318 -:0202b6006c8e4c -:0202b7006c5980 -:0202b8006c23b5 -:0202b9006bedeb -:0202ba006bb720 -:0202bb006b8155 -:0202bc006b4a8b -:0202bd006b13c1 -:0202be006adcf8 -:0202bf006aa42f -:0202c0006a6d65 -:0202c1006a359c -:0202c20069fdd4 -:0202c30069c40c -:0202c400698b44 -:0202c50069527c -:0202c6006919b4 -:0202c70068e0ed -:0202c80068a626 -:0202c900686c5f -:0202ca00683298 -:0202cb0067f7d3 -:0202cc0067bc0d -:0202cd00678147 -:0202ce00674681 -:0202cf00670abc -:0202d00066cff7 -:0202d100669332 -:0202d20066566e -:0202d300661aa9 -:0202d40065dde6 -:0202d50065a022 -:0202d60065635e -:0202d70065259b -:0202d80064e8d8 -:0202d90064aa15 -:0202da00646c52 -:0202db00642d90 -:0202dc0063eecf -:0202dd0063af0d -:0202de0063704b -:0202df00633189 -:0202e00062f1c9 -:0202e10062b108 -:0202e200627147 -:0202e300623186 -:0202e40061f0c7 -:0202e50061af07 -:0202e600616e47 -:0202e700612d87 -:0202e80060ebc9 -:0202e90060aa09 -:0202ea0060684a -:0202eb0060258c -:0202ec005fe3ce -:0202ed005fa010 -:0202ee005f5d52 -:0202ef005f1a94 -:0202f0005ed7d7 -:0202f1005e931a -:0202f2005e4f5d -:0202f3005e0ba0 -:0202f4005dc7e4 -:0202f5005d8228 -:0202f6005d3e6b -:0202f7005cf9b0 -:0202f8005cb3f5 -:0202f9005c6e39 -:0202fa005c287e -:0202fb005be2c4 -:0202fc005b9c09 -:0202fd005b564e -:0202fe005b0f94 -:0202ff005ac9da -:020300005a821f -:020301005a3b65 -:0203020059f3ad -:0203030059acf3 -:0203040059643a -:02030500591c81 -:0203060058d3ca -:02030700588b11 -:02030800584259 -:0203090057f9a2 -:02030a0057b0ea -:02030b00576732 -:02030c00571d7b -:02030d0056d3c5 -:02030e00568a0d -:02030f00563f57 -:0203100055f5a1 -:0203110055aaeb -:02031200556034 -:0203130055157e -:0203140054c9ca -:02031500547e14 -:0203160054325f -:0203170053e7aa -:02031800539bf5 -:02031900534e41 -:02031a0053028c -:02031b0052b5d9 -:02031c00526825 -:02031d00521b71 -:02031e0051cebe -:02031f0051810a -:02032000513357 -:0203210050e5a5 -:020322005097f2 -:0203230050493f -:020324004ffb8d -:020325004facdb -:020326004f5d29 -:020327004f0e77 -:020328004ebfc6 -:020329004e7014 -:02032a004e2063 -:02032b004dd1b2 -:02032c004d8101 -:02032d004d3150 -:02032e004ce0a1 -:02032f004c90f0 -:020330004c3f40 -:020331004bee91 -:020332004b9de1 -:020333004b4c31 -:020334004afb82 -:020335004aa9d3 -:020336004a5823 -:020337004a0674 -:0203380049b4c6 -:02033900496118 -:02033a00490f69 -:02033b0048bcbc -:02033c0048690e -:02033d00481660 -:02033e0047c3b3 -:02033f00477005 -:02034000471c58 -:0203410046c9ab -:020342004675fe -:02034300462151 -:0203440045cda5 -:020345004578f9 -:0203460045244c -:0203470044cfa1 -:02034800447af5 -:02034900442549 -:02034a0043d09e -:02034b00437bf2 -:02034c00432547 -:02034d0042d09c -:02034e00427af1 -:02034f00422446 -:0203500041ce9c -:020351004177f2 -:02035200412147 -:0203530040ca9e -:020354004073f4 -:02035500401d49 -:020356003fc5a1 -:020357003f6ef7 -:020358003f174d -:020359003ebfa5 -:02035a003e68fb -:02035b003e1052 -:02035c003db8aa -:02035d003d6001 -:02035e003d0759 -:02035f003cafb1 -:020360003c5609 -:020361003bfe61 -:020362003ba5b9 -:020363003b4c11 -:020364003af26b -:020365003a99c3 -:020366003a401b -:0203670039e675 -:02036800398cce -:02036900393326 -:02036a0038d980 -:02036b00387eda -:02036c00382433 -:02036d0037ca8d -:02036e00376fe7 -:02036f00371540 -:0203700036ba9b -:02037100365ff5 -:0203720036044f -:0203730035a8ab -:02037400354d05 -:0203750034f260 -:020376003496bb -:02037700343a16 -:0203780033df71 -:020379003383cc -:02037a00332628 -:02037b0032ca84 -:02037c00326edf -:02037d0032113b -:02037e0031b597 -:02037f003158f3 -:0203800030fb50 -:02038100309eac -:02038200304108 -:020383002fe465 -:020384002f87c1 -:020385002f2a1d -:020386002ecc7b -:020387002e6ed8 -:020388002e1134 -:020389002db392 -:02038a002d55ef -:02038b002cf74d -:02038c002c99aa -:02038d002c3a08 -:02038e002bdc66 -:02038f002b7dc4 -:020390002b1f21 -:020391002ac080 -:020392002a61de -:020393002a023c -:0203940029a39b -:020395002944f9 -:0203960028e558 -:020397002886b6 -:02039800282615 -:0203990027c774 -:02039a002767d3 -:02039b00270831 -:02039c0026a891 -:02039d002648f0 -:02039e0025e850 -:02039f002588af -:0203a00025280e -:0203a10024c86e -:0203a2002467ce -:0203a30024072d -:0203a40023a68e -:0203a5002346ed -:0203a60022e54e -:0203a7002284ae -:0203a80022230e -:0203a90021c26f -:0203aa002161cf -:0203ab0021002f -:0203ac00209f90 -:0203ad00203ef0 -:0203ae001fdd51 -:0203af001f7bb2 -:0203b0001f1a12 -:0203b1001eb874 -:0203b2001e57d4 -:0203b3001df536 -:0203b4001d9397 -:0203b5001d31f8 -:0203b6001ccf5a -:0203b7001c6dbb -:0203b8001c0b1c -:0203b9001ba97e -:0203ba001b47df -:0203bb001ae541 -:0203bc001a82a3 -:0203bd001a2004 -:0203be0019be66 -:0203bf00195bc8 -:0203c00018f92a -:0203c10018968c -:0203c2001833ee -:0203c30017d051 -:0203c400176eb2 -:0203c500170b14 -:0203c60016a877 -:0203c7001645d9 -:0203c80015e23c -:0203c900157f9e -:0203ca00151c00 -:0203cb0014b963 -:0203cc001455c6 -:0203cd0013f229 -:0203ce00138f8b -:0203cf00132bee -:0203d00012c851 -:0203d1001264b4 -:0203d200120116 -:0203d300119d7a -:0203d400113adc -:0203d50010d640 -:0203d6001072a3 -:0203d700100f05 -:0203d8000fab69 -:0203d9000f47cc -:0203da000ee330 -:0203db000e8092 -:0203dc000e1cf5 -:0203dd000db859 -:0203de000d54bc -:0203df000cf020 -:0203e0000c8c83 -:0203e1000c28e6 -:0203e2000bc44a -:0203e3000b5fae -:0203e4000afb12 -:0203e5000a9775 -:0203e6000a33d8 -:0203e70009cf3c -:0203e800096aa0 -:0203e900090603 -:0203ea0008a267 -:0203eb00083eca -:0203ec0007d92f -:0203ed00077592 -:0203ee000711f5 -:0203ef0006ac5a -:0203f0000648bd -:0203f10005e322 -:0203f200057f85 -:0203f300051be8 -:0203f40004b64d -:0203f5000452b0 -:0203f60003ed15 -:0203f700038978 -:0203f8000324dc -:0203f90002c040 -:0203fa00025ba4 -:0203fb0001f708 -:0203fc0001926c -:0203fd00012ecf -:0203fe0000c934 -:0203ff00006597 -:020400000000fa -:02040100ff9b5f -:02040200ff37c2 -:02040300fed227 -:02040400fe6e8a -:02040500fe09ee -:02040600fda552 -:02040700fd40b6 -:02040800fcdc1a -:02040900fc777e -:02040a00fc13e1 -:02040b00fbae46 -:02040c00fb4aa9 -:02040d00fae50e -:02040e00fa8171 -:02040f00fa1dd4 -:02041000f9b839 -:02041100f9549c -:02041200f8ef01 -:02041300f88b64 -:02041400f827c7 -:02041500f7c22c -:02041600f75e8f -:02041700f6faf3 -:02041800f69656 -:02041900f631ba -:02041a00f5cd1e -:02041b00f56981 -:02041c00f505e4 -:02041d00f4a148 -:02041e00f43cac -:02041f00f3d810 -:02042000f37473 -:02042100f310d6 -:02042200f2ac3a -:02042300f2489d -:02042400f1e401 -:02042500f18064 -:02042600f11dc6 -:02042700f0b92a -:02042800f0558d -:02042900eff1f1 -:02042a00ef8e53 -:02042b00ef2ab6 -:02042c00eec61a -:02042d00ee637c -:02042e00edffe0 -:02042f00ed9c42 -:02043000ed38a5 -:02043100ecd508 -:02043200ec716b -:02043300ec0ecd -:02043400ebab30 -:02043500eb4793 -:02043600eae4f6 -:02043700ea8158 -:02043800ea1eba -:02043900e9bb1d -:02043a00e9587f -:02043b00e8f5e2 -:02043c00e89244 -:02043d00e830a5 -:02043e00e7cd08 -:02043f00e76a6a -:02044000e707cc -:02044100e6a52e -:02044200e64290 -:02044300e5e0f2 -:02044400e57e53 -:02044500e51bb5 -:02044600e4b917 -:02044700e45778 -:02044800e3f5da -:02044900e3933b -:02044a00e3319c -:02044b00e2cffe -:02044c00e26d5f -:02044d00e20bc0 -:02044e00e1a922 -:02044f00e14882 -:02045000e0e6e4 -:02045100e08544 -:02045200e023a5 -:02045300dfc206 -:02045400df6166 -:02045500df00c6 -:02045600de9f27 -:02045700de3e87 -:02045800dddde8 -:02045900dd7c48 -:02045a00dd1ba8 -:02045b00dcba09 -:02045c00dc5a68 -:02045d00dbf9c9 -:02045e00db9928 -:02045f00db3888 -:02046000dad8e8 -:02046100da7847 -:02046200da18a6 -:02046300d9b806 -:02046400d95865 -:02046500d8f8c5 -:02046600d89923 -:02046700d83982 -:02046800d7dae1 -:02046900d77a40 -:02046a00d71b9e -:02046b00d6bcfd -:02046c00d65d5b -:02046d00d5feba -:02046e00d59f18 -:02046f00d54076 -:02047000d4e1d5 -:02047100d48332 -:02047200d42490 -:02047300d3c6ee -:02047400d3674c -:02047500d309a9 -:02047600d2ab07 -:02047700d24d64 -:02047800d1efc2 -:02047900d1921e -:02047a00d1347b -:02047b00d0d6d9 -:02047c00d07935 -:02047d00d01c91 -:02047e00cfbfee -:02047f00cf624a -:02048000cf05a6 -:02048100cea803 -:02048200ce4b5f -:02048300cdefbb -:02048400cd9217 -:02048500cd3672 -:02048600ccdace -:02048700cc7d2a -:02048800cc2185 -:02048900cbc6e0 -:02048a00cb6a3b -:02048b00cb0e96 -:02048c00cab3f1 -:02048d00ca584b -:02048e00c9fca7 -:02048f00c9a101 -:02049000c9465b -:02049100c8ebb6 -:02049200c8910f -:02049300c83669 -:02049400c7dcc3 -:02049500c7821c -:02049600c72776 -:02049700c6cdd0 -:02049800c67428 -:02049900c61a81 -:02049a00c5c0db -:02049b00c56733 -:02049c00c50e8b -:02049d00c4b4e5 -:02049e00c45b3d -:02049f00c40295 -:0204a000c3aaed -:0204a100c35145 -:0204a200c2f99d -:0204a300c2a0f5 -:0204a400c2484c -:0204a500c1f0a4 -:0204a600c198fb -:0204a700c14151 -:0204a800c0e9a9 -:0204a900c092ff -:0204aa00c03b55 -:0204ab00bfe3ad -:0204ac00bf8d02 -:0204ad00bf3658 -:0204ae00bedfaf -:0204af00be8904 -:0204b000be325a -:0204b100bddcb0 -:0204b200bd8605 -:0204b300bd305a -:0204b400bcdbaf -:0204b500bc8504 -:0204b600bc3058 -:0204b700bbdbad -:0204b800bb8601 -:0204b900bb3155 -:0204ba00badcaa -:0204bb00ba88fd -:0204bc00ba3351 -:0204bd00b9dfa5 -:0204be00b98bf8 -:0204bf00b9374b -:0204c000b8e49e -:0204c100b890f1 -:0204c200b83d43 -:0204c300b7ea96 -:0204c400b797e8 -:0204c500b7443a -:0204c600b6f18d -:0204c700b69fde -:0204c800b64c30 -:0204c900b5fa82 -:0204ca00b5a8d3 -:0204cb00b55723 -:0204cc00b50574 -:0204cd00b4b4c5 -:0204ce00b46315 -:0204cf00b41265 -:0204d000b3c1b6 -:0204d100b37006 -:0204d200b32055 -:0204d300b2cfa6 -:0204d400b27ff5 -:0204d500b22f44 -:0204d600b1e093 -:0204d700b190e2 -:0204d800b14130 -:0204d900b0f27f -:0204da00b0a3cd -:0204db00b0541b -:0204dc00b00569 -:0204dd00afb7b7 -:0204de00af6904 -:0204df00af1b51 -:0204e000aecd9f -:0204e100ae7fec -:0204e200ae3238 -:0204e300ade585 -:0204e400ad98d1 -:0204e500ad4b1d -:0204e600acfe6a -:0204e700acb2b5 -:0204e800ac6501 -:0204e900ac194c -:0204ea00abce97 -:0204eb00ab82e2 -:0204ec00ab372c -:0204ed00aaeb78 -:0204ee00aaa0c2 -:0204ef00aa560b -:0204f000aa0b55 -:0204f100a9c19f -:0204f200a976e9 -:0204f300a92d31 -:0204f400a8e37b -:0204f500a899c4 -:0204f600a8500c -:0204f700a80754 -:0204f800a7be9d -:0204f900a775e5 -:0204fa00a72d2c -:0204fb00a6e475 -:0204fc00a69cbc -:0204fd00a65403 -:0204fe00a60d49 -:0204ff00a5c591 -:02050000a57ed6 -:02050100a5371c -:02050200a4f162 -:02050300a4aaa8 -:02050400a464ed -:02050500a41e32 -:02050600a3d878 -:02050700a392bd -:02050800a34d01 -:02050900a30746 -:02050a00a2c28b -:02050b00a27ece -:02050c00a23912 -:02050d00a1f556 -:02050e00a1b199 -:02050f00a16ddc -:02051000a1291f -:02051100a0e662 -:02051200a0a3a4 -:02051300a060e6 -:02051400a01d28 -:020515009fdb6a -:020516009f98ac -:020517009f56ed -:020518009f152d -:020519009ed36f -:02051a009e92af -:02051b009e51ef -:02051c009e102f -:02051d009dcf70 -:02051e009d8faf -:02051f009d4fee -:020520009d0f2d -:020521009ccf6d -:020522009c90ab -:020523009c51e9 -:020524009c1227 -:020525009bd366 -:020526009b94a4 -:020527009b56e1 -:020528009b181e -:020529009adb5b -:02052a009a9d98 -:02052b009a60d4 -:02052c009a2310 -:02052d0099e64d -:02052e0099aa88 -:02052f00996dc4 -:020530009931ff -:0205310098f63a -:0205320098ba75 -:02053300987faf -:020534009844e9 -:02053500980923 -:0205360097ce5e -:02053700979497 -:02053800975ad0 -:02053900972009 -:02053a0096e742 -:02053b0096ae7a -:02053c009675b2 -:02053d00963cea -:02053e00960322 -:02053f0095cb5a -:02054000959391 -:02054100955cc7 -:020542009524fe -:0205430094ed35 -:0205440094b66b -:02054500947fa1 -:020546009449d6 -:0205470094130b -:0205480093dd41 -:0205490093a776 -:02054a009372aa -:02054b00933dde -:02054c00930812 -:02054d0092d347 -:02054e00929f7a -:02054f00926bad -:020550009237e0 -:02055100920313 -:0205520091d046 -:02055300919d78 -:02055400916aaa -:020555009138db -:0205560091050d -:0205570090d43e -:0205580090a26f -:020559009070a0 -:02055a00903fd0 -:02055b00900e00 -:02055c008fde30 -:02055d008fad60 -:02055e008f7d8f -:02055f008f4ebd -:020560008f1eec -:020561008eef1b -:020562008ec049 -:020563008e9177 -:020564008e63a4 -:020565008e35d1 -:020566008e07fe -:020567008dd92c -:020568008dac58 -:020569008d7f84 -:02056a008d52b0 -:02056b008d25dc -:02056c008cf908 -:02056d008ccd33 -:02056e008ca25d -:02056f008c7688 -:020570008c4bb2 -:020571008c20dc -:020572008bf606 -:020573008bcb30 -:020574008ba159 -:020575008b7881 -:020576008b4eaa -:020577008b25d2 -:020578008afcfb -:020579008ad323 -:02057a008aab4a -:02057b008a8371 -:02057c008a5b98 -:02057d008a34be -:02057e008a0de4 -:02057f0089e60b -:0205800089bf31 -:02058100899956 -:0205820089737b -:02058300894da0 -:020584008928c4 -:020585008902e9 -:0205860088de0d -:0205870088b931 -:02058800889554 -:02058900887177 -:02058a00884d9a -:02058b008829bd -:02058c008806df -:02058d0087e302 -:02058e0087c123 -:02058f00879e45 -:02059000877c66 -:02059100875b86 -:020592008739a7 -:020593008718c7 -:0205940086f7e8 -:0205950086d707 -:0205960086b627 -:02059700869646 -:02059800867764 -:02059900865783 -:02059a008638a1 -:02059b00861abe -:02059c0085fbdd -:02059d0085ddfa -:02059e0085bf17 -:02059f0085a134 -:0205a000858450 -:0205a10085676c -:0205a200854a88 -:0205a300852ea3 -:0205a4008512be -:0205a50084f6da -:0205a60084daf5 -:0205a70084bf0f -:0205a80084a429 -:0205a900848943 -:0205aa00846f5c -:0205ab00845575 -:0205ac00843b8e -:0205ad008422a6 -:0205ae008408bf -:0205af0083f0d7 -:0205b00083d7ef -:0205b10083bf06 -:0205b20083a71d -:0205b300838f34 -:0205b40083784a -:0205b500836160 -:0205b600834a76 -:0205b70083338c -:0205b800831da1 -:0205b9008307b6 -:0205ba0082f2cb -:0205bb0082dce0 -:0205bc0082c7f4 -:0205bd0082b307 -:0205be00829e1b -:0205bf00828a2e -:0205c000827740 -:0205c100826353 -:0205c200825065 -:0205c300823d77 -:0205c400822b88 -:0205c50082189a -:0205c6008206ab -:0205c70081f5bc -:0205c80081e3cd -:0205c90081d2dd -:0205ca0081c2ec -:0205cb0081b1fc -:0205cc0081a10b -:0205cd0081911a -:0205ce00818228 -:0205cf00817336 -:0205d000816444 -:0205d100815552 -:0205d20081475f -:0205d30081396c -:0205d400812b79 -:0205d500811e85 -:0205d600811191 -:0205d70081049d -:0205d80080f7aa -:0205d90080ebb5 -:0205da0080dfc0 -:0205db0080d4ca -:0205dc0080c9d4 -:0205dd0080bede -:0205de0080b3e8 -:0205df0080a9f1 -:0205e000809ffa -:0205e100809503 -:0205e200808c0b -:0205e300808313 -:0205e400807a1b -:0205e500807123 -:0205e60080692a -:0205e700806131 -:0205e800805a37 -:0205e90080533d -:0205ea00804c43 -:0205eb00804549 -:0205ec00803f4e -:0205ed00803953 -:0205ee00803358 -:0205ef00802e5c -:0205f000802861 -:0205f100802464 -:0205f200801f68 -:0205f300801b6b -:0205f40080176e -:0205f500801470 -:0205f600801073 -:0205f700800d75 -:0205f800800b76 -:0205f900800977 -:0205fa00800778 -:0205fb00800579 -:0205fc0080037a -:0205fd0080027a -:0205fe00800279 -:0205ff00800179 -:02060000800177 -:02060100800176 -:02060200800274 -:02060300800273 -:02060400800371 -:0206050080056e -:0206060080076b -:02060700800968 -:02060800800b65 -:02060900800d62 -:02060a0080105e -:02060b00801459 -:02060c00801755 -:02060d00801b50 -:02060e00801f4b -:02060f00802445 -:02061000802840 -:02061100802e39 -:02061200803333 -:0206130080392c -:02061400803f25 -:0206150080451e -:02061600804c16 -:0206170080530e -:02061800805a06 -:020619008061fe -:02061a008069f5 -:02061b008071ec -:02061c00807ae2 -:02061d008083d8 -:02061e00808cce -:02061f008095c4 -:02062000809fb9 -:0206210080a9ae -:0206220080b3a3 -:0206230080be97 -:0206240080c98b -:0206250080d47f -:0206260080df73 -:0206270080eb66 -:0206280080f759 -:0206290081044a -:02062a0081113c -:02062b00811e2e -:02062c00812b20 -:02062d00813911 -:02062e00814702 -:02062f008155f3 -:020630008164e3 -:020631008173d3 -:020632008182c3 -:020633008191b3 -:0206340081a1a2 -:0206350081b191 -:0206360081c27f -:0206370081d26e -:0206380081e35c -:0206390081f549 -:02063a00820636 -:02063b00821823 -:02063c00822b0f -:02063d00823dfc -:02063e008250e8 -:02063f008263d4 -:020640008277bf -:02064100828aab -:02064200829e96 -:0206430082b380 -:0206440082c76b -:0206450082dc55 -:0206460082f23e -:02064700830727 -:02064800831d10 -:020649008333f9 -:02064a00834ae1 -:02064b008361c9 -:02064c008378b1 -:02064d00838f99 -:02064e0083a780 -:02064f0083bf67 -:0206500083d74e -:0206510083f034 -:0206520084081a -:020653008422ff -:02065400843be5 -:020655008455ca -:02065600846faf -:02065700848994 -:0206580084a478 -:0206590084bf5c -:02065a0084da40 -:02065b0084f623 -:02065c00851205 -:02065d00852ee8 -:02065e00854acb -:02065f008567ad -:0206600085848f -:0206610085a171 -:0206620085bf52 -:0206630085dd33 -:0206640085fb14 -:02066500861af3 -:020666008638d4 -:020667008657b4 -:02066800867793 -:02066900869673 -:02066a0086b652 -:02066b0086d730 -:02066c0086f70f -:02066d008718ec -:02066e008739ca -:02066f00875ba7 -:02067000877c85 -:02067100879e62 -:0206720087c13e -:0206730087e31b -:020674008806f6 -:020675008829d2 -:02067600884dad -:02067700887188 -:02067800889563 -:0206790088b93e -:02067a0088de18 -:02067b008902f2 -:02067c008928cb -:02067d00894da5 -:02067e0089737e -:02067f00899957 -:0206800089bf30 -:0206810089e608 -:020682008a0ddf -:020683008a34b7 -:020684008a5b8f -:020685008a8366 -:020686008aab3d -:020687008ad314 -:020688008afcea -:020689008b25bf -:02068a008b4e95 -:02068b008b786a -:02068c008ba140 -:02068d008bcb15 -:02068e008bf6e9 -:02068f008c20bd -:020690008c4b91 -:020691008c7665 -:020692008ca238 -:020693008ccd0c -:020694008cf9df -:020695008d25b1 -:020696008d5283 -:020697008d7f55 -:020698008dac27 -:020699008dd9f9 -:02069a008e07c9 -:02069b008e359a -:02069c008e636b -:02069d008e913c -:02069e008ec00c -:02069f008eefdc -:0206a0008f1eab -:0206a1008f4e7a -:0206a2008f7d4a -:0206a3008fad19 -:0206a4008fdee7 -:0206a500900eb5 -:0206a600903f83 -:0206a700907051 -:0206a80090a21e -:0206a90090d4eb -:0206aa009105b8 -:0206ab00913884 -:0206ac00916a51 -:0206ad00919d1d -:0206ae0091d0e9 -:0206af009203b4 -:0206b00092377f -:0206b100926b4a -:0206b200929f15 -:0206b30092d3e0 -:0206b4009308a9 -:0206b500933d73 -:0206b60093723d -:0206b70093a707 -:0206b80093ddd0 -:0206b900941398 -:0206ba00944961 -:0206bb00947f2a -:0206bc0094b6f2 -:0206bd0094edba -:0206be00952481 -:0206bf00955c48 -:0206c000959310 -:0206c10095cbd7 -:0206c20096039d -:0206c300963c63 -:0206c400967529 -:0206c50096aeef -:0206c60096e7b5 -:0206c70097207a -:0206c800975a3f -:0206c900979404 -:0206ca0097cec9 -:0206cb0098098c -:0206cc00984450 -:0206cd00987f14 -:0206ce0098bad8 -:0206cf0098f69b -:0206d00099315e -:0206d100996d21 -:0206d20099aae3 -:0206d30099e6a6 -:0206d4009a2367 -:0206d5009a6029 -:0206d6009a9deb -:0206d7009adbac -:0206d8009b186d -:0206d9009b562e -:0206da009b94ef -:0206db009bd3af -:0206dc009c126e -:0206dd009c512e -:0206de009c90ee -:0206df009ccfae -:0206e0009d0f6c -:0206e1009d4f2b -:0206e2009d8fea -:0206e3009dcfa9 -:0206e4009e1066 -:0206e5009e5124 -:0206e6009e92e2 -:0206e7009ed3a0 -:0206e8009f155c -:0206e9009f561a -:0206ea009f98d7 -:0206eb009fdb93 -:0206ec00a01d4f -:0206ed00a0600b -:0206ee00a0a3c7 -:0206ef00a0e683 -:0206f000a1293e -:0206f100a16df9 -:0206f200a1b1b4 -:0206f300a1f56f -:0206f400a23929 -:0206f500a27ee3 -:0206f600a2c29e -:0206f700a30757 -:0206f800a34d10 -:0206f900a392ca -:0206fa00a3d883 -:0206fb00a41e3b -:0206fc00a464f4 -:0206fd00a4aaad -:0206fe00a4f165 -:0206ff00a5371d -:02070000a57ed4 -:02070100a5c58c -:02070200a60d42 -:02070300a654fa -:02070400a69cb1 -:02070500a6e468 -:02070600a72d1d -:02070700a775d4 -:02070800a7be8a -:02070900a8073f -:02070a00a850f5 -:02070b00a899ab -:02070c00a8e360 -:02070d00a92d14 -:02070e00a976ca -:02070f00a9c17e -:02071000aa0b32 -:02071100aa56e6 -:02071200aaa09b -:02071300aaeb4f -:02071400ab3701 -:02071500ab82b5 -:02071600abce68 -:02071700ac191b -:02071800ac65ce -:02071900acb280 -:02071a00acfe33 -:02071b00ad4be4 -:02071c00ad9896 -:02071d00ade548 -:02071e00ae32f9 -:02071f00ae7fab -:02072000aecd5c -:02072100af1b0c -:02072200af69bd -:02072300afb76e -:02072400b0051e -:02072500b054ce -:02072600b0a37e -:02072700b0f22e -:02072800b141dd -:02072900b1908d -:02072a00b1e03c -:02072b00b22feb -:02072c00b27f9a -:02072d00b2cf49 -:02072e00b320f6 -:02072f00b370a5 -:02073000b3c153 -:02073100b41200 -:02073200b463ae -:02073300b4b45c -:02073400b50509 -:02073500b557b6 -:02073600b5a864 -:02073700b5fa11 -:02073800b64cbd -:02073900b69f69 -:02073a00b6f116 -:02073b00b744c1 -:02073c00b7976d -:02073d00b7ea19 -:02073e00b83dc4 -:02073f00b89070 -:02074000b8e41b -:02074100b937c6 -:02074200b98b71 -:02074300b9df1c -:02074400ba33c6 -:02074500ba8870 -:02074600badc1b -:02074700bb31c4 -:02074800bb866e -:02074900bbdb18 -:02074a00bc30c1 -:02074b00bc856b -:02074c00bcdb14 -:02074d00bd30bd -:02074e00bd8666 -:02074f00bddc0f -:02075000be32b7 -:02075100be895f -:02075200bedf08 -:02075300bf36af -:02075400bf8d57 -:02075500bfe300 -:02075600c03ba6 -:02075700c0924e -:02075800c0e9f6 -:02075900c1419c -:02075a00c19844 -:02075b00c1f0eb -:02075c00c24891 -:02075d00c2a038 -:02075e00c2f9de -:02075f00c35184 -:02076000c3aa2a -:02076100c402d0 -:02076200c45b76 -:02076300c4b41c -:02076400c50ec0 -:02076500c56766 -:02076600c5c00c -:02076700c61ab0 -:02076800c67455 -:02076900c6cdfb -:02076a00c7279f -:02076b00c78243 -:02076c00c7dce8 -:02076d00c8368c -:02076e00c89130 -:02076f00c8ebd5 -:02077000c94678 -:02077100c9a11c -:02077200c9fcc0 -:02077300ca5862 -:02077400cab306 -:02077500cb0ea9 -:02077600cb6a4c -:02077700cbc6ef -:02077800cc2192 -:02077900cc7d35 -:02077a00ccdad7 -:02077b00cd3679 -:02077c00cd921c -:02077d00cdefbe -:02077e00ce4b60 -:02077f00cea802 -:02078000cf05a3 -:02078100cf6245 -:02078200cfbfe7 -:02078300d01c88 -:02078400d0792a -:02078500d0d6cc -:02078600d1346c -:02078700d1920d -:02078800d1efaf -:02078900d24d4f -:02078a00d2abf0 -:02078b00d30990 -:02078c00d36731 -:02078d00d3c6d1 -:02078e00d42471 -:02078f00d48311 -:02079000d4e1b2 -:02079100d54051 -:02079200d59ff1 -:02079300d5fe91 -:02079400d65d30 -:02079500d6bcd0 -:02079600d71b6f -:02079700d77a0f -:02079800d7daae -:02079900d8394d -:02079a00d899ec -:02079b00d8f88c -:02079c00d9582a -:02079d00d9b8c9 -:02079e00da1867 -:02079f00da7806 -:0207a000dad8a5 -:0207a100db3843 -:0207a200db99e1 -:0207a300dbf980 -:0207a400dc5a1d -:0207a500dcbabc -:0207a600dd1b59 -:0207a700dd7cf7 -:0207a800dddd95 -:0207a900de3e32 -:0207aa00de9fd0 -:0207ab00df006d -:0207ac00df610b -:0207ad00dfc2a9 -:0207ae00e02346 -:0207af00e085e3 -:0207b000e0e681 -:0207b100e1481d -:0207b200e1a9bb -:0207b300e20b57 -:0207b400e26df4 -:0207b500e2cf91 -:0207b600e3312d -:0207b700e393ca -:0207b800e3f567 -:0207b900e45703 -:0207ba00e4b9a0 -:0207bb00e51b3c -:0207bc00e57ed8 -:0207bd00e5e075 -:0207be00e64211 -:0207bf00e6a5ad -:0207c000e70749 -:0207c100e76ae5 -:0207c200e7cd81 -:0207c300e8301c -:0207c400e892b9 -:0207c500e8f555 -:0207c600e958f0 -:0207c700e9bb8c -:0207c800ea1e27 -:0207c900ea81c3 -:0207ca00eae45f -:0207cb00eb47fa -:0207cc00ebab95 -:0207cd00ec0e30 -:0207ce00ec71cc -:0207cf00ecd567 -:0207d000ed3802 -:0207d100ed9c9d -:0207d200edff39 -:0207d300ee63d3 -:0207d400eec66f -:0207d500ef2a09 -:0207d600ef8ea4 -:0207d700eff140 -:0207d800f055da -:0207d900f0b975 -:0207da00f11d0f -:0207db00f180ab -:0207dc00f1e446 -:0207dd00f248e0 -:0207de00f2ac7b -:0207df00f31015 -:0207e000f374b0 -:0207e100f3d84b -:0207e200f43ce5 -:0207e300f4a17f -:0207e400f50519 -:0207e500f569b4 -:0207e600f5cd4f -:0207e700f631e9 -:0207e800f69683 -:0207e900f6fa1e -:0207ea00f75eb8 -:0207eb00f7c253 -:0207ec00f827ec -:0207ed00f88b87 -:0207ee00f8ef22 -:0207ef00f954bb -:0207f000f9b856 -:0207f100fa1def -:0207f200fa818a -:0207f300fae525 -:0207f400fb4abe -:0207f500fbae59 -:0207f600fc13f2 -:0207f700fc778d -:0207f800fcdc27 -:0207f900fd40c1 -:0207fa00fda55b -:0207fb00fe09f5 -:0207fc00fe6e8f -:0207fd00fed22a -:0207fe00ff37c3 -:0207ff00ff9b5e -:00000001FF diff --git a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_sin_f.hex b/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_sin_f.hex deleted file mode 100644 index cf531aa..0000000 --- a/FPGA_61.440/db/ip/tx_nco/submodules/tx_nco_nco_ii_0_sin_f.hex +++ /dev/null @@ -1,2049 +0,0 @@ -:020000000000fe -:020001000000fd -:020002000000fc -:020003000000fb -:020004000000fa -:020005000000f9 -:020006000000f8 -:020007000000f7 -:020008000000f6 -:020009000000f5 -:02000a000000f4 -:02000b000001f2 -:02000c000001f1 -:02000d000001f0 -:02000e000001ef -:02000f000001ee -:020010000001ed -:020011000001ec -:020012000001eb -:020013000001ea -:020014000001e9 -:020015000001e8 -:020016000001e7 -:020017000001e6 -:020018000001e5 -:020019000001e4 -:02001a000001e3 -:02001b000001e2 -:02001c000001e1 -:02001d000001e0 -:02001e000001df -:02001f000002dd -:020020000002dc -:020021000002db -:020022000002da -:020023000002d9 -:020024000002d8 -:020025000002d7 -:020026000002d6 -:020027000002d5 -:020028000002d4 -:020029000002d3 -:02002a000002d2 -:02002b000002d1 -:02002c000002d0 -:02002d000002cf -:02002e000002ce -:02002f000002cd -:020030000002cc -:020031000002cb -:020032000002ca -:020033000003c8 -:020034000003c7 -:020035000003c6 -:020036000003c5 -:020037000003c4 -:020038000003c3 -:020039000003c2 -:02003a000003c1 -:02003b000003c0 -:02003c000003bf -:02003d000003be -:02003e000003bd -:02003f000003bc -:020040000003bb -:020041000003ba -:020042000003b9 -:020043000003b8 -:020044000003b7 -:020045000003b6 -:020046000003b5 -:020047000003b4 -:020048000004b2 -:020049000004b1 -:02004a000004b0 -:02004b000004af -:02004c000004ae -:02004d000004ad -:02004e000004ac -:02004f000004ab -:020050000004aa -:020051000004a9 -:020052000004a8 -:020053000004a7 -:020054000004a6 -:020055000004a5 -:020056000004a4 -:020057000004a3 -:020058000004a2 -:020059000004a1 -:02005a000004a0 -:02005b0000049f -:02005c0000059d -:02005d0000059c -:02005e0000059b -:02005f0000059a -:02006000000599 -:02006100000598 -:02006200000597 -:02006300000596 -:02006400000595 -:02006500000594 -:02006600000593 -:02006700000592 -:02006800000591 -:02006900000590 -:02006a0000058f -:02006b0000058e -:02006c0000058d -:02006d0000058c -:02006e0000058b -:02006f0000058a -:02007000000589 -:02007100000687 -:02007200000686 -:02007300000685 -:02007400000684 -:02007500000683 -:02007600000682 -:02007700000681 -:02007800000680 -:0200790000067f -:02007a0000067e -:02007b0000067d -:02007c0000067c -:02007d0000067b -:02007e0000067a -:02007f00000679 -:02008000000678 -:02008100000677 -:02008200000676 -:02008300000675 -:02008400000674 -:02008500000772 -:02008600000771 -:02008700000770 -:0200880000076f -:0200890000076e -:02008a0000076d -:02008b0000076c -:02008c0000076b -:02008d0000076a -:02008e00000769 -:02008f00000768 -:02009000000767 -:02009100000766 -:02009200000765 -:02009300000764 -:02009400000763 -:02009500000762 -:02009600000761 -:02009700000760 -:0200980000075f -:0200990000085d -:02009a0000085c -:02009b0000085b -:02009c0000085a -:02009d00000859 -:02009e00000858 -:02009f00000857 -:0200a000000856 -:0200a100000855 -:0200a200000854 -:0200a300000853 -:0200a400000852 -:0200a500000851 -:0200a600000850 -:0200a70000084f -:0200a80000084e -:0200a90000084d -:0200aa0000084c -:0200ab0000084b -:0200ac0000084a -:0200ad00000849 -:0200ae00000947 -:0200af00000946 -:0200b000000945 -:0200b100000944 -:0200b200000943 -:0200b300000942 -:0200b400000941 -:0200b500000940 -:0200b60000093f -:0200b70000093e -:0200b80000093d -:0200b90000093c -:0200ba0000093b -:0200bb0000093a -:0200bc00000939 -:0200bd00000938 -:0200be00000937 -:0200bf00000936 -:0200c000000935 -:0200c100000934 -:0200c200000a32 -:0200c300000a31 -:0200c400000a30 -:0200c500000a2f -:0200c600000a2e -:0200c700000a2d -:0200c800000a2c -:0200c900000a2b -:0200ca00000a2a -:0200cb00000a29 -:0200cc00000a28 -:0200cd00000a27 -:0200ce00000a26 -:0200cf00000a25 -:0200d000000a24 -:0200d100000a23 -:0200d200000a22 -:0200d300000a21 -:0200d400000a20 -:0200d500000a1f -:0200d600000b1d -:0200d700000b1c -:0200d800000b1b -:0200d900000b1a -:0200da00000b19 -:0200db00000b18 -:0200dc00000b17 -:0200dd00000b16 -:0200de00000b15 -:0200df00000b14 -:0200e000000b13 -:0200e100000b12 -:0200e200000b11 -:0200e300000b10 -:0200e400000b0f -:0200e500000b0e -:0200e600000b0d -:0200e700000b0c -:0200e800000b0b -:0200e900000b0a -:0200ea00000b09 -:0200eb00000c07 -:0200ec00000c06 -:0200ed00000c05 -:0200ee00000c04 -:0200ef00000c03 -:0200f000000c02 -:0200f100000c01 -:0200f200000c00 -:0200f300000cff -:0200f400000cfe -:0200f500000cfd -:0200f600000cfc -:0200f700000cfb -:0200f800000cfa -:0200f900000cf9 -:0200fa00000cf8 -:0200fb00000cf7 -:0200fc00000cf6 -:0200fd00000cf5 -:0200fe00000cf4 -:0200ff00000df2 -:02010000000df0 -:02010100000def -:02010200000dee -:02010300000ded -:02010400000dec -:02010500000deb -:02010600000dea -:02010700000de9 -:02010800000de8 -:02010900000de7 -:02010a00000de6 -:02010b00000de5 -:02010c00000de4 -:02010d00000de3 -:02010e00000de2 -:02010f00000de1 -:02011000000de0 -:02011100000ddf -:02011200000dde -:02011300000ddd -:02011400000edb -:02011500000eda -:02011600000ed9 -:02011700000ed8 -:02011800000ed7 -:02011900000ed6 -:02011a00000ed5 -:02011b00000ed4 -:02011c00000ed3 -:02011d00000ed2 -:02011e00000ed1 -:02011f00000ed0 -:02012000000ecf -:02012100000ece -:02012200000ecd -:02012300000ecc -:02012400000ecb -:02012500000eca -:02012600000ec9 -:02012700000ec8 -:02012800000fc6 -:02012900000fc5 -:02012a00000fc4 -:02012b00000fc3 -:02012c00000fc2 -:02012d00000fc1 -:02012e00000fc0 -:02012f00000fbf -:02013000000fbe -:02013100000fbd -:02013200000fbc -:02013300000fbb -:02013400000fba -:02013500000fb9 -:02013600000fb8 -:02013700000fb7 -:02013800000fb6 -:02013900000fb5 -:02013a00000fb4 -:02013b00000fb3 -:02013c000010b1 -:02013d000010b0 -:02013e000010af -:02013f000010ae -:020140000010ad -:020141000010ac -:020142000010ab -:020143000010aa -:020144000010a9 -:020145000010a8 -:020146000010a7 -:020147000010a6 -:020148000010a5 -:020149000010a4 -:02014a000010a3 -:02014b000010a2 -:02014c000010a1 -:02014d000010a0 -:02014e0000109f -:02014f0000109e -:0201500000109d -:0201510000119b -:0201520000119a -:02015300001199 -:02015400001198 -:02015500001197 -:02015600001196 -:02015700001195 -:02015800001194 -:02015900001193 -:02015a00001192 -:02015b00001191 -:02015c00001190 -:02015d0000118f -:02015e0000118e -:02015f0000118d -:0201600000118c -:0201610000118b -:0201620000118a -:02016300001189 -:02016400001188 -:02016500001286 -:02016600001285 -:02016700001284 -:02016800001283 -:02016900001282 -:02016a00001281 -:02016b00001280 -:02016c0000127f -:02016d0000127e -:02016e0000127d -:02016f0000127c -:0201700000127b -:0201710000127a -:02017200001279 -:02017300001278 -:02017400001277 -:02017500001276 -:02017600001275 -:02017700001274 -:02017800001273 -:02017900001371 -:02017a00001370 -:02017b0000136f -:02017c0000136e -:02017d0000136d -:02017e0000136c -:02017f0000136b -:0201800000136a -:02018100001369 -:02018200001368 -:02018300001367 -:02018400001366 -:02018500001365 -:02018600001364 -:02018700001363 -:02018800001362 -:02018900001361 -:02018a00001360 -:02018b0000135f -:02018c0000135e -:02018d0000135d -:02018e0000145b -:02018f0000145a -:02019000001459 -:02019100001458 -:02019200001457 -:02019300001456 -:02019400001455 -:02019500001454 -:02019600001453 -:02019700001452 -:02019800001451 -:02019900001450 -:02019a0000144f -:02019b0000144e -:02019c0000144d -:02019d0000144c -:02019e0000144b -:02019f0000144a -:0201a000001449 -:0201a100001448 -:0201a200001546 -:0201a300001545 -:0201a400001544 -:0201a500001543 -:0201a600001542 -:0201a700001541 -:0201a800001540 -:0201a90000153f -:0201aa0000153e -:0201ab0000153d -:0201ac0000153c -:0201ad0000153b -:0201ae0000153a -:0201af00001539 -:0201b000001538 -:0201b100001537 -:0201b200001536 -:0201b300001535 -:0201b400001534 -:0201b500001533 -:0201b600001532 -:0201b700001630 -:0201b80000162f -:0201b90000162e -:0201ba0000162d -:0201bb0000162c -:0201bc0000162b -:0201bd0000162a -:0201be00001629 -:0201bf00001628 -:0201c000001627 -:0201c100001626 -:0201c200001625 -:0201c300001624 -:0201c400001623 -:0201c500001622 -:0201c600001621 -:0201c700001620 -:0201c80000161f -:0201c90000161e -:0201ca0000161d -:0201cb0000171b -:0201cc0000171a -:0201cd00001719 -:0201ce00001718 -:0201cf00001717 -:0201d000001716 -:0201d100001715 -:0201d200001714 -:0201d300001713 -:0201d400001712 -:0201d500001711 -:0201d600001710 -:0201d70000170f -:0201d80000170e -:0201d90000170d -:0201da0000170c -:0201db0000170b -:0201dc0000170a -:0201dd00001709 -:0201de00001708 -:0201df00001806 -:0201e000001805 -:0201e100001804 -:0201e200001803 -:0201e300001802 -:0201e400001801 -:0201e500001800 -:0201e6000018ff -:0201e7000018fe -:0201e8000018fd -:0201e9000018fc -:0201ea000018fb -:0201eb000018fa -:0201ec000018f9 -:0201ed000018f8 -:0201ee000018f7 -:0201ef000018f6 -:0201f0000018f5 -:0201f1000018f4 -:0201f2000018f3 -:0201f3000018f2 -:0201f4000019f0 -:0201f5000019ef -:0201f6000019ee -:0201f7000019ed -:0201f8000019ec -:0201f9000019eb -:0201fa000019ea -:0201fb000019e9 -:0201fc000019e8 -:0201fd000019e7 -:0201fe000019e6 -:0201ff000019e5 -:020200000019e3 -:020201000019e2 -:020202000019e1 -:020203000019e0 -:020204000019df -:020205000019de -:020206000019dd -:020207000019dc -:02020800001ada -:02020900001ad9 -:02020a00001ad8 -:02020b00001ad7 -:02020c00001ad6 -:02020d00001ad5 -:02020e00001ad4 -:02020f00001ad3 -:02021000001ad2 -:02021100001ad1 -:02021200001ad0 -:02021300001acf -:02021400001ace -:02021500001acd -:02021600001acc -:02021700001acb -:02021800001aca -:02021900001ac9 -:02021a00001ac8 -:02021b00001ac7 -:02021c00001bc5 -:02021d00001bc4 -:02021e00001bc3 -:02021f00001bc2 -:02022000001bc1 -:02022100001bc0 -:02022200001bbf -:02022300001bbe -:02022400001bbd -:02022500001bbc -:02022600001bbb -:02022700001bba -:02022800001bb9 -:02022900001bb8 -:02022a00001bb7 -:02022b00001bb6 -:02022c00001bb5 -:02022d00001bb4 -:02022e00001bb3 -:02022f00001bb2 -:02023000001bb1 -:02023100001caf -:02023200001cae -:02023300001cad -:02023400001cac -:02023500001cab -:02023600001caa -:02023700001ca9 -:02023800001ca8 -:02023900001ca7 -:02023a00001ca6 -:02023b00001ca5 -:02023c00001ca4 -:02023d00001ca3 -:02023e00001ca2 -:02023f00001ca1 -:02024000001ca0 -:02024100001c9f -:02024200001c9e -:02024300001c9d -:02024400001c9c -:02024500001d9a -:02024600001d99 -:02024700001d98 -:02024800001d97 -:02024900001d96 -:02024a00001d95 -:02024b00001d94 -:02024c00001d93 -:02024d00001d92 -:02024e00001d91 -:02024f00001d90 -:02025000001d8f -:02025100001d8e -:02025200001d8d -:02025300001d8c -:02025400001d8b -:02025500001d8a -:02025600001d89 -:02025700001d88 -:02025800001d87 -:02025900001e85 -:02025a00001e84 -:02025b00001e83 -:02025c00001e82 -:02025d00001e81 -:02025e00001e80 -:02025f00001e7f -:02026000001e7e -:02026100001e7d -:02026200001e7c -:02026300001e7b -:02026400001e7a -:02026500001e79 -:02026600001e78 -:02026700001e77 -:02026800001e76 -:02026900001e75 -:02026a00001e74 -:02026b00001e73 -:02026c00001e72 -:02026d00001e71 -:02026e00001f6f -:02026f00001f6e -:02027000001f6d -:02027100001f6c -:02027200001f6b -:02027300001f6a -:02027400001f69 -:02027500001f68 -:02027600001f67 -:02027700001f66 -:02027800001f65 -:02027900001f64 -:02027a00001f63 -:02027b00001f62 -:02027c00001f61 -:02027d00001f60 -:02027e00001f5f -:02027f00001f5e -:02028000001f5d -:02028100001f5c -:0202820000205a -:02028300002059 -:02028400002058 -:02028500002057 -:02028600002056 -:02028700002055 -:02028800002054 -:02028900002053 -:02028a00002052 -:02028b00002051 -:02028c00002050 -:02028d0000204f -:02028e0000204e -:02028f0000204d -:0202900000204c -:0202910000204b -:0202920000204a -:02029300002049 -:02029400002048 -:02029500002047 -:02029600002046 -:02029700002144 -:02029800002143 -:02029900002142 -:02029a00002141 -:02029b00002140 -:02029c0000213f -:02029d0000213e -:02029e0000213d -:02029f0000213c -:0202a00000213b -:0202a10000213a -:0202a200002139 -:0202a300002138 -:0202a400002137 -:0202a500002136 -:0202a600002135 -:0202a700002134 -:0202a800002133 -:0202a900002132 -:0202aa00002131 -:0202ab0000222f -:0202ac0000222e -:0202ad0000222d -:0202ae0000222c -:0202af0000222b -:0202b00000222a -:0202b100002229 -:0202b200002228 -:0202b300002227 -:0202b400002226 -:0202b500002225 -:0202b600002224 -:0202b700002223 -:0202b800002222 -:0202b900002221 -:0202ba00002220 -:0202bb0000221f -:0202bc0000221e -:0202bd0000221d -:0202be0000221c -:0202bf0000231a -:0202c000002319 -:0202c100002318 -:0202c200002317 -:0202c300002316 -:0202c400002315 -:0202c500002314 -:0202c600002313 -:0202c700002312 -:0202c800002311 -:0202c900002310 -:0202ca0000230f -:0202cb0000230e -:0202cc0000230d -:0202cd0000230c -:0202ce0000230b -:0202cf0000230a -:0202d000002309 -:0202d100002308 -:0202d200002307 -:0202d300002306 -:0202d400002404 -:0202d500002403 -:0202d600002402 -:0202d700002401 -:0202d800002400 -:0202d9000024ff -:0202da000024fe -:0202db000024fd -:0202dc000024fc -:0202dd000024fb -:0202de000024fa -:0202df000024f9 -:0202e0000024f8 -:0202e1000024f7 -:0202e2000024f6 -:0202e3000024f5 -:0202e4000024f4 -:0202e5000024f3 -:0202e6000024f2 -:0202e7000024f1 -:0202e8000025ef -:0202e9000025ee -:0202ea000025ed -:0202eb000025ec -:0202ec000025eb -:0202ed000025ea -:0202ee000025e9 -:0202ef000025e8 -:0202f0000025e7 -:0202f1000025e6 -:0202f2000025e5 -:0202f3000025e4 -:0202f4000025e3 -:0202f5000025e2 -:0202f6000025e1 -:0202f7000025e0 -:0202f8000025df -:0202f9000025de -:0202fa000025dd -:0202fb000025dc -:0202fc000026da -:0202fd000026d9 -:0202fe000026d8 -:0202ff000026d7 -:020300000026d5 -:020301000026d4 -:020302000026d3 -:020303000026d2 -:020304000026d1 -:020305000026d0 -:020306000026cf -:020307000026ce -:020308000026cd -:020309000026cc -:02030a000026cb -:02030b000026ca -:02030c000026c9 -:02030d000026c8 -:02030e000026c7 -:02030f000026c6 -:020310000026c5 -:020311000027c3 -:020312000027c2 -:020313000027c1 -:020314000027c0 -:020315000027bf -:020316000027be -:020317000027bd -:020318000027bc -:020319000027bb -:02031a000027ba -:02031b000027b9 -:02031c000027b8 -:02031d000027b7 -:02031e000027b6 -:02031f000027b5 -:020320000027b4 -:020321000027b3 -:020322000027b2 -:020323000027b1 -:020324000027b0 -:020325000028ae -:020326000028ad -:020327000028ac -:020328000028ab -:020329000028aa -:02032a000028a9 -:02032b000028a8 -:02032c000028a7 -:02032d000028a6 -:02032e000028a5 -:02032f000028a4 -:020330000028a3 -:020331000028a2 -:020332000028a1 -:020333000028a0 -:0203340000289f -:0203350000289e -:0203360000289d -:0203370000289c -:0203380000289b -:0203390000289a -:02033a00002998 -:02033b00002997 -:02033c00002996 -:02033d00002995 -:02033e00002994 -:02033f00002993 -:02034000002992 -:02034100002991 -:02034200002990 -:0203430000298f -:0203440000298e -:0203450000298d -:0203460000298c -:0203470000298b -:0203480000298a -:02034900002989 -:02034a00002988 -:02034b00002987 -:02034c00002986 -:02034d00002985 -:02034e00002a83 -:02034f00002a82 -:02035000002a81 -:02035100002a80 -:02035200002a7f -:02035300002a7e -:02035400002a7d -:02035500002a7c -:02035600002a7b -:02035700002a7a -:02035800002a79 -:02035900002a78 -:02035a00002a77 -:02035b00002a76 -:02035c00002a75 -:02035d00002a74 -:02035e00002a73 -:02035f00002a72 -:02036000002a71 -:02036100002a70 -:02036200002b6e -:02036300002b6d -:02036400002b6c -:02036500002b6b -:02036600002b6a -:02036700002b69 -:02036800002b68 -:02036900002b67 -:02036a00002b66 -:02036b00002b65 -:02036c00002b64 -:02036d00002b63 -:02036e00002b62 -:02036f00002b61 -:02037000002b60 -:02037100002b5f -:02037200002b5e -:02037300002b5d -:02037400002b5c -:02037500002b5b -:02037600002b5a -:02037700002c58 -:02037800002c57 -:02037900002c56 -:02037a00002c55 -:02037b00002c54 -:02037c00002c53 -:02037d00002c52 -:02037e00002c51 -:02037f00002c50 -:02038000002c4f -:02038100002c4e -:02038200002c4d -:02038300002c4c -:02038400002c4b -:02038500002c4a -:02038600002c49 -:02038700002c48 -:02038800002c47 -:02038900002c46 -:02038a00002c45 -:02038b00002d43 -:02038c00002d42 -:02038d00002d41 -:02038e00002d40 -:02038f00002d3f -:02039000002d3e -:02039100002d3d -:02039200002d3c -:02039300002d3b -:02039400002d3a -:02039500002d39 -:02039600002d38 -:02039700002d37 -:02039800002d36 -:02039900002d35 -:02039a00002d34 -:02039b00002d33 -:02039c00002d32 -:02039d00002d31 -:02039e00002d30 -:02039f00002e2e -:0203a000002e2d -:0203a100002e2c -:0203a200002e2b -:0203a300002e2a -:0203a400002e29 -:0203a500002e28 -:0203a600002e27 -:0203a700002e26 -:0203a800002e25 -:0203a900002e24 -:0203aa00002e23 -:0203ab00002e22 -:0203ac00002e21 -:0203ad00002e20 -:0203ae00002e1f -:0203af00002e1e -:0203b000002e1d -:0203b100002e1c -:0203b200002e1b -:0203b300002e1a -:0203b400002f18 -:0203b500002f17 -:0203b600002f16 -:0203b700002f15 -:0203b800002f14 -:0203b900002f13 -:0203ba00002f12 -:0203bb00002f11 -:0203bc00002f10 -:0203bd00002f0f -:0203be00002f0e -:0203bf00002f0d -:0203c000002f0c -:0203c100002f0b -:0203c200002f0a -:0203c300002f09 -:0203c400002f08 -:0203c500002f07 -:0203c600002f06 -:0203c700002f05 -:0203c800003003 -:0203c900003002 -:0203ca00003001 -:0203cb00003000 -:0203cc000030ff -:0203cd000030fe -:0203ce000030fd -:0203cf000030fc -:0203d0000030fb -:0203d1000030fa -:0203d2000030f9 -:0203d3000030f8 -:0203d4000030f7 -:0203d5000030f6 -:0203d6000030f5 -:0203d7000030f4 -:0203d8000030f3 -:0203d9000030f2 -:0203da000030f1 -:0203db000030f0 -:0203dc000030ef -:0203dd000031ed -:0203de000031ec -:0203df000031eb -:0203e0000031ea -:0203e1000031e9 -:0203e2000031e8 -:0203e3000031e7 -:0203e4000031e6 -:0203e5000031e5 -:0203e6000031e4 -:0203e7000031e3 -:0203e8000031e2 -:0203e9000031e1 -:0203ea000031e0 -:0203eb000031df -:0203ec000031de -:0203ed000031dd -:0203ee000031dc -:0203ef000031db -:0203f0000031da -:0203f1000032d8 -:0203f2000032d7 -:0203f3000032d6 -:0203f4000032d5 -:0203f5000032d4 -:0203f6000032d3 -:0203f7000032d2 -:0203f8000032d1 -:0203f9000032d0 -:0203fa000032cf -:0203fb000032ce -:0203fc000032cd -:0203fd000032cc -:0203fe000032cb -:0203ff000032ca -:020400000032c8 -:020401000032c7 -:020402000032c6 -:020403000032c5 -:020404000032c4 -:020405000033c2 -:020406000033c1 -:020407000033c0 -:020408000033bf -:020409000033be -:02040a000033bd -:02040b000033bc -:02040c000033bb -:02040d000033ba -:02040e000033b9 -:02040f000033b8 -:020410000033b7 -:020411000033b6 -:020412000033b5 -:020413000033b4 -:020414000033b3 -:020415000033b2 -:020416000033b1 -:020417000033b0 -:020418000033af -:020419000033ae -:02041a000034ac -:02041b000034ab -:02041c000034aa -:02041d000034a9 -:02041e000034a8 -:02041f000034a7 -:020420000034a6 -:020421000034a5 -:020422000034a4 -:020423000034a3 -:020424000034a2 -:020425000034a1 -:020426000034a0 -:0204270000349f -:0204280000349e -:0204290000349d -:02042a0000349c -:02042b0000349b -:02042c0000349a -:02042d00003499 -:02042e00003597 -:02042f00003596 -:02043000003595 -:02043100003594 -:02043200003593 -:02043300003592 -:02043400003591 -:02043500003590 -:0204360000358f -:0204370000358e -:0204380000358d -:0204390000358c -:02043a0000358b -:02043b0000358a -:02043c00003589 -:02043d00003588 -:02043e00003587 -:02043f00003586 -:02044000003585 -:02044100003584 -:02044200003682 -:02044300003681 -:02044400003680 -:0204450000367f -:0204460000367e -:0204470000367d -:0204480000367c -:0204490000367b -:02044a0000367a -:02044b00003679 -:02044c00003678 -:02044d00003677 -:02044e00003676 -:02044f00003675 -:02045000003674 -:02045100003673 -:02045200003672 -:02045300003671 -:02045400003670 -:0204550000366f -:0204560000366e -:0204570000376c -:0204580000376b -:0204590000376a -:02045a00003769 -:02045b00003768 -:02045c00003767 -:02045d00003766 -:02045e00003765 -:02045f00003764 -:02046000003763 -:02046100003762 -:02046200003761 -:02046300003760 -:0204640000375f -:0204650000375e -:0204660000375d -:0204670000375c -:0204680000375b -:0204690000375a -:02046a00003759 -:02046b00003857 -:02046c00003856 -:02046d00003855 -:02046e00003854 -:02046f00003853 -:02047000003852 -:02047100003851 -:02047200003850 -:0204730000384f -:0204740000384e -:0204750000384d -:0204760000384c -:0204770000384b -:0204780000384a -:02047900003849 -:02047a00003848 -:02047b00003847 -:02047c00003846 -:02047d00003845 -:02047e00003844 -:02047f00003843 -:02048000003941 -:02048100003940 -:0204820000393f -:0204830000393e -:0204840000393d -:0204850000393c -:0204860000393b -:0204870000393a -:02048800003939 -:02048900003938 -:02048a00003937 -:02048b00003936 -:02048c00003935 -:02048d00003934 -:02048e00003933 -:02048f00003932 -:02049000003931 -:02049100003930 -:0204920000392f -:0204930000392e -:02049400003a2c -:02049500003a2b -:02049600003a2a -:02049700003a29 -:02049800003a28 -:02049900003a27 -:02049a00003a26 -:02049b00003a25 -:02049c00003a24 -:02049d00003a23 -:02049e00003a22 -:02049f00003a21 -:0204a000003a20 -:0204a100003a1f -:0204a200003a1e -:0204a300003a1d -:0204a400003a1c -:0204a500003a1b -:0204a600003a1a -:0204a700003a19 -:0204a800003b17 -:0204a900003b16 -:0204aa00003b15 -:0204ab00003b14 -:0204ac00003b13 -:0204ad00003b12 -:0204ae00003b11 -:0204af00003b10 -:0204b000003b0f -:0204b100003b0e -:0204b200003b0d -:0204b300003b0c -:0204b400003b0b -:0204b500003b0a -:0204b600003b09 -:0204b700003b08 -:0204b800003b07 -:0204b900003b06 -:0204ba00003b05 -:0204bb00003b04 -:0204bc00003b03 -:0204bd00003c01 -:0204be00003c00 -:0204bf00003cff -:0204c000003cfe -:0204c100003cfd -:0204c200003cfc -:0204c300003cfb -:0204c400003cfa -:0204c500003cf9 -:0204c600003cf8 -:0204c700003cf7 -:0204c800003cf6 -:0204c900003cf5 -:0204ca00003cf4 -:0204cb00003cf3 -:0204cc00003cf2 -:0204cd00003cf1 -:0204ce00003cf0 -:0204cf00003cef -:0204d000003cee -:0204d100003dec -:0204d200003deb -:0204d300003dea -:0204d400003de9 -:0204d500003de8 -:0204d600003de7 -:0204d700003de6 -:0204d800003de5 -:0204d900003de4 -:0204da00003de3 -:0204db00003de2 -:0204dc00003de1 -:0204dd00003de0 -:0204de00003ddf -:0204df00003dde -:0204e000003ddd -:0204e100003ddc -:0204e200003ddb -:0204e300003dda -:0204e400003dd9 -:0204e500003ed7 -:0204e600003ed6 -:0204e700003ed5 -:0204e800003ed4 -:0204e900003ed3 -:0204ea00003ed2 -:0204eb00003ed1 -:0204ec00003ed0 -:0204ed00003ecf -:0204ee00003ece -:0204ef00003ecd -:0204f000003ecc -:0204f100003ecb -:0204f200003eca -:0204f300003ec9 -:0204f400003ec8 -:0204f500003ec7 -:0204f600003ec6 -:0204f700003ec5 -:0204f800003ec4 -:0204f900003ec3 -:0204fa00003fc1 -:0204fb00003fc0 -:0204fc00003fbf -:0204fd00003fbe -:0204fe00003fbd -:0204ff00003fbc -:02050000003fba -:02050100003fb9 -:02050200003fb8 -:02050300003fb7 -:02050400003fb6 -:02050500003fb5 -:02050600003fb4 -:02050700003fb3 -:02050800003fb2 -:02050900003fb1 -:02050a00003fb0 -:02050b00003faf -:02050c00003fae -:02050d00003fad -:02050e000040ab -:02050f000040aa -:020510000040a9 -:020511000040a8 -:020512000040a7 -:020513000040a6 -:020514000040a5 -:020515000040a4 -:020516000040a3 -:020517000040a2 -:020518000040a1 -:020519000040a0 -:02051a0000409f -:02051b0000409e -:02051c0000409d -:02051d0000409c -:02051e0000409b -:02051f0000409a -:02052000004099 -:02052100004098 -:02052200004097 -:02052300004195 -:02052400004194 -:02052500004193 -:02052600004192 -:02052700004191 -:02052800004190 -:0205290000418f -:02052a0000418e -:02052b0000418d -:02052c0000418c -:02052d0000418b -:02052e0000418a -:02052f00004189 -:02053000004188 -:02053100004187 -:02053200004186 -:02053300004185 -:02053400004184 -:02053500004183 -:02053600004182 -:02053700004280 -:0205380000427f -:0205390000427e -:02053a0000427d -:02053b0000427c -:02053c0000427b -:02053d0000427a -:02053e00004279 -:02053f00004278 -:02054000004277 -:02054100004276 -:02054200004275 -:02054300004274 -:02054400004273 -:02054500004272 -:02054600004271 -:02054700004270 -:0205480000426f -:0205490000426e -:02054a0000426d -:02054b0000436b -:02054c0000436a -:02054d00004369 -:02054e00004368 -:02054f00004367 -:02055000004366 -:02055100004365 -:02055200004364 -:02055300004363 -:02055400004362 -:02055500004361 -:02055600004360 -:0205570000435f -:0205580000435e -:0205590000435d -:02055a0000435c -:02055b0000435b -:02055c0000435a -:02055d00004359 -:02055e00004358 -:02055f00004357 -:02056000004455 -:02056100004454 -:02056200004453 -:02056300004452 -:02056400004451 -:02056500004450 -:0205660000444f -:0205670000444e -:0205680000444d -:0205690000444c -:02056a0000444b -:02056b0000444a -:02056c00004449 -:02056d00004448 -:02056e00004447 -:02056f00004446 -:02057000004445 -:02057100004444 -:02057200004443 -:02057300004442 -:02057400004540 -:0205750000453f -:0205760000453e -:0205770000453d -:0205780000453c -:0205790000453b -:02057a0000453a -:02057b00004539 -:02057c00004538 -:02057d00004537 -:02057e00004536 -:02057f00004535 -:02058000004534 -:02058100004533 -:02058200004532 -:02058300004531 -:02058400004530 -:0205850000452f -:0205860000452e -:0205870000452d -:0205880000462b -:0205890000462a -:02058a00004629 -:02058b00004628 -:02058c00004627 -:02058d00004626 -:02058e00004625 -:02058f00004624 -:02059000004623 -:02059100004622 -:02059200004621 -:02059300004620 -:0205940000461f -:0205950000461e -:0205960000461d -:0205970000461c -:0205980000461b -:0205990000461a -:02059a00004619 -:02059b00004618 -:02059c00004617 -:02059d00004715 -:02059e00004714 -:02059f00004713 -:0205a000004712 -:0205a100004711 -:0205a200004710 -:0205a30000470f -:0205a40000470e -:0205a50000470d -:0205a60000470c -:0205a70000470b -:0205a80000470a -:0205a900004709 -:0205aa00004708 -:0205ab00004707 -:0205ac00004706 -:0205ad00004705 -:0205ae00004704 -:0205af00004703 -:0205b000004702 -:0205b100004800 -:0205b2000048ff -:0205b3000048fe -:0205b4000048fd -:0205b5000048fc -:0205b6000048fb -:0205b7000048fa -:0205b8000048f9 -:0205b9000048f8 -:0205ba000048f7 -:0205bb000048f6 -:0205bc000048f5 -:0205bd000048f4 -:0205be000048f3 -:0205bf000048f2 -:0205c0000048f1 -:0205c1000048f0 -:0205c2000048ef -:0205c3000048ee -:0205c4000048ed -:0205c5000048ec -:0205c6000049ea -:0205c7000049e9 -:0205c8000049e8 -:0205c9000049e7 -:0205ca000049e6 -:0205cb000049e5 -:0205cc000049e4 -:0205cd000049e3 -:0205ce000049e2 -:0205cf000049e1 -:0205d0000049e0 -:0205d1000049df -:0205d2000049de -:0205d3000049dd -:0205d4000049dc -:0205d5000049db -:0205d6000049da -:0205d7000049d9 -:0205d8000049d8 -:0205d9000049d7 -:0205da00004ad5 -:0205db00004ad4 -:0205dc00004ad3 -:0205dd00004ad2 -:0205de00004ad1 -:0205df00004ad0 -:0205e000004acf -:0205e100004ace -:0205e200004acd -:0205e300004acc -:0205e400004acb -:0205e500004aca -:0205e600004ac9 -:0205e700004ac8 -:0205e800004ac7 -:0205e900004ac6 -:0205ea00004ac5 -:0205eb00004ac4 -:0205ec00004ac3 -:0205ed00004ac2 -:0205ee00004bc0 -:0205ef00004bbf -:0205f000004bbe -:0205f100004bbd -:0205f200004bbc -:0205f300004bbb -:0205f400004bba -:0205f500004bb9 -:0205f600004bb8 -:0205f700004bb7 -:0205f800004bb6 -:0205f900004bb5 -:0205fa00004bb4 -:0205fb00004bb3 -:0205fc00004bb2 -:0205fd00004bb1 -:0205fe00004bb0 -:0205ff00004baf -:02060000004bad -:02060100004bac -:02060200004bab -:02060300004ca9 -:02060400004ca8 -:02060500004ca7 -:02060600004ca6 -:02060700004ca5 -:02060800004ca4 -:02060900004ca3 -:02060a00004ca2 -:02060b00004ca1 -:02060c00004ca0 -:02060d00004c9f -:02060e00004c9e -:02060f00004c9d -:02061000004c9c -:02061100004c9b -:02061200004c9a -:02061300004c99 -:02061400004c98 -:02061500004c97 -:02061600004c96 -:02061700004d94 -:02061800004d93 -:02061900004d92 -:02061a00004d91 -:02061b00004d90 -:02061c00004d8f -:02061d00004d8e -:02061e00004d8d -:02061f00004d8c -:02062000004d8b -:02062100004d8a -:02062200004d89 -:02062300004d88 -:02062400004d87 -:02062500004d86 -:02062600004d85 -:02062700004d84 -:02062800004d83 -:02062900004d82 -:02062a00004d81 -:02062b00004e7f -:02062c00004e7e -:02062d00004e7d -:02062e00004e7c -:02062f00004e7b -:02063000004e7a -:02063100004e79 -:02063200004e78 -:02063300004e77 -:02063400004e76 -:02063500004e75 -:02063600004e74 -:02063700004e73 -:02063800004e72 -:02063900004e71 -:02063a00004e70 -:02063b00004e6f -:02063c00004e6e -:02063d00004e6d -:02063e00004e6c -:02063f00004e6b -:02064000004f69 -:02064100004f68 -:02064200004f67 -:02064300004f66 -:02064400004f65 -:02064500004f64 -:02064600004f63 -:02064700004f62 -:02064800004f61 -:02064900004f60 -:02064a00004f5f -:02064b00004f5e -:02064c00004f5d -:02064d00004f5c -:02064e00004f5b -:02064f00004f5a -:02065000004f59 -:02065100004f58 -:02065200004f57 -:02065300004f56 -:02065400005054 -:02065500005053 -:02065600005052 -:02065700005051 -:02065800005050 -:0206590000504f -:02065a0000504e -:02065b0000504d -:02065c0000504c -:02065d0000504b -:02065e0000504a -:02065f00005049 -:02066000005048 -:02066100005047 -:02066200005046 -:02066300005045 -:02066400005044 -:02066500005043 -:02066600005042 -:02066700005041 -:0206680000513f -:0206690000513e -:02066a0000513d -:02066b0000513c -:02066c0000513b -:02066d0000513a -:02066e00005139 -:02066f00005138 -:02067000005137 -:02067100005136 -:02067200005135 -:02067300005134 -:02067400005133 -:02067500005132 -:02067600005131 -:02067700005130 -:0206780000512f -:0206790000512e -:02067a0000512d -:02067b0000512c -:02067c0000512b -:02067d00005229 -:02067e00005228 -:02067f00005227 -:02068000005226 -:02068100005225 -:02068200005224 -:02068300005223 -:02068400005222 -:02068500005221 -:02068600005220 -:0206870000521f -:0206880000521e -:0206890000521d -:02068a0000521c -:02068b0000521b -:02068c0000521a -:02068d00005219 -:02068e00005218 -:02068f00005217 -:02069000005216 -:02069100005314 -:02069200005313 -:02069300005312 -:02069400005311 -:02069500005310 -:0206960000530f -:0206970000530e -:0206980000530d -:0206990000530c -:02069a0000530b -:02069b0000530a -:02069c00005309 -:02069d00005308 -:02069e00005307 -:02069f00005306 -:0206a000005305 -:0206a100005304 -:0206a200005303 -:0206a300005302 -:0206a400005301 -:0206a500005300 -:0206a6000054fe -:0206a7000054fd -:0206a8000054fc -:0206a9000054fb -:0206aa000054fa -:0206ab000054f9 -:0206ac000054f8 -:0206ad000054f7 -:0206ae000054f6 -:0206af000054f5 -:0206b0000054f4 -:0206b1000054f3 -:0206b2000054f2 -:0206b3000054f1 -:0206b4000054f0 -:0206b5000054ef -:0206b6000054ee -:0206b7000054ed -:0206b8000054ec -:0206b9000054eb -:0206ba000055e9 -:0206bb000055e8 -:0206bc000055e7 -:0206bd000055e6 -:0206be000055e5 -:0206bf000055e4 -:0206c0000055e3 -:0206c1000055e2 -:0206c2000055e1 -:0206c3000055e0 -:0206c4000055df -:0206c5000055de -:0206c6000055dd -:0206c7000055dc -:0206c8000055db -:0206c9000055da -:0206ca000055d9 -:0206cb000055d8 -:0206cc000055d7 -:0206cd000055d6 -:0206ce000056d4 -:0206cf000056d3 -:0206d0000056d2 -:0206d1000056d1 -:0206d2000056d0 -:0206d3000056cf -:0206d4000056ce -:0206d5000056cd -:0206d6000056cc -:0206d7000056cb -:0206d8000056ca -:0206d9000056c9 -:0206da000056c8 -:0206db000056c7 -:0206dc000056c6 -:0206dd000056c5 -:0206de000056c4 -:0206df000056c3 -:0206e0000056c2 -:0206e1000056c1 -:0206e2000056c0 -:0206e3000057be -:0206e4000057bd -:0206e5000057bc -:0206e6000057bb -:0206e7000057ba -:0206e8000057b9 -:0206e9000057b8 -:0206ea000057b7 -:0206eb000057b6 -:0206ec000057b5 -:0206ed000057b4 -:0206ee000057b3 -:0206ef000057b2 -:0206f0000057b1 -:0206f1000057b0 -:0206f2000057af -:0206f3000057ae -:0206f4000057ad -:0206f5000057ac -:0206f6000057ab -:0206f7000058a9 -:0206f8000058a8 -:0206f9000058a7 -:0206fa000058a6 -:0206fb000058a5 -:0206fc000058a4 -:0206fd000058a3 -:0206fe000058a2 -:0206ff000058a1 -:0207000000589f -:0207010000589e -:0207020000589d -:0207030000589c -:0207040000589b -:0207050000589a -:02070600005899 -:02070700005898 -:02070800005897 -:02070900005896 -:02070a00005895 -:02070b00005993 -:02070c00005992 -:02070d00005991 -:02070e00005990 -:02070f0000598f -:0207100000598e -:0207110000598d -:0207120000598c -:0207130000598b -:0207140000598a -:02071500005989 -:02071600005988 -:02071700005987 -:02071800005986 -:02071900005985 -:02071a00005984 -:02071b00005983 -:02071c00005982 -:02071d00005981 -:02071e00005980 -:02071f0000597f -:02072000005a7d -:02072100005a7c -:02072200005a7b -:02072300005a7a -:02072400005a79 -:02072500005a78 -:02072600005a77 -:02072700005a76 -:02072800005a75 -:02072900005a74 -:02072a00005a73 -:02072b00005a72 -:02072c00005a71 -:02072d00005a70 -:02072e00005a6f -:02072f00005a6e -:02073000005a6d -:02073100005a6c -:02073200005a6b -:02073300005a6a -:02073400005b68 -:02073500005b67 -:02073600005b66 -:02073700005b65 -:02073800005b64 -:02073900005b63 -:02073a00005b62 -:02073b00005b61 -:02073c00005b60 -:02073d00005b5f -:02073e00005b5e -:02073f00005b5d -:02074000005b5c -:02074100005b5b -:02074200005b5a -:02074300005b59 -:02074400005b58 -:02074500005b57 -:02074600005b56 -:02074700005b55 -:02074800005b54 -:02074900005c52 -:02074a00005c51 -:02074b00005c50 -:02074c00005c4f -:02074d00005c4e -:02074e00005c4d -:02074f00005c4c -:02075000005c4b -:02075100005c4a -:02075200005c49 -:02075300005c48 -:02075400005c47 -:02075500005c46 -:02075600005c45 -:02075700005c44 -:02075800005c43 -:02075900005c42 -:02075a00005c41 -:02075b00005c40 -:02075c00005c3f -:02075d00005d3d -:02075e00005d3c -:02075f00005d3b -:02076000005d3a -:02076100005d39 -:02076200005d38 -:02076300005d37 -:02076400005d36 -:02076500005d35 -:02076600005d34 -:02076700005d33 -:02076800005d32 -:02076900005d31 -:02076a00005d30 -:02076b00005d2f -:02076c00005d2e -:02076d00005d2d -:02076e00005d2c -:02076f00005d2b -:02077000005d2a -:02077100005e28 -:02077200005e27 -:02077300005e26 -:02077400005e25 -:02077500005e24 -:02077600005e23 -:02077700005e22 -:02077800005e21 -:02077900005e20 -:02077a00005e1f -:02077b00005e1e -:02077c00005e1d -:02077d00005e1c -:02077e00005e1b -:02077f00005e1a -:02078000005e19 -:02078100005e18 -:02078200005e17 -:02078300005e16 -:02078400005e15 -:02078500005e14 -:02078600005f12 -:02078700005f11 -:02078800005f10 -:02078900005f0f -:02078a00005f0e -:02078b00005f0d -:02078c00005f0c -:02078d00005f0b -:02078e00005f0a -:02078f00005f09 -:02079000005f08 -:02079100005f07 -:02079200005f06 -:02079300005f05 -:02079400005f04 -:02079500005f03 -:02079600005f02 -:02079700005f01 -:02079800005f00 -:02079900005fff -:02079a000060fd -:02079b000060fc -:02079c000060fb -:02079d000060fa -:02079e000060f9 -:02079f000060f8 -:0207a0000060f7 -:0207a1000060f6 -:0207a2000060f5 -:0207a3000060f4 -:0207a4000060f3 -:0207a5000060f2 -:0207a6000060f1 -:0207a7000060f0 -:0207a8000060ef -:0207a9000060ee -:0207aa000060ed -:0207ab000060ec -:0207ac000060eb -:0207ad000060ea -:0207ae000061e8 -:0207af000061e7 -:0207b0000061e6 -:0207b1000061e5 -:0207b2000061e4 -:0207b3000061e3 -:0207b4000061e2 -:0207b5000061e1 -:0207b6000061e0 -:0207b7000061df -:0207b8000061de -:0207b9000061dd -:0207ba000061dc -:0207bb000061db -:0207bc000061da -:0207bd000061d9 -:0207be000061d8 -:0207bf000061d7 -:0207c0000061d6 -:0207c1000061d5 -:0207c2000061d4 -:0207c3000062d2 -:0207c4000062d1 -:0207c5000062d0 -:0207c6000062cf -:0207c7000062ce -:0207c8000062cd -:0207c9000062cc -:0207ca000062cb -:0207cb000062ca -:0207cc000062c9 -:0207cd000062c8 -:0207ce000062c7 -:0207cf000062c6 -:0207d0000062c5 -:0207d1000062c4 -:0207d2000062c3 -:0207d3000062c2 -:0207d4000062c1 -:0207d5000062c0 -:0207d6000062bf -:0207d7000063bd -:0207d8000063bc -:0207d9000063bb -:0207da000063ba -:0207db000063b9 -:0207dc000063b8 -:0207dd000063b7 -:0207de000063b6 -:0207df000063b5 -:0207e0000063b4 -:0207e1000063b3 -:0207e2000063b2 -:0207e3000063b1 -:0207e4000063b0 -:0207e5000063af -:0207e6000063ae -:0207e7000063ad -:0207e8000063ac -:0207e9000063ab -:0207ea000063aa -:0207eb000063a9 -:0207ec000064a7 -:0207ed000064a6 -:0207ee000064a5 -:0207ef000064a4 -:0207f0000064a3 -:0207f1000064a2 -:0207f2000064a1 -:0207f3000064a0 -:0207f40000649f -:0207f50000649e -:0207f60000649d -:0207f70000649c -:0207f80000649b -:0207f90000649a -:0207fa00006499 -:0207fb00006498 -:0207fc00006497 -:0207fd00006496 -:0207fe00006495 -:0207ff00006494 -:00000001FF diff --git a/FPGA_61.440/db/ip/tx_nco/tx_nco.bsf b/FPGA_61.440/db/ip/tx_nco/tx_nco.bsf deleted file mode 100644 index 7022b6a..0000000 --- a/FPGA_61.440/db/ip/tx_nco/tx_nco.bsf +++ /dev/null @@ -1,105 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 256 200) - (text "tx_nco" (rect 109 -1 136 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 184 20 196)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "clk" (rect 0 0 10 12)(font "Arial" (font_size 8))) - (text "clk" (rect 4 61 22 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 80 72)(line_width 1)) - ) - (port - (pt 0 112) - (input) - (text "clken" (rect 0 0 20 12)(font "Arial" (font_size 8))) - (text "clken" (rect 4 101 34 112)(font "Arial" (font_size 8))) - (line (pt 0 112)(pt 80 112)(line_width 1)) - ) - (port - (pt 0 128) - (input) - (text "phi_inc_i[21..0]" (rect 0 0 57 12)(font "Arial" (font_size 8))) - (text "phi_inc_i[21..0]" (rect 4 117 100 128)(font "Arial" (font_size 8))) - (line (pt 0 128)(pt 80 128)(line_width 3)) - ) - (port - (pt 0 168) - (input) - (text "reset_n" (rect 0 0 30 12)(font "Arial" (font_size 8))) - (text "reset_n" (rect 4 157 46 168)(font "Arial" (font_size 8))) - (line (pt 0 168)(pt 80 168)(line_width 1)) - ) - (port - (pt 256 72) - (output) - (text "fsin_o[15..0]" (rect 0 0 48 12)(font "Arial" (font_size 8))) - (text "fsin_o[15..0]" (rect 194 61 272 72)(font "Arial" (font_size 8))) - (line (pt 256 72)(pt 176 72)(line_width 3)) - ) - (port - (pt 256 88) - (output) - (text "fcos_o[15..0]" (rect 0 0 51 12)(font "Arial" (font_size 8))) - (text "fcos_o[15..0]" (rect 190 77 268 88)(font "Arial" (font_size 8))) - (line (pt 256 88)(pt 176 88)(line_width 3)) - ) - (port - (pt 256 104) - (output) - (text "out_valid" (rect 0 0 35 12)(font "Arial" (font_size 8))) - (text "out_valid" (rect 210 93 264 104)(font "Arial" (font_size 8))) - (line (pt 256 104)(pt 176 104)(line_width 1)) - ) - (drawing - (text "clk" (rect 65 43 148 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clk" (rect 85 67 188 144)(font "Arial" (color 0 0 0))) - (text "in" (rect 71 83 154 179)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clken" (rect 85 107 200 224)(font "Arial" (color 0 0 0))) - (text "phi_inc_i" (rect 85 123 224 256)(font "Arial" (color 0 0 0))) - (text "out" (rect 177 43 372 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "fsin_o" (rect 146 67 328 144)(font "Arial" (color 0 0 0))) - (text "fcos_o" (rect 143 83 322 176)(font "Arial" (color 0 0 0))) - (text "out_valid" (rect 134 99 322 208)(font "Arial" (color 0 0 0))) - (text "rst" (rect 65 139 148 291)(font "Arial" (color 128 0 0)(font_size 9))) - (text "reset_n" (rect 85 163 212 336)(font "Arial" (color 0 0 0))) - (text " tx_nco " (rect 224 184 496 378)(font "Arial" )) - (line (pt 80 32)(pt 176 32)(line_width 1)) - (line (pt 176 32)(pt 176 184)(line_width 1)) - (line (pt 80 184)(pt 176 184)(line_width 1)) - (line (pt 80 32)(pt 80 184)(line_width 1)) - (line (pt 81 52)(pt 81 76)(line_width 1)) - (line (pt 82 52)(pt 82 76)(line_width 1)) - (line (pt 81 92)(pt 81 132)(line_width 1)) - (line (pt 82 92)(pt 82 132)(line_width 1)) - (line (pt 175 52)(pt 175 108)(line_width 1)) - (line (pt 174 52)(pt 174 108)(line_width 1)) - (line (pt 81 148)(pt 81 172)(line_width 1)) - (line (pt 82 148)(pt 82 172)(line_width 1)) - (line (pt 0 0)(pt 256 0)(line_width 1)) - (line (pt 256 0)(pt 256 200)(line_width 1)) - (line (pt 0 200)(pt 256 200)(line_width 1)) - (line (pt 0 0)(pt 0 200)(line_width 1)) - ) -) diff --git a/FPGA_61.440/db/ip/tx_nco/tx_nco.debuginfo b/FPGA_61.440/db/ip/tx_nco/tx_nco.debuginfo deleted file mode 100644 index 65a4a39..0000000 --- a/FPGA_61.440/db/ip/tx_nco/tx_nco.debuginfo +++ /dev/null @@ -1,624 +0,0 @@ - - - - - - - com.altera.sopcmodel.ensemble.EClockAdapter - HANDSHAKE - false - true - true - true - - - java.lang.String - EP4CE10E22C8 - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - - - java.lang.String - 8 - false - true - false - true - - - com.altera.sopcmodel.ensemble.Ensemble$EFabricMode - QSYS - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1617214553 - false - true - true - true - - - boolean - false - false - true - false - true - - - com.altera.entityinterfaces.moduleext.IModuleGenerateHDL$HDLLanguage - VERILOG - false - false - false - true - - - boolean - true - false - true - true - true - - - com.altera.sopcmodel.definition.BoundaryDefinition - - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - WOLF-LITE.qpf - false - true - false - true - - - boolean - false - false - true - false - true - - - long - 0 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - long - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - - - java.lang.String - NATIVE - false - true - false - true - DESIGN_ENVIRONMENT - - - java.lang.String - trig - false - true - true - true - - - java.lang.String - dual_output - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - true - false - true - true - true - - - java.lang.String - CYCLONEIVE - false - true - true - true - DEVICE_FAMILY - - - int - 22 - false - true - true - true - - - int - 22 - false - true - true - true - - - int - 16 - false - true - true - true - - - java.lang.String - parallel - false - true - false - true - - - int - 1 - false - true - true - true - - - int - 1 - true - true - false - true - - - boolean - false - false - true - true - true - - - int - 4 - false - false - true - true - - - double - 153.6 - false - true - true - true - - - double - 7.1 - false - true - true - true - - - long - 193877 - true - true - true - true - - - double - 7.1 - true - true - true - true - - - boolean - false - false - true - true - true - - - int - 32 - false - false - true - true - - - int - 1 - false - false - true - true - - - boolean - false - false - true - true - true - - - int - 16 - false - false - true - true - - - int - 1 - false - false - true - true - - - boolean - false - true - true - false - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - - - - - java.lang.String - clk - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset_n - Input - 1 - reset_n - - - - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - rst - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - clken - Input - 1 - clken - - - phi_inc_i - Input - 22 - phi_inc_i - - - - - - ui.blockdiagram.direction - OUTPUT - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - rst - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - fsin_o - Output - 16 - fsin_o - - - fcos_o - Output - 16 - fcos_o - - - out_valid - Output - 1 - out_valid - - - - - 1 - altera_nco_ii - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - NCO - 18.1 - - - 1 - clock_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Clock Input - 18.1 - - - 1 - reset_sink - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Reset Input - 18.1 - - - 2 - conduit_end - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Conduit - 18.1 - - 18.1 625 - 08626627EDAB00000178897FC659 - diff --git a/FPGA_61.440/db/ip/tx_nco/tx_nco.qip b/FPGA_61.440/db/ip/tx_nco/tx_nco.qip deleted file mode 100644 index 79d404b..0000000 --- a/FPGA_61.440/db/ip/tx_nco/tx_nco.qip +++ /dev/null @@ -1,75 +0,0 @@ -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_TOOL_NAME "Qsys" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_TOOL_ENV "Qsys" -set_global_assignment -library "tx_nco" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../../tx_nco.sopcinfo"] -set_global_assignment -entity "tx_nco" -library "tx_nco" -name SLD_INFO "QSYS_NAME tx_nco HAS_SOPCINFO 1 GENERATION_ID 1617214553" -set_global_assignment -library "tx_nco" -name SLD_FILE [file join $::quartus(qip_path) "tx_nco.debuginfo"] -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_QSYS_MODE "STANDALONE" -set_global_assignment -name SYNTHESIS_ONLY_QIP ON -set_global_assignment -library "tx_nco" -name MISC_FILE [file join $::quartus(qip_path) "../../../tx_nco.qsys"] -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_NAME "dHhfbmNv" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_DISPLAY_NAME "dHhfbmNv" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_REPORT_HIERARCHY "On" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYxNzIxNDU1Mw==::QXV0byBHRU5FUkFUSU9OX0lE" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMEUyMkM4::QXV0byBERVZJQ0U=" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "tx_nco" -library "tx_nco" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4=" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_NAME "dHhfbmNvX25jb19paV8w" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_DISPLAY_NAME "TkNP" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIE51bWVyaWNhbGx5IENvbnRyb2xsZWQgT3NjaWxsYXRvcg==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "ZGVzaWduX2Vudg==::TkFUSVZF::ZGVzaWduX2Vudg==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "YXJjaA==::dHJpZw==::R2VuZXJhdGlvbiBBbGdvcml0aG0=" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "d2FudF9zaW5fYW5kX2Nvcw==::ZHVhbF9vdXRwdXQ=::T3V0cHV0cw==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "bnVtY2g=::MQ==::TnVtYmVyIG9mIENoYW5uZWxz" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "bnVtYmE=::MQ==::TnVtYmVyIG9mIEJhbmRz" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "dXNlX2RlZGljYXRlZF9tdWx0aXBsaWVycw==::dHJ1ZQ==::VXNlIGRlZGljYXRlZCBtdWx0aXBsaWVycw==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBJViBF::RGV2aWNlIEZhbWlseQ==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "YXBy::MjI=::UGhhc2UgQWNjdW11bGF0b3IgUHJlY2lzaW9u" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "YXByaQ==::MjI=::QW5ndWxhciBSZXNvbHV0aW9u" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "bXBy::MTY=::TWFnbml0dWRlIFJlc29sdXRpb24=" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "Y29yZGljX2FyY2g=::cGFyYWxsZWw=::Q09SRElDIEFyY2hpdGVjdHVyZQ==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "dHJpZ19jeWNsZXNfcGVyX291dHB1dA==::MQ==::Q2xvY2sgY3ljbGVzIHBlciBvdXRwdXQ=" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "Y3ljbGVzX3Blcl9vdXRwdXQ=::MQ==::Q2xvY2sgY3ljbGVzIHBlciBvdXRwdXQ=" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "d2FudF9kaXRoZXI=::ZmFsc2U=::SW1wbGVtZW50IFBoYXNlIERpdGhlcmluZw==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "ZnNhbXA=::MTUzLjY=::Q2xvY2sgUmF0ZQ==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "ZnJlcV9vdXQ=::Ny4x::RGVzaXJlZCBPdXRwdXQgRnJlcXVlbmN5" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "cGhpX2luYw==::MTkzODc3::UGhhc2UgSW5jcmVtZW50IFZhbHVl" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "cmVhbF9mcmVxX291dA==::Ny4x::UmVhbCBPdXRwdXQgRnJlcXVlbmN5" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "d2FudF9mcmVxX21vZA==::ZmFsc2U=::RnJlcXVlbmN5IE1vZHVsYXRpb24gSW5wdXQ=" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "d2FudF9waGFzZV9tb2Q=::ZmFsc2U=::UGhhc2UgTW9kdWxhdGlvbiBJbnB1dA==" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0::ZmFsc2U=::aHlwZXJfb3B0" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_COMPONENT_PARAMETER "aHlwZXJfb3B0X3NlbGVjdA==::ZmFsc2U=::T3B0aW1pemUgZm9yIFN0cmF0aXggMTA=" - -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "tx_nco.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_madx_cen.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_mady_cen.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_isdr.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_mob_w.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_as_m_dp_cen.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_as_m_cen.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_altqmcpipe.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_gam_dp.v"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/asj_nco_derot.v"] -set_global_assignment -library "tx_nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/tx_nco_nco_ii_0_sin_c.hex"] -set_global_assignment -library "tx_nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/tx_nco_nco_ii_0_cos_c.hex"] -set_global_assignment -library "tx_nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/tx_nco_nco_ii_0_sin_f.hex"] -set_global_assignment -library "tx_nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/tx_nco_nco_ii_0_cos_f.hex"] -set_global_assignment -library "tx_nco" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/tx_nco_nco_ii_0.v"] -set_global_assignment -library "tx_nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/asj_altq.ocp"] -set_global_assignment -library "tx_nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/asj_altqmcash.ocp"] -set_global_assignment -library "tx_nco" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/asj_altqmcpipe.ocp"] - -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_TOOL_NAME "altera_nco_ii" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "tx_nco_nco_ii_0" -library "tx_nco" -name IP_TOOL_ENV "Qsys" diff --git a/FPGA_61.440/db/ip/tx_nco/tx_nco.v b/FPGA_61.440/db/ip/tx_nco/tx_nco.v deleted file mode 100644 index 65ad20e..0000000 --- a/FPGA_61.440/db/ip/tx_nco/tx_nco.v +++ /dev/null @@ -1,26 +0,0 @@ -// tx_nco.v - -// Generated using ACDS version 18.1 625 - -`timescale 1 ps / 1 ps -module tx_nco ( - input wire clk, // clk.clk - input wire clken, // in.clken - input wire [21:0] phi_inc_i, // .phi_inc_i - output wire [15:0] fsin_o, // out.fsin_o - output wire [15:0] fcos_o, // .fcos_o - output wire out_valid, // .out_valid - input wire reset_n // rst.reset_n - ); - - tx_nco_nco_ii_0 nco_ii_0 ( - .clk (clk), // clk.clk - .reset_n (reset_n), // rst.reset_n - .clken (clken), // in.clken - .phi_inc_i (phi_inc_i), // .phi_inc_i - .fsin_o (fsin_o), // out.fsin_o - .fcos_o (fcos_o), // .fcos_o - .out_valid (out_valid) // .out_valid - ); - -endmodule diff --git a/FPGA_61.440/db/ip/tx_nco/tx_nco__report.html b/FPGA_61.440/db/ip/tx_nco/tx_nco__report.html deleted file mode 100644 index 1f6221f..0000000 --- a/FPGA_61.440/db/ip/tx_nco/tx_nco__report.html +++ /dev/null @@ -1,241 +0,0 @@ - - - - - datasheet for tx_nco - - - - - - - - -
tx_nco -
-
-
- - - - - -
2021.03.31.22:15:54Datasheet
-
-
Overview
-
-
- - - - -
-
-
-
-
-
-
-
Memory Map
- - - - -
- -
-
-

nco_ii_0

altera_nco_ii v18.1 -
-
-
- - - - -
-

Parameters

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
design_envNATIVE
archtrig
want_sin_and_cosdual_output
numch1
numba1
use_dedicated_multiplierstrue
selected_device_familyCYCLONEIVE
apr22
apri22
mpr16
cordic_archparallel
trig_cycles_per_output1
cycles_per_output1
want_ditherfalse
dpri4
fsamp153.6
freq_out7.1
phi_inc193877
real_freq_out7.1
want_freq_modfalse
aprf32
fmod_pipe1
want_phase_modfalse
aprp16
pmod_pipe1
hyper_optfalse
hyper_opt_selectfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
-
   - - - - -
-

Software Assignments

(none)
-
- - - - - -
generation took 0,01 secondsrendering took 0,04 seconds
- - diff --git a/FPGA_61.440/db/ip/tx_nco/tx_nco__report.xml b/FPGA_61.440/db/ip/tx_nco/tx_nco__report.xml deleted file mode 100644 index 101ab8c..0000000 --- a/FPGA_61.440/db/ip/tx_nco/tx_nco__report.xml +++ /dev/null @@ -1,313 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:tx_nco "tx_nco" - - - - Transform: CustomInstructionTransform - No custom instruction connections, skipping transform - 1 modules, 0 connections]]> - Transform: MMTransform - Transform: InterruptMapperTransform - Transform: InterruptSyncTransform - Transform: InterruptFanoutTransform - Transform: AvalonStreamingTransform - Transform: ResetAdaptation - tx_nco" reuses altera_nco_ii "submodules/tx_nco_nco_ii_0"]]> - queue size: 0 starting:altera_nco_ii "submodules/tx_nco_nco_ii_0" - tx_nco" instantiated altera_nco_ii "nco_ii_0"]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - queue size: 0 starting:altera_nco_ii "submodules/tx_nco_nco_ii_0" - tx_nco" instantiated altera_nco_ii "nco_ii_0"]]> - - - diff --git a/FPGA_61.440/db/lpm_constant_9k6.tdf b/FPGA_61.440/db/lpm_constant_9k6.tdf deleted file mode 100644 index af49d63..0000000 --- a/FPGA_61.440/db/lpm_constant_9k6.tdf +++ /dev/null @@ -1,30 +0,0 @@ ---lpm_constant ENABLE_RUNTIME_MOD="NO" LPM_CVALUE=2000 LPM_WIDTH=14 result ---VERSION_BEGIN 18.1 cbx_lpm_constant 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = -SUBDESIGN lpm_constant_9k6 -( - result[13..0] : output; -) - -BEGIN - result[] = B"10000000000000"; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mult_36t.tdf b/FPGA_61.440/db/mult_36t.tdf deleted file mode 100644 index 369aa7f..0000000 --- a/FPGA_61.440/db/mult_36t.tdf +++ /dev/null @@ -1,58 +0,0 @@ ---lpm_mult CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" DSP_BLOCK_BALANCING="Auto" INPUT_A_IS_CONSTANT="NO" INPUT_B_IS_CONSTANT="NO" LPM_REPRESENTATION="SIGNED" LPM_WIDTHA=16 LPM_WIDTHB=16 LPM_WIDTHP=32 LPM_WIDTHS=1 MAXIMIZE_SPEED=5 dataa datab result CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_mult 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_padd 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_mac_mult (aclr, clk, dataa[dataa_width-1..0], datab[datab_width-1..0], ena, signa, signb) -WITH ( dataa_clock, dataa_width, datab_clock, datab_width, signa_clock, signb_clock) -RETURNS ( dataout[dataa_width+datab_width-1..0]); -FUNCTION cycloneive_mac_out (aclr, clk, dataa[dataa_width-1..0], ena) -WITH ( dataa_width = 0, output_clock) -RETURNS ( dataout[dataa_width-1..0]); - ---synthesis_resources = dsp_9bit 2 -SUBDESIGN mult_36t -( - dataa[15..0] : input; - datab[15..0] : input; - result[31..0] : output; -) -VARIABLE - mac_mult1 : cycloneive_mac_mult - WITH ( - dataa_clock = "none", - dataa_width = 16, - datab_clock = "none", - datab_width = 16, - signa_clock = "none", - signb_clock = "none" - ); - mac_out2 : cycloneive_mac_out - WITH ( - dataa_width = 32, - output_clock = "none" - ); - -BEGIN - mac_mult1.dataa[] = ( dataa[]); - mac_mult1.datab[] = ( datab[]); - mac_mult1.signa = B"1"; - mac_mult1.signb = B"1"; - mac_out2.dataa[] = mac_mult1.dataout[]; - result[31..0] = mac_out2.dataout[31..0]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mult_abt.tdf b/FPGA_61.440/db/mult_abt.tdf deleted file mode 100644 index 0ae7d65..0000000 --- a/FPGA_61.440/db/mult_abt.tdf +++ /dev/null @@ -1,65 +0,0 @@ ---lpm_mult CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEDICATED_MULTIPLIER_CIRCUITRY="YES" DEVICE_FAMILY="Cyclone IV E" DSP_BLOCK_BALANCING="Auto" LPM_PIPELINE=1 LPM_REPRESENTATION="SIGNED" LPM_WIDTHA=16 LPM_WIDTHB=16 LPM_WIDTHP=32 MAXIMIZE_SPEED=9 clken clock dataa datab result CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_mult 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_padd 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_mac_mult (aclr, clk, dataa[dataa_width-1..0], datab[datab_width-1..0], ena, signa, signb) -WITH ( dataa_clock, dataa_width, datab_clock, datab_width, signa_clock, signb_clock) -RETURNS ( dataout[dataa_width+datab_width-1..0]); -FUNCTION cycloneive_mac_out (aclr, clk, dataa[dataa_width-1..0], ena) -WITH ( dataa_width = 0, output_clock) -RETURNS ( dataout[dataa_width-1..0]); - ---synthesis_resources = dsp_9bit 2 -SUBDESIGN mult_abt -( - clken : input; - clock : input; - dataa[15..0] : input; - datab[15..0] : input; - result[31..0] : output; -) -VARIABLE - mac_mult1 : cycloneive_mac_mult - WITH ( - dataa_clock = "none", - dataa_width = 16, - datab_clock = "none", - datab_width = 16, - signa_clock = "none", - signb_clock = "none" - ); - mac_out2 : cycloneive_mac_out - WITH ( - dataa_width = 32, - output_clock = "0" - ); - aclr : NODE; - -BEGIN - mac_mult1.dataa[] = ( dataa[]); - mac_mult1.datab[] = ( datab[]); - mac_mult1.signa = B"1"; - mac_mult1.signb = B"1"; - mac_out2.aclr = aclr; - mac_out2.clk = clock; - mac_out2.dataa[] = mac_mult1.dataout[]; - mac_out2.ena = clken; - aclr = GND; - result[31..0] = mac_out2.dataout[31..0]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mult_jnp.tdf b/FPGA_61.440/db/mult_jnp.tdf deleted file mode 100644 index 9ccc20a..0000000 --- a/FPGA_61.440/db/mult_jnp.tdf +++ /dev/null @@ -1,65 +0,0 @@ ---lpm_mult CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" DSP_BLOCK_BALANCING="Auto" LPM_PIPELINE=1 LPM_REPRESENTATION="SIGNED" LPM_WIDTHA=12 LPM_WIDTHB=12 LPM_WIDTHP=24 MAXIMIZE_SPEED=5 clken clock dataa datab result CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_mult 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_padd 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_mac_mult (aclr, clk, dataa[dataa_width-1..0], datab[datab_width-1..0], ena, signa, signb) -WITH ( dataa_clock, dataa_width, datab_clock, datab_width, signa_clock, signb_clock) -RETURNS ( dataout[dataa_width+datab_width-1..0]); -FUNCTION cycloneive_mac_out (aclr, clk, dataa[dataa_width-1..0], ena) -WITH ( dataa_width = 0, output_clock) -RETURNS ( dataout[dataa_width-1..0]); - ---synthesis_resources = dsp_9bit 2 -SUBDESIGN mult_jnp -( - clken : input; - clock : input; - dataa[11..0] : input; - datab[11..0] : input; - result[23..0] : output; -) -VARIABLE - mac_mult1 : cycloneive_mac_mult - WITH ( - dataa_clock = "none", - dataa_width = 12, - datab_clock = "none", - datab_width = 12, - signa_clock = "none", - signb_clock = "none" - ); - mac_out2 : cycloneive_mac_out - WITH ( - dataa_width = 24, - output_clock = "0" - ); - aclr : NODE; - -BEGIN - mac_mult1.dataa[] = ( dataa[]); - mac_mult1.datab[] = ( datab[]); - mac_mult1.signa = B"1"; - mac_mult1.signb = B"1"; - mac_out2.aclr = aclr; - mac_out2.clk = clock; - mac_out2.dataa[] = mac_mult1.dataout[]; - mac_out2.ena = clken; - aclr = GND; - result[23..0] = mac_out2.dataout[23..0]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mult_lcu.tdf b/FPGA_61.440/db/mult_lcu.tdf deleted file mode 100644 index a05c744..0000000 --- a/FPGA_61.440/db/mult_lcu.tdf +++ /dev/null @@ -1,68 +0,0 @@ ---lpm_mult CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEDICATED_MULTIPLIER_CIRCUITRY="YES" DEVICE_FAMILY="Cyclone IV E" DSP_BLOCK_BALANCING="Auto" LPM_PIPELINE=2 LPM_REPRESENTATION="SIGNED" LPM_WIDTHA=15 LPM_WIDTHB=8 LPM_WIDTHP=23 LPM_WIDTHS=1 MAXIMIZE_SPEED=5 aclr clock dataa datab result CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_mult 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_padd 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_mac_mult (aclr, clk, dataa[dataa_width-1..0], datab[datab_width-1..0], ena, signa, signb) -WITH ( dataa_clock, dataa_width, datab_clock, datab_width, signa_clock, signb_clock) -RETURNS ( dataout[dataa_width+datab_width-1..0]); -FUNCTION cycloneive_mac_out (aclr, clk, dataa[dataa_width-1..0], ena) -WITH ( dataa_width = 0, output_clock) -RETURNS ( dataout[dataa_width-1..0]); - ---synthesis_resources = dsp_9bit 2 -SUBDESIGN mult_lcu -( - aclr : input; - clock : input; - dataa[14..0] : input; - datab[7..0] : input; - result[22..0] : output; -) -VARIABLE - mac_mult1 : cycloneive_mac_mult - WITH ( - dataa_clock = "0", - dataa_width = 15, - datab_clock = "0", - datab_width = 8, - signa_clock = "none", - signb_clock = "none" - ); - mac_out2 : cycloneive_mac_out - WITH ( - dataa_width = 23, - output_clock = "0" - ); - clken : NODE; - -BEGIN - mac_mult1.aclr = aclr; - mac_mult1.clk = clock; - mac_mult1.dataa[] = ( dataa[]); - mac_mult1.datab[] = ( datab[]); - mac_mult1.ena = clken; - mac_mult1.signa = B"1"; - mac_mult1.signb = B"1"; - mac_out2.aclr = aclr; - mac_out2.clk = clock; - mac_out2.dataa[] = mac_mult1.dataout[]; - mac_out2.ena = clken; - clken = VCC; - result[22..0] = mac_out2.dataout[22..0]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mult_ncu.tdf b/FPGA_61.440/db/mult_ncu.tdf deleted file mode 100644 index 1d78a37..0000000 --- a/FPGA_61.440/db/mult_ncu.tdf +++ /dev/null @@ -1,68 +0,0 @@ ---lpm_mult CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEDICATED_MULTIPLIER_CIRCUITRY="YES" DEVICE_FAMILY="Cyclone IV E" DSP_BLOCK_BALANCING="Auto" LPM_PIPELINE=2 LPM_REPRESENTATION="SIGNED" LPM_WIDTHA=8 LPM_WIDTHB=16 LPM_WIDTHP=24 LPM_WIDTHS=1 MAXIMIZE_SPEED=5 aclr clock dataa datab result CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_mult 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_padd 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_mac_mult (aclr, clk, dataa[dataa_width-1..0], datab[datab_width-1..0], ena, signa, signb) -WITH ( dataa_clock, dataa_width, datab_clock, datab_width, signa_clock, signb_clock) -RETURNS ( dataout[dataa_width+datab_width-1..0]); -FUNCTION cycloneive_mac_out (aclr, clk, dataa[dataa_width-1..0], ena) -WITH ( dataa_width = 0, output_clock) -RETURNS ( dataout[dataa_width-1..0]); - ---synthesis_resources = dsp_9bit 2 -SUBDESIGN mult_ncu -( - aclr : input; - clock : input; - dataa[7..0] : input; - datab[15..0] : input; - result[23..0] : output; -) -VARIABLE - mac_mult1 : cycloneive_mac_mult - WITH ( - dataa_clock = "0", - dataa_width = 8, - datab_clock = "0", - datab_width = 16, - signa_clock = "none", - signb_clock = "none" - ); - mac_out2 : cycloneive_mac_out - WITH ( - dataa_width = 24, - output_clock = "0" - ); - clken : NODE; - -BEGIN - mac_mult1.aclr = aclr; - mac_mult1.clk = clock; - mac_mult1.dataa[] = ( dataa[]); - mac_mult1.datab[] = ( datab[]); - mac_mult1.ena = clken; - mac_mult1.signa = B"1"; - mac_mult1.signb = B"1"; - mac_out2.aclr = aclr; - mac_out2.clk = clock; - mac_out2.dataa[] = mac_mult1.dataout[]; - mac_out2.ena = clken; - clken = VCC; - result[23..0] = mac_out2.dataout[23..0]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mult_rcu.tdf b/FPGA_61.440/db/mult_rcu.tdf deleted file mode 100644 index a730cf5..0000000 --- a/FPGA_61.440/db/mult_rcu.tdf +++ /dev/null @@ -1,68 +0,0 @@ ---lpm_mult CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEDICATED_MULTIPLIER_CIRCUITRY="YES" DEVICE_FAMILY="Cyclone IV E" DSP_BLOCK_BALANCING="Auto" LPM_PIPELINE=2 LPM_REPRESENTATION="SIGNED" LPM_WIDTHA=18 LPM_WIDTHB=8 LPM_WIDTHP=26 LPM_WIDTHS=1 MAXIMIZE_SPEED=5 aclr clock dataa datab result CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_mult 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_padd 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_mac_mult (aclr, clk, dataa[dataa_width-1..0], datab[datab_width-1..0], ena, signa, signb) -WITH ( dataa_clock, dataa_width, datab_clock, datab_width, signa_clock, signb_clock) -RETURNS ( dataout[dataa_width+datab_width-1..0]); -FUNCTION cycloneive_mac_out (aclr, clk, dataa[dataa_width-1..0], ena) -WITH ( dataa_width = 0, output_clock) -RETURNS ( dataout[dataa_width-1..0]); - ---synthesis_resources = dsp_9bit 2 -SUBDESIGN mult_rcu -( - aclr : input; - clock : input; - dataa[17..0] : input; - datab[7..0] : input; - result[25..0] : output; -) -VARIABLE - mac_mult1 : cycloneive_mac_mult - WITH ( - dataa_clock = "0", - dataa_width = 18, - datab_clock = "0", - datab_width = 8, - signa_clock = "none", - signb_clock = "none" - ); - mac_out2 : cycloneive_mac_out - WITH ( - dataa_width = 26, - output_clock = "0" - ); - clken : NODE; - -BEGIN - mac_mult1.aclr = aclr; - mac_mult1.clk = clock; - mac_mult1.dataa[] = ( dataa[]); - mac_mult1.datab[] = ( datab[]); - mac_mult1.ena = clken; - mac_mult1.signa = B"1"; - mac_mult1.signb = B"1"; - mac_out2.aclr = aclr; - mac_out2.clk = clock; - mac_out2.dataa[] = mac_mult1.dataout[]; - mac_out2.ena = clken; - clken = VCC; - result[25..0] = mac_out2.dataout[25..0]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mult_t5t.tdf b/FPGA_61.440/db/mult_t5t.tdf deleted file mode 100644 index a6d402f..0000000 --- a/FPGA_61.440/db/mult_t5t.tdf +++ /dev/null @@ -1,58 +0,0 @@ ---lpm_mult CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" DSP_BLOCK_BALANCING="Auto" INPUT_A_IS_CONSTANT="NO" INPUT_B_IS_CONSTANT="NO" LPM_REPRESENTATION="SIGNED" LPM_WIDTHA=12 LPM_WIDTHB=12 LPM_WIDTHP=24 LPM_WIDTHS=1 MAXIMIZE_SPEED=6 dataa datab result CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 ---VERSION_BEGIN 18.1 cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_mult 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_padd 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION cycloneive_mac_mult (aclr, clk, dataa[dataa_width-1..0], datab[datab_width-1..0], ena, signa, signb) -WITH ( dataa_clock, dataa_width, datab_clock, datab_width, signa_clock, signb_clock) -RETURNS ( dataout[dataa_width+datab_width-1..0]); -FUNCTION cycloneive_mac_out (aclr, clk, dataa[dataa_width-1..0], ena) -WITH ( dataa_width = 0, output_clock) -RETURNS ( dataout[dataa_width-1..0]); - ---synthesis_resources = dsp_9bit 2 -SUBDESIGN mult_t5t -( - dataa[11..0] : input; - datab[11..0] : input; - result[23..0] : output; -) -VARIABLE - mac_mult1 : cycloneive_mac_mult - WITH ( - dataa_clock = "none", - dataa_width = 12, - datab_clock = "none", - datab_width = 12, - signa_clock = "none", - signb_clock = "none" - ); - mac_out2 : cycloneive_mac_out - WITH ( - dataa_width = 24, - output_clock = "none" - ); - -BEGIN - mac_mult1.dataa[] = ( dataa[]); - mac_mult1.datab[] = ( datab[]); - mac_mult1.signa = B"1"; - mac_mult1.signb = B"1"; - mac_out2.dataa[] = mac_mult1.dataout[]; - result[23..0] = mac_out2.dataout[23..0]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/mux_rob.tdf b/FPGA_61.440/db/mux_rob.tdf deleted file mode 100644 index de8d90e..0000000 --- a/FPGA_61.440/db/mux_rob.tdf +++ /dev/null @@ -1,207 +0,0 @@ ---lpm_mux CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_SIZE=4 LPM_WIDTH=85 LPM_WIDTHS=2 data result sel ---VERSION_BEGIN 18.1 cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 170 -SUBDESIGN mux_rob -( - data[339..0] : input; - result[84..0] : output; - sel[1..0] : input; -) -VARIABLE - result_node[84..0] : WIRE; - sel_node[1..0] : WIRE; - w_data1002w[3..0] : WIRE; - w_data1027w[3..0] : WIRE; - w_data102w[3..0] : WIRE; - w_data1052w[3..0] : WIRE; - w_data1077w[3..0] : WIRE; - w_data1102w[3..0] : WIRE; - w_data1127w[3..0] : WIRE; - w_data1152w[3..0] : WIRE; - w_data1177w[3..0] : WIRE; - w_data1202w[3..0] : WIRE; - w_data1227w[3..0] : WIRE; - w_data1252w[3..0] : WIRE; - w_data1277w[3..0] : WIRE; - w_data127w[3..0] : WIRE; - w_data1302w[3..0] : WIRE; - w_data1327w[3..0] : WIRE; - w_data1352w[3..0] : WIRE; - w_data1377w[3..0] : WIRE; - w_data1402w[3..0] : WIRE; - w_data1427w[3..0] : WIRE; - w_data1452w[3..0] : WIRE; - w_data1477w[3..0] : WIRE; - w_data1502w[3..0] : WIRE; - w_data1527w[3..0] : WIRE; - w_data152w[3..0] : WIRE; - w_data1552w[3..0] : WIRE; - w_data1577w[3..0] : WIRE; - w_data1602w[3..0] : WIRE; - w_data1627w[3..0] : WIRE; - w_data1652w[3..0] : WIRE; - w_data1677w[3..0] : WIRE; - w_data1702w[3..0] : WIRE; - w_data1727w[3..0] : WIRE; - w_data1752w[3..0] : WIRE; - w_data1777w[3..0] : WIRE; - w_data177w[3..0] : WIRE; - w_data1802w[3..0] : WIRE; - w_data1827w[3..0] : WIRE; - w_data1852w[3..0] : WIRE; - w_data1877w[3..0] : WIRE; - w_data1902w[3..0] : WIRE; - w_data1927w[3..0] : WIRE; - w_data1952w[3..0] : WIRE; - w_data1977w[3..0] : WIRE; - w_data2002w[3..0] : WIRE; - w_data2027w[3..0] : WIRE; - w_data202w[3..0] : WIRE; - w_data2052w[3..0] : WIRE; - w_data2077w[3..0] : WIRE; - w_data2102w[3..0] : WIRE; - w_data2127w[3..0] : WIRE; - w_data227w[3..0] : WIRE; - w_data22w[3..0] : WIRE; - w_data252w[3..0] : WIRE; - w_data277w[3..0] : WIRE; - w_data302w[3..0] : WIRE; - w_data327w[3..0] : WIRE; - w_data352w[3..0] : WIRE; - w_data377w[3..0] : WIRE; - w_data402w[3..0] : WIRE; - w_data427w[3..0] : WIRE; - w_data452w[3..0] : WIRE; - w_data477w[3..0] : WIRE; - w_data502w[3..0] : WIRE; - w_data527w[3..0] : WIRE; - w_data52w[3..0] : WIRE; - w_data552w[3..0] : WIRE; - w_data577w[3..0] : WIRE; - w_data602w[3..0] : WIRE; - w_data627w[3..0] : WIRE; - w_data652w[3..0] : WIRE; - w_data677w[3..0] : WIRE; - w_data702w[3..0] : WIRE; - w_data727w[3..0] : WIRE; - w_data752w[3..0] : WIRE; - w_data777w[3..0] : WIRE; - w_data77w[3..0] : WIRE; - w_data802w[3..0] : WIRE; - w_data827w[3..0] : WIRE; - w_data852w[3..0] : WIRE; - w_data877w[3..0] : WIRE; - w_data902w[3..0] : WIRE; - w_data927w[3..0] : WIRE; - w_data952w[3..0] : WIRE; - w_data977w[3..0] : WIRE; - -BEGIN - result[] = result_node[]; - result_node[] = ( (((w_data2127w[1..1] & sel_node[0..0]) & (! (((w_data2127w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2127w[2..2]))))) # ((((w_data2127w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2127w[2..2]))) & (w_data2127w[3..3] # (! sel_node[0..0])))), (((w_data2102w[1..1] & sel_node[0..0]) & (! (((w_data2102w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2102w[2..2]))))) # ((((w_data2102w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2102w[2..2]))) & (w_data2102w[3..3] # (! sel_node[0..0])))), (((w_data2077w[1..1] & sel_node[0..0]) & (! (((w_data2077w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2077w[2..2]))))) # ((((w_data2077w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2077w[2..2]))) & (w_data2077w[3..3] # (! sel_node[0..0])))), (((w_data2052w[1..1] & sel_node[0..0]) & (! (((w_data2052w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2052w[2..2]))))) # ((((w_data2052w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2052w[2..2]))) & (w_data2052w[3..3] # (! sel_node[0..0])))), (((w_data2027w[1..1] & sel_node[0..0]) & (! (((w_data2027w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2027w[2..2]))))) # ((((w_data2027w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2027w[2..2]))) & (w_data2027w[3..3] # (! sel_node[0..0])))), (((w_data2002w[1..1] & sel_node[0..0]) & (! (((w_data2002w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2002w[2..2]))))) # ((((w_data2002w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2002w[2..2]))) & (w_data2002w[3..3] # (! sel_node[0..0])))), (((w_data1977w[1..1] & sel_node[0..0]) & (! (((w_data1977w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1977w[2..2]))))) # ((((w_data1977w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1977w[2..2]))) & (w_data1977w[3..3] # (! sel_node[0..0])))), (((w_data1952w[1..1] & sel_node[0..0]) & (! (((w_data1952w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1952w[2..2]))))) # ((((w_data1952w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1952w[2..2]))) & (w_data1952w[3..3] # (! sel_node[0..0])))), (((w_data1927w[1..1] & sel_node[0..0]) & (! (((w_data1927w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1927w[2..2]))))) # ((((w_data1927w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1927w[2..2]))) & (w_data1927w[3..3] # (! sel_node[0..0])))), (((w_data1902w[1..1] & sel_node[0..0]) & (! (((w_data1902w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1902w[2..2]))))) # ((((w_data1902w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1902w[2..2]))) & (w_data1902w[3..3] # (! sel_node[0..0])))), (((w_data1877w[1..1] & sel_node[0..0]) & (! (((w_data1877w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1877w[2..2]))))) # ((((w_data1877w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1877w[2..2]))) & (w_data1877w[3..3] # (! sel_node[0..0])))), (((w_data1852w[1..1] & sel_node[0..0]) & (! (((w_data1852w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1852w[2..2]))))) # ((((w_data1852w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1852w[2..2]))) & (w_data1852w[3..3] # (! sel_node[0..0])))), (((w_data1827w[1..1] & sel_node[0..0]) & (! (((w_data1827w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1827w[2..2]))))) # ((((w_data1827w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1827w[2..2]))) & (w_data1827w[3..3] # (! sel_node[0..0])))), (((w_data1802w[1..1] & sel_node[0..0]) & (! (((w_data1802w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1802w[2..2]))))) # ((((w_data1802w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1802w[2..2]))) & (w_data1802w[3..3] # (! sel_node[0..0])))), (((w_data1777w[1..1] & sel_node[0..0]) & (! (((w_data1777w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1777w[2..2]))))) # ((((w_data1777w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1777w[2..2]))) & (w_data1777w[3..3] # (! sel_node[0..0])))), (((w_data1752w[1..1] & sel_node[0..0]) & (! (((w_data1752w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1752w[2..2]))))) # ((((w_data1752w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1752w[2..2]))) & (w_data1752w[3..3] # (! sel_node[0..0])))), (((w_data1727w[1..1] & sel_node[0..0]) & (! (((w_data1727w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1727w[2..2]))))) # ((((w_data1727w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1727w[2..2]))) & (w_data1727w[3..3] # (! sel_node[0..0])))), (((w_data1702w[1..1] & sel_node[0..0]) & (! (((w_data1702w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1702w[2..2]))))) # ((((w_data1702w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1702w[2..2]))) & (w_data1702w[3..3] # (! sel_node[0..0])))), (((w_data1677w[1..1] & sel_node[0..0]) & (! (((w_data1677w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1677w[2..2]))))) # ((((w_data1677w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1677w[2..2]))) & (w_data1677w[3..3] # (! sel_node[0..0])))), (((w_data1652w[1..1] & sel_node[0..0]) & (! (((w_data1652w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1652w[2..2]))))) # ((((w_data1652w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1652w[2..2]))) & (w_data1652w[3..3] # (! sel_node[0..0])))), (((w_data1627w[1..1] & sel_node[0..0]) & (! (((w_data1627w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1627w[2..2]))))) # ((((w_data1627w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1627w[2..2]))) & (w_data1627w[3..3] # (! sel_node[0..0])))), (((w_data1602w[1..1] & sel_node[0..0]) & (! (((w_data1602w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1602w[2..2]))))) # ((((w_data1602w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1602w[2..2]))) & (w_data1602w[3..3] # (! sel_node[0..0])))), (((w_data1577w[1..1] & sel_node[0..0]) & (! (((w_data1577w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1577w[2..2]))))) # ((((w_data1577w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1577w[2..2]))) & (w_data1577w[3..3] # (! sel_node[0..0])))), (((w_data1552w[1..1] & sel_node[0..0]) & (! (((w_data1552w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1552w[2..2]))))) # ((((w_data1552w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1552w[2..2]))) & (w_data1552w[3..3] # (! sel_node[0..0])))), (((w_data1527w[1..1] & sel_node[0..0]) & (! (((w_data1527w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1527w[2..2]))))) # ((((w_data1527w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1527w[2..2]))) & (w_data1527w[3..3] # (! sel_node[0..0])))), (((w_data1502w[1..1] & sel_node[0..0]) & (! (((w_data1502w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1502w[2..2]))))) # ((((w_data1502w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1502w[2..2]))) & (w_data1502w[3..3] # (! sel_node[0..0])))), (((w_data1477w[1..1] & sel_node[0..0]) & (! (((w_data1477w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1477w[2..2]))))) # ((((w_data1477w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1477w[2..2]))) & (w_data1477w[3..3] # (! sel_node[0..0])))), (((w_data1452w[1..1] & sel_node[0..0]) & (! (((w_data1452w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1452w[2..2]))))) # ((((w_data1452w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1452w[2..2]))) & (w_data1452w[3..3] # (! sel_node[0..0])))), (((w_data1427w[1..1] & sel_node[0..0]) & (! (((w_data1427w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1427w[2..2]))))) # ((((w_data1427w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1427w[2..2]))) & (w_data1427w[3..3] # (! sel_node[0..0])))), (((w_data1402w[1..1] & sel_node[0..0]) & (! (((w_data1402w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1402w[2..2]))))) # ((((w_data1402w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1402w[2..2]))) & (w_data1402w[3..3] # (! sel_node[0..0])))), (((w_data1377w[1..1] & sel_node[0..0]) & (! (((w_data1377w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1377w[2..2]))))) # ((((w_data1377w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1377w[2..2]))) & (w_data1377w[3..3] # (! sel_node[0..0])))), (((w_data1352w[1..1] & sel_node[0..0]) & (! (((w_data1352w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1352w[2..2]))))) # ((((w_data1352w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1352w[2..2]))) & (w_data1352w[3..3] # (! sel_node[0..0])))), (((w_data1327w[1..1] & sel_node[0..0]) & (! (((w_data1327w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1327w[2..2]))))) # ((((w_data1327w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1327w[2..2]))) & (w_data1327w[3..3] # (! sel_node[0..0])))), (((w_data1302w[1..1] & sel_node[0..0]) & (! (((w_data1302w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1302w[2..2]))))) # ((((w_data1302w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1302w[2..2]))) & (w_data1302w[3..3] # (! sel_node[0..0])))), (((w_data1277w[1..1] & sel_node[0..0]) & (! (((w_data1277w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1277w[2..2]))))) # ((((w_data1277w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1277w[2..2]))) & (w_data1277w[3..3] # (! sel_node[0..0])))), (((w_data1252w[1..1] & sel_node[0..0]) & (! (((w_data1252w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1252w[2..2]))))) # ((((w_data1252w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1252w[2..2]))) & (w_data1252w[3..3] # (! sel_node[0..0])))), (((w_data1227w[1..1] & sel_node[0..0]) & (! (((w_data1227w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1227w[2..2]))))) # ((((w_data1227w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1227w[2..2]))) & (w_data1227w[3..3] # (! sel_node[0..0])))), (((w_data1202w[1..1] & sel_node[0..0]) & (! (((w_data1202w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1202w[2..2]))))) # ((((w_data1202w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1202w[2..2]))) & (w_data1202w[3..3] # (! sel_node[0..0])))), (((w_data1177w[1..1] & sel_node[0..0]) & (! (((w_data1177w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1177w[2..2]))))) # ((((w_data1177w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1177w[2..2]))) & (w_data1177w[3..3] # (! sel_node[0..0])))), (((w_data1152w[1..1] & sel_node[0..0]) & (! (((w_data1152w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1152w[2..2]))))) # ((((w_data1152w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1152w[2..2]))) & (w_data1152w[3..3] # (! sel_node[0..0])))), (((w_data1127w[1..1] & sel_node[0..0]) & (! (((w_data1127w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1127w[2..2]))))) # ((((w_data1127w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1127w[2..2]))) & (w_data1127w[3..3] # (! sel_node[0..0])))), (((w_data1102w[1..1] & sel_node[0..0]) & (! (((w_data1102w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1102w[2..2]))))) # ((((w_data1102w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1102w[2..2]))) & (w_data1102w[3..3] # (! sel_node[0..0])))), (((w_data1077w[1..1] & sel_node[0..0]) & (! (((w_data1077w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1077w[2..2]))))) # ((((w_data1077w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1077w[2..2]))) & (w_data1077w[3..3] # (! sel_node[0..0])))), (((w_data1052w[1..1] & sel_node[0..0]) & (! (((w_data1052w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1052w[2..2]))))) # ((((w_data1052w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1052w[2..2]))) & (w_data1052w[3..3] # (! sel_node[0..0])))), (((w_data1027w[1..1] & sel_node[0..0]) & (! (((w_data1027w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1027w[2..2]))))) # ((((w_data1027w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1027w[2..2]))) & (w_data1027w[3..3] # (! sel_node[0..0])))), (((w_data1002w[1..1] & sel_node[0..0]) & (! (((w_data1002w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1002w[2..2]))))) # ((((w_data1002w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1002w[2..2]))) & (w_data1002w[3..3] # (! sel_node[0..0])))), (((w_data977w[1..1] & sel_node[0..0]) & (! (((w_data977w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data977w[2..2]))))) # ((((w_data977w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data977w[2..2]))) & (w_data977w[3..3] # (! sel_node[0..0])))), (((w_data952w[1..1] & sel_node[0..0]) & (! (((w_data952w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data952w[2..2]))))) # ((((w_data952w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data952w[2..2]))) & (w_data952w[3..3] # (! sel_node[0..0])))), (((w_data927w[1..1] & sel_node[0..0]) & (! (((w_data927w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data927w[2..2]))))) # ((((w_data927w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data927w[2..2]))) & (w_data927w[3..3] # (! sel_node[0..0])))), (((w_data902w[1..1] & sel_node[0..0]) & (! (((w_data902w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data902w[2..2]))))) # ((((w_data902w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data902w[2..2]))) & (w_data902w[3..3] # (! sel_node[0..0])))), (((w_data877w[1..1] & sel_node[0..0]) & (! (((w_data877w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data877w[2..2]))))) # ((((w_data877w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data877w[2..2]))) & (w_data877w[3..3] # (! sel_node[0..0])))), (((w_data852w[1..1] & sel_node[0..0]) & (! (((w_data852w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data852w[2..2]))))) # ((((w_data852w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data852w[2..2]))) & (w_data852w[3..3] # (! sel_node[0..0])))), (((w_data827w[1..1] & sel_node[0..0]) & (! (((w_data827w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data827w[2..2]))))) # ((((w_data827w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data827w[2..2]))) & (w_data827w[3..3] # (! sel_node[0..0])))), (((w_data802w[1..1] & sel_node[0..0]) & (! (((w_data802w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data802w[2..2]))))) # ((((w_data802w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data802w[2..2]))) & (w_data802w[3..3] # (! sel_node[0..0])))), (((w_data777w[1..1] & sel_node[0..0]) & (! (((w_data777w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data777w[2..2]))))) # ((((w_data777w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data777w[2..2]))) & (w_data777w[3..3] # (! sel_node[0..0])))), (((w_data752w[1..1] & sel_node[0..0]) & (! (((w_data752w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data752w[2..2]))))) # ((((w_data752w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data752w[2..2]))) & (w_data752w[3..3] # (! sel_node[0..0])))), (((w_data727w[1..1] & sel_node[0..0]) & (! (((w_data727w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data727w[2..2]))))) # ((((w_data727w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data727w[2..2]))) & (w_data727w[3..3] # (! sel_node[0..0])))), (((w_data702w[1..1] & sel_node[0..0]) & (! (((w_data702w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data702w[2..2]))))) # ((((w_data702w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data702w[2..2]))) & (w_data702w[3..3] # (! sel_node[0..0])))), (((w_data677w[1..1] & sel_node[0..0]) & (! (((w_data677w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data677w[2..2]))))) # ((((w_data677w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data677w[2..2]))) & (w_data677w[3..3] # (! sel_node[0..0])))), (((w_data652w[1..1] & sel_node[0..0]) & (! (((w_data652w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data652w[2..2]))))) # ((((w_data652w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data652w[2..2]))) & (w_data652w[3..3] # (! sel_node[0..0])))), (((w_data627w[1..1] & sel_node[0..0]) & (! (((w_data627w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data627w[2..2]))))) # ((((w_data627w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data627w[2..2]))) & (w_data627w[3..3] # (! sel_node[0..0])))), (((w_data602w[1..1] & sel_node[0..0]) & (! (((w_data602w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data602w[2..2]))))) # ((((w_data602w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data602w[2..2]))) & (w_data602w[3..3] # (! sel_node[0..0])))), (((w_data577w[1..1] & sel_node[0..0]) & (! (((w_data577w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data577w[2..2]))))) # ((((w_data577w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data577w[2..2]))) & (w_data577w[3..3] # (! sel_node[0..0])))), (((w_data552w[1..1] & sel_node[0..0]) & (! (((w_data552w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data552w[2..2]))))) # ((((w_data552w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data552w[2..2]))) & (w_data552w[3..3] # (! sel_node[0..0])))), (((w_data527w[1..1] & sel_node[0..0]) & (! (((w_data527w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data527w[2..2]))))) # ((((w_data527w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data527w[2..2]))) & (w_data527w[3..3] # (! sel_node[0..0])))), (((w_data502w[1..1] & sel_node[0..0]) & (! (((w_data502w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data502w[2..2]))))) # ((((w_data502w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data502w[2..2]))) & (w_data502w[3..3] # (! sel_node[0..0])))), (((w_data477w[1..1] & sel_node[0..0]) & (! (((w_data477w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data477w[2..2]))))) # ((((w_data477w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data477w[2..2]))) & (w_data477w[3..3] # (! sel_node[0..0])))), (((w_data452w[1..1] & sel_node[0..0]) & (! (((w_data452w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data452w[2..2]))))) # ((((w_data452w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data452w[2..2]))) & (w_data452w[3..3] # (! sel_node[0..0])))), (((w_data427w[1..1] & sel_node[0..0]) & (! (((w_data427w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data427w[2..2]))))) # ((((w_data427w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data427w[2..2]))) & (w_data427w[3..3] # (! sel_node[0..0])))), (((w_data402w[1..1] & sel_node[0..0]) & (! (((w_data402w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data402w[2..2]))))) # ((((w_data402w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data402w[2..2]))) & (w_data402w[3..3] # (! sel_node[0..0])))), (((w_data377w[1..1] & sel_node[0..0]) & (! (((w_data377w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data377w[2..2]))))) # ((((w_data377w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data377w[2..2]))) & (w_data377w[3..3] # (! sel_node[0..0])))), (((w_data352w[1..1] & sel_node[0..0]) & (! (((w_data352w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data352w[2..2]))))) # ((((w_data352w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data352w[2..2]))) & (w_data352w[3..3] # (! sel_node[0..0])))), (((w_data327w[1..1] & sel_node[0..0]) & (! (((w_data327w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data327w[2..2]))))) # ((((w_data327w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data327w[2..2]))) & (w_data327w[3..3] # (! sel_node[0..0])))), (((w_data302w[1..1] & sel_node[0..0]) & (! (((w_data302w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data302w[2..2]))))) # ((((w_data302w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data302w[2..2]))) & (w_data302w[3..3] # (! sel_node[0..0])))), (((w_data277w[1..1] & sel_node[0..0]) & (! (((w_data277w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data277w[2..2]))))) # ((((w_data277w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data277w[2..2]))) & (w_data277w[3..3] # (! sel_node[0..0])))), (((w_data252w[1..1] & sel_node[0..0]) & (! (((w_data252w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data252w[2..2]))))) # ((((w_data252w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data252w[2..2]))) & (w_data252w[3..3] # (! sel_node[0..0])))), (((w_data227w[1..1] & sel_node[0..0]) & (! (((w_data227w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data227w[2..2]))))) # ((((w_data227w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data227w[2..2]))) & (w_data227w[3..3] # (! sel_node[0..0])))), (((w_data202w[1..1] & sel_node[0..0]) & (! (((w_data202w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data202w[2..2]))))) # ((((w_data202w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data202w[2..2]))) & (w_data202w[3..3] # (! sel_node[0..0])))), (((w_data177w[1..1] & sel_node[0..0]) & (! (((w_data177w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data177w[2..2]))))) # ((((w_data177w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data177w[2..2]))) & (w_data177w[3..3] # (! sel_node[0..0])))), (((w_data152w[1..1] & sel_node[0..0]) & (! (((w_data152w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data152w[2..2]))))) # ((((w_data152w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data152w[2..2]))) & (w_data152w[3..3] # (! sel_node[0..0])))), (((w_data127w[1..1] & sel_node[0..0]) & (! (((w_data127w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data127w[2..2]))))) # ((((w_data127w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data127w[2..2]))) & (w_data127w[3..3] # (! sel_node[0..0])))), (((w_data102w[1..1] & sel_node[0..0]) & (! (((w_data102w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data102w[2..2]))))) # ((((w_data102w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data102w[2..2]))) & (w_data102w[3..3] # (! sel_node[0..0])))), (((w_data77w[1..1] & sel_node[0..0]) & (! (((w_data77w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data77w[2..2]))))) # ((((w_data77w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data77w[2..2]))) & (w_data77w[3..3] # (! sel_node[0..0])))), (((w_data52w[1..1] & sel_node[0..0]) & (! (((w_data52w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data52w[2..2]))))) # ((((w_data52w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data52w[2..2]))) & (w_data52w[3..3] # (! sel_node[0..0])))), (((w_data22w[1..1] & sel_node[0..0]) & (! (((w_data22w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data22w[2..2]))))) # ((((w_data22w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data22w[2..2]))) & (w_data22w[3..3] # (! sel_node[0..0]))))); - sel_node[] = ( sel[1..0]); - w_data1002w[] = ( data[294..294], data[209..209], data[124..124], data[39..39]); - w_data1027w[] = ( data[295..295], data[210..210], data[125..125], data[40..40]); - w_data102w[] = ( data[258..258], data[173..173], data[88..88], data[3..3]); - w_data1052w[] = ( data[296..296], data[211..211], data[126..126], data[41..41]); - w_data1077w[] = ( data[297..297], data[212..212], data[127..127], data[42..42]); - w_data1102w[] = ( data[298..298], data[213..213], data[128..128], data[43..43]); - w_data1127w[] = ( data[299..299], data[214..214], data[129..129], data[44..44]); - w_data1152w[] = ( data[300..300], data[215..215], data[130..130], data[45..45]); - w_data1177w[] = ( data[301..301], data[216..216], data[131..131], data[46..46]); - w_data1202w[] = ( data[302..302], data[217..217], data[132..132], data[47..47]); - w_data1227w[] = ( data[303..303], data[218..218], data[133..133], data[48..48]); - w_data1252w[] = ( data[304..304], data[219..219], data[134..134], data[49..49]); - w_data1277w[] = ( data[305..305], data[220..220], data[135..135], data[50..50]); - w_data127w[] = ( data[259..259], data[174..174], data[89..89], data[4..4]); - w_data1302w[] = ( data[306..306], data[221..221], data[136..136], data[51..51]); - w_data1327w[] = ( data[307..307], data[222..222], data[137..137], data[52..52]); - w_data1352w[] = ( data[308..308], data[223..223], data[138..138], data[53..53]); - w_data1377w[] = ( data[309..309], data[224..224], data[139..139], data[54..54]); - w_data1402w[] = ( data[310..310], data[225..225], data[140..140], data[55..55]); - w_data1427w[] = ( data[311..311], data[226..226], data[141..141], data[56..56]); - w_data1452w[] = ( data[312..312], data[227..227], data[142..142], data[57..57]); - w_data1477w[] = ( data[313..313], data[228..228], data[143..143], data[58..58]); - w_data1502w[] = ( data[314..314], data[229..229], data[144..144], data[59..59]); - w_data1527w[] = ( data[315..315], data[230..230], data[145..145], data[60..60]); - w_data152w[] = ( data[260..260], data[175..175], data[90..90], data[5..5]); - w_data1552w[] = ( data[316..316], data[231..231], data[146..146], data[61..61]); - w_data1577w[] = ( data[317..317], data[232..232], data[147..147], data[62..62]); - w_data1602w[] = ( data[318..318], data[233..233], data[148..148], data[63..63]); - w_data1627w[] = ( data[319..319], data[234..234], data[149..149], data[64..64]); - w_data1652w[] = ( data[320..320], data[235..235], data[150..150], data[65..65]); - w_data1677w[] = ( data[321..321], data[236..236], data[151..151], data[66..66]); - w_data1702w[] = ( data[322..322], data[237..237], data[152..152], data[67..67]); - w_data1727w[] = ( data[323..323], data[238..238], data[153..153], data[68..68]); - w_data1752w[] = ( data[324..324], data[239..239], data[154..154], data[69..69]); - w_data1777w[] = ( data[325..325], data[240..240], data[155..155], data[70..70]); - w_data177w[] = ( data[261..261], data[176..176], data[91..91], data[6..6]); - w_data1802w[] = ( data[326..326], data[241..241], data[156..156], data[71..71]); - w_data1827w[] = ( data[327..327], data[242..242], data[157..157], data[72..72]); - w_data1852w[] = ( data[328..328], data[243..243], data[158..158], data[73..73]); - w_data1877w[] = ( data[329..329], data[244..244], data[159..159], data[74..74]); - w_data1902w[] = ( data[330..330], data[245..245], data[160..160], data[75..75]); - w_data1927w[] = ( data[331..331], data[246..246], data[161..161], data[76..76]); - w_data1952w[] = ( data[332..332], data[247..247], data[162..162], data[77..77]); - w_data1977w[] = ( data[333..333], data[248..248], data[163..163], data[78..78]); - w_data2002w[] = ( data[334..334], data[249..249], data[164..164], data[79..79]); - w_data2027w[] = ( data[335..335], data[250..250], data[165..165], data[80..80]); - w_data202w[] = ( data[262..262], data[177..177], data[92..92], data[7..7]); - w_data2052w[] = ( data[336..336], data[251..251], data[166..166], data[81..81]); - w_data2077w[] = ( data[337..337], data[252..252], data[167..167], data[82..82]); - w_data2102w[] = ( data[338..338], data[253..253], data[168..168], data[83..83]); - w_data2127w[] = ( data[339..339], data[254..254], data[169..169], data[84..84]); - w_data227w[] = ( data[263..263], data[178..178], data[93..93], data[8..8]); - w_data22w[] = ( data[255..255], data[170..170], data[85..85], data[0..0]); - w_data252w[] = ( data[264..264], data[179..179], data[94..94], data[9..9]); - w_data277w[] = ( data[265..265], data[180..180], data[95..95], data[10..10]); - w_data302w[] = ( data[266..266], data[181..181], data[96..96], data[11..11]); - w_data327w[] = ( data[267..267], data[182..182], data[97..97], data[12..12]); - w_data352w[] = ( data[268..268], data[183..183], data[98..98], data[13..13]); - w_data377w[] = ( data[269..269], data[184..184], data[99..99], data[14..14]); - w_data402w[] = ( data[270..270], data[185..185], data[100..100], data[15..15]); - w_data427w[] = ( data[271..271], data[186..186], data[101..101], data[16..16]); - w_data452w[] = ( data[272..272], data[187..187], data[102..102], data[17..17]); - w_data477w[] = ( data[273..273], data[188..188], data[103..103], data[18..18]); - w_data502w[] = ( data[274..274], data[189..189], data[104..104], data[19..19]); - w_data527w[] = ( data[275..275], data[190..190], data[105..105], data[20..20]); - w_data52w[] = ( data[256..256], data[171..171], data[86..86], data[1..1]); - w_data552w[] = ( data[276..276], data[191..191], data[106..106], data[21..21]); - w_data577w[] = ( data[277..277], data[192..192], data[107..107], data[22..22]); - w_data602w[] = ( data[278..278], data[193..193], data[108..108], data[23..23]); - w_data627w[] = ( data[279..279], data[194..194], data[109..109], data[24..24]); - w_data652w[] = ( data[280..280], data[195..195], data[110..110], data[25..25]); - w_data677w[] = ( data[281..281], data[196..196], data[111..111], data[26..26]); - w_data702w[] = ( data[282..282], data[197..197], data[112..112], data[27..27]); - w_data727w[] = ( data[283..283], data[198..198], data[113..113], data[28..28]); - w_data752w[] = ( data[284..284], data[199..199], data[114..114], data[29..29]); - w_data777w[] = ( data[285..285], data[200..200], data[115..115], data[30..30]); - w_data77w[] = ( data[257..257], data[172..172], data[87..87], data[2..2]); - w_data802w[] = ( data[286..286], data[201..201], data[116..116], data[31..31]); - w_data827w[] = ( data[287..287], data[202..202], data[117..117], data[32..32]); - w_data852w[] = ( data[288..288], data[203..203], data[118..118], data[33..33]); - w_data877w[] = ( data[289..289], data[204..204], data[119..119], data[34..34]); - w_data902w[] = ( data[290..290], data[205..205], data[120..120], data[35..35]); - w_data927w[] = ( data[291..291], data[206..206], data[121..121], data[36..36]); - w_data952w[] = ( data[292..292], data[207..207], data[122..122], data[37..37]); - w_data977w[] = ( data[293..293], data[208..208], data[123..123], data[38..38]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/mux_rsc.tdf b/FPGA_61.440/db/mux_rsc.tdf deleted file mode 100644 index 004e944..0000000 --- a/FPGA_61.440/db/mux_rsc.tdf +++ /dev/null @@ -1,65 +0,0 @@ ---lpm_mux CASCADE_CHAIN="MANUAL" DEVICE_FAMILY="Cyclone IV E" IGNORE_CASCADE_BUFFERS="OFF" LPM_SIZE=2 LPM_WIDTH=14 LPM_WIDTHS=1 data result sel ---VERSION_BEGIN 18.1 cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 14 -SUBDESIGN mux_rsc -( - data[27..0] : input; - result[13..0] : output; - sel[0..0] : input; -) -VARIABLE - result_node[13..0] : WIRE; - sel_node[0..0] : WIRE; - w_data102w[1..0] : WIRE; - w_data114w[1..0] : WIRE; - w_data126w[1..0] : WIRE; - w_data138w[1..0] : WIRE; - w_data150w[1..0] : WIRE; - w_data162w[1..0] : WIRE; - w_data18w[1..0] : WIRE; - w_data30w[1..0] : WIRE; - w_data42w[1..0] : WIRE; - w_data4w[1..0] : WIRE; - w_data54w[1..0] : WIRE; - w_data66w[1..0] : WIRE; - w_data78w[1..0] : WIRE; - w_data90w[1..0] : WIRE; - -BEGIN - result[] = result_node[]; - result_node[] = ( ((sel_node[] & w_data162w[1..1]) # ((! sel_node[]) & w_data162w[0..0])), ((sel_node[] & w_data150w[1..1]) # ((! sel_node[]) & w_data150w[0..0])), ((sel_node[] & w_data138w[1..1]) # ((! sel_node[]) & w_data138w[0..0])), ((sel_node[] & w_data126w[1..1]) # ((! sel_node[]) & w_data126w[0..0])), ((sel_node[] & w_data114w[1..1]) # ((! sel_node[]) & w_data114w[0..0])), ((sel_node[] & w_data102w[1..1]) # ((! sel_node[]) & w_data102w[0..0])), ((sel_node[] & w_data90w[1..1]) # ((! sel_node[]) & w_data90w[0..0])), ((sel_node[] & w_data78w[1..1]) # ((! sel_node[]) & w_data78w[0..0])), ((sel_node[] & w_data66w[1..1]) # ((! sel_node[]) & w_data66w[0..0])), ((sel_node[] & w_data54w[1..1]) # ((! sel_node[]) & w_data54w[0..0])), ((sel_node[] & w_data42w[1..1]) # ((! sel_node[]) & w_data42w[0..0])), ((sel_node[] & w_data30w[1..1]) # ((! sel_node[]) & w_data30w[0..0])), ((sel_node[] & w_data18w[1..1]) # ((! sel_node[]) & w_data18w[0..0])), ((sel_node[] & w_data4w[1..1]) # ((! sel_node[]) & w_data4w[0..0]))); - sel_node[] = ( sel[0..0]); - w_data102w[] = ( data[22..22], data[8..8]); - w_data114w[] = ( data[23..23], data[9..9]); - w_data126w[] = ( data[24..24], data[10..10]); - w_data138w[] = ( data[25..25], data[11..11]); - w_data150w[] = ( data[26..26], data[12..12]); - w_data162w[] = ( data[27..27], data[13..13]); - w_data18w[] = ( data[15..15], data[1..1]); - w_data30w[] = ( data[16..16], data[2..2]); - w_data42w[] = ( data[17..17], data[3..3]); - w_data4w[] = ( data[14..14], data[0..0]); - w_data54w[] = ( data[18..18], data[4..4]); - w_data66w[] = ( data[19..19], data[5..5]); - w_data78w[] = ( data[20..20], data[6..6]); - w_data90w[] = ( data[21..21], data[7..7]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/mux_sob.tdf b/FPGA_61.440/db/mux_sob.tdf deleted file mode 100644 index 9f0acb0..0000000 --- a/FPGA_61.440/db/mux_sob.tdf +++ /dev/null @@ -1,209 +0,0 @@ ---lpm_mux CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone IV E" LPM_SIZE=4 LPM_WIDTH=86 LPM_WIDTHS=2 data result sel ---VERSION_BEGIN 18.1 cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - - ---synthesis_resources = lut 172 -SUBDESIGN mux_sob -( - data[343..0] : input; - result[85..0] : output; - sel[1..0] : input; -) -VARIABLE - result_node[85..0] : WIRE; - sel_node[1..0] : WIRE; - w_data1015w[3..0] : WIRE; - w_data1040w[3..0] : WIRE; - w_data1065w[3..0] : WIRE; - w_data1090w[3..0] : WIRE; - w_data1115w[3..0] : WIRE; - w_data1140w[3..0] : WIRE; - w_data115w[3..0] : WIRE; - w_data1165w[3..0] : WIRE; - w_data1190w[3..0] : WIRE; - w_data1215w[3..0] : WIRE; - w_data1240w[3..0] : WIRE; - w_data1265w[3..0] : WIRE; - w_data1290w[3..0] : WIRE; - w_data1315w[3..0] : WIRE; - w_data1340w[3..0] : WIRE; - w_data1365w[3..0] : WIRE; - w_data1390w[3..0] : WIRE; - w_data140w[3..0] : WIRE; - w_data1415w[3..0] : WIRE; - w_data1440w[3..0] : WIRE; - w_data1465w[3..0] : WIRE; - w_data1490w[3..0] : WIRE; - w_data1515w[3..0] : WIRE; - w_data1540w[3..0] : WIRE; - w_data1565w[3..0] : WIRE; - w_data1590w[3..0] : WIRE; - w_data1615w[3..0] : WIRE; - w_data1640w[3..0] : WIRE; - w_data165w[3..0] : WIRE; - w_data1665w[3..0] : WIRE; - w_data1690w[3..0] : WIRE; - w_data1715w[3..0] : WIRE; - w_data1740w[3..0] : WIRE; - w_data1765w[3..0] : WIRE; - w_data1790w[3..0] : WIRE; - w_data1815w[3..0] : WIRE; - w_data1840w[3..0] : WIRE; - w_data1865w[3..0] : WIRE; - w_data1890w[3..0] : WIRE; - w_data190w[3..0] : WIRE; - w_data1915w[3..0] : WIRE; - w_data1940w[3..0] : WIRE; - w_data1965w[3..0] : WIRE; - w_data1990w[3..0] : WIRE; - w_data2015w[3..0] : WIRE; - w_data2040w[3..0] : WIRE; - w_data2065w[3..0] : WIRE; - w_data2090w[3..0] : WIRE; - w_data2115w[3..0] : WIRE; - w_data2140w[3..0] : WIRE; - w_data215w[3..0] : WIRE; - w_data2165w[3..0] : WIRE; - w_data2190w[3..0] : WIRE; - w_data240w[3..0] : WIRE; - w_data265w[3..0] : WIRE; - w_data290w[3..0] : WIRE; - w_data315w[3..0] : WIRE; - w_data340w[3..0] : WIRE; - w_data365w[3..0] : WIRE; - w_data390w[3..0] : WIRE; - w_data415w[3..0] : WIRE; - w_data440w[3..0] : WIRE; - w_data465w[3..0] : WIRE; - w_data490w[3..0] : WIRE; - w_data515w[3..0] : WIRE; - w_data540w[3..0] : WIRE; - w_data565w[3..0] : WIRE; - w_data590w[3..0] : WIRE; - w_data60w[3..0] : WIRE; - w_data615w[3..0] : WIRE; - w_data640w[3..0] : WIRE; - w_data665w[3..0] : WIRE; - w_data690w[3..0] : WIRE; - w_data715w[3..0] : WIRE; - w_data740w[3..0] : WIRE; - w_data765w[3..0] : WIRE; - w_data790w[3..0] : WIRE; - w_data815w[3..0] : WIRE; - w_data840w[3..0] : WIRE; - w_data865w[3..0] : WIRE; - w_data890w[3..0] : WIRE; - w_data90w[3..0] : WIRE; - w_data915w[3..0] : WIRE; - w_data940w[3..0] : WIRE; - w_data965w[3..0] : WIRE; - w_data990w[3..0] : WIRE; - -BEGIN - result[] = result_node[]; - result_node[] = ( (((w_data2190w[1..1] & sel_node[0..0]) & (! (((w_data2190w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2190w[2..2]))))) # ((((w_data2190w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2190w[2..2]))) & (w_data2190w[3..3] # (! sel_node[0..0])))), (((w_data2165w[1..1] & sel_node[0..0]) & (! (((w_data2165w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2165w[2..2]))))) # ((((w_data2165w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2165w[2..2]))) & (w_data2165w[3..3] # (! sel_node[0..0])))), (((w_data2140w[1..1] & sel_node[0..0]) & (! (((w_data2140w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2140w[2..2]))))) # ((((w_data2140w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2140w[2..2]))) & (w_data2140w[3..3] # (! sel_node[0..0])))), (((w_data2115w[1..1] & sel_node[0..0]) & (! (((w_data2115w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2115w[2..2]))))) # ((((w_data2115w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2115w[2..2]))) & (w_data2115w[3..3] # (! sel_node[0..0])))), (((w_data2090w[1..1] & sel_node[0..0]) & (! (((w_data2090w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2090w[2..2]))))) # ((((w_data2090w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2090w[2..2]))) & (w_data2090w[3..3] # (! sel_node[0..0])))), (((w_data2065w[1..1] & sel_node[0..0]) & (! (((w_data2065w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2065w[2..2]))))) # ((((w_data2065w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2065w[2..2]))) & (w_data2065w[3..3] # (! sel_node[0..0])))), (((w_data2040w[1..1] & sel_node[0..0]) & (! (((w_data2040w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2040w[2..2]))))) # ((((w_data2040w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2040w[2..2]))) & (w_data2040w[3..3] # (! sel_node[0..0])))), (((w_data2015w[1..1] & sel_node[0..0]) & (! (((w_data2015w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2015w[2..2]))))) # ((((w_data2015w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data2015w[2..2]))) & (w_data2015w[3..3] # (! sel_node[0..0])))), (((w_data1990w[1..1] & sel_node[0..0]) & (! (((w_data1990w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1990w[2..2]))))) # ((((w_data1990w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1990w[2..2]))) & (w_data1990w[3..3] # (! sel_node[0..0])))), (((w_data1965w[1..1] & sel_node[0..0]) & (! (((w_data1965w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1965w[2..2]))))) # ((((w_data1965w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1965w[2..2]))) & (w_data1965w[3..3] # (! sel_node[0..0])))), (((w_data1940w[1..1] & sel_node[0..0]) & (! (((w_data1940w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1940w[2..2]))))) # ((((w_data1940w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1940w[2..2]))) & (w_data1940w[3..3] # (! sel_node[0..0])))), (((w_data1915w[1..1] & sel_node[0..0]) & (! (((w_data1915w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1915w[2..2]))))) # ((((w_data1915w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1915w[2..2]))) & (w_data1915w[3..3] # (! sel_node[0..0])))), (((w_data1890w[1..1] & sel_node[0..0]) & (! (((w_data1890w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1890w[2..2]))))) # ((((w_data1890w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1890w[2..2]))) & (w_data1890w[3..3] # (! sel_node[0..0])))), (((w_data1865w[1..1] & sel_node[0..0]) & (! (((w_data1865w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1865w[2..2]))))) # ((((w_data1865w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1865w[2..2]))) & (w_data1865w[3..3] # (! sel_node[0..0])))), (((w_data1840w[1..1] & sel_node[0..0]) & (! (((w_data1840w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1840w[2..2]))))) # ((((w_data1840w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1840w[2..2]))) & (w_data1840w[3..3] # (! sel_node[0..0])))), (((w_data1815w[1..1] & sel_node[0..0]) & (! (((w_data1815w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1815w[2..2]))))) # ((((w_data1815w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1815w[2..2]))) & (w_data1815w[3..3] # (! sel_node[0..0])))), (((w_data1790w[1..1] & sel_node[0..0]) & (! (((w_data1790w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1790w[2..2]))))) # ((((w_data1790w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1790w[2..2]))) & (w_data1790w[3..3] # (! sel_node[0..0])))), (((w_data1765w[1..1] & sel_node[0..0]) & (! (((w_data1765w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1765w[2..2]))))) # ((((w_data1765w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1765w[2..2]))) & (w_data1765w[3..3] # (! sel_node[0..0])))), (((w_data1740w[1..1] & sel_node[0..0]) & (! (((w_data1740w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1740w[2..2]))))) # ((((w_data1740w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1740w[2..2]))) & (w_data1740w[3..3] # (! sel_node[0..0])))), (((w_data1715w[1..1] & sel_node[0..0]) & (! (((w_data1715w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1715w[2..2]))))) # ((((w_data1715w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1715w[2..2]))) & (w_data1715w[3..3] # (! sel_node[0..0])))), (((w_data1690w[1..1] & sel_node[0..0]) & (! (((w_data1690w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1690w[2..2]))))) # ((((w_data1690w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1690w[2..2]))) & (w_data1690w[3..3] # (! sel_node[0..0])))), (((w_data1665w[1..1] & sel_node[0..0]) & (! (((w_data1665w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1665w[2..2]))))) # ((((w_data1665w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1665w[2..2]))) & (w_data1665w[3..3] # (! sel_node[0..0])))), (((w_data1640w[1..1] & sel_node[0..0]) & (! (((w_data1640w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1640w[2..2]))))) # ((((w_data1640w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1640w[2..2]))) & (w_data1640w[3..3] # (! sel_node[0..0])))), (((w_data1615w[1..1] & sel_node[0..0]) & (! (((w_data1615w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1615w[2..2]))))) # ((((w_data1615w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1615w[2..2]))) & (w_data1615w[3..3] # (! sel_node[0..0])))), (((w_data1590w[1..1] & sel_node[0..0]) & (! (((w_data1590w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1590w[2..2]))))) # ((((w_data1590w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1590w[2..2]))) & (w_data1590w[3..3] # (! sel_node[0..0])))), (((w_data1565w[1..1] & sel_node[0..0]) & (! (((w_data1565w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1565w[2..2]))))) # ((((w_data1565w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1565w[2..2]))) & (w_data1565w[3..3] # (! sel_node[0..0])))), (((w_data1540w[1..1] & sel_node[0..0]) & (! (((w_data1540w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1540w[2..2]))))) # ((((w_data1540w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1540w[2..2]))) & (w_data1540w[3..3] # (! sel_node[0..0])))), (((w_data1515w[1..1] & sel_node[0..0]) & (! (((w_data1515w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1515w[2..2]))))) # ((((w_data1515w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1515w[2..2]))) & (w_data1515w[3..3] # (! sel_node[0..0])))), (((w_data1490w[1..1] & sel_node[0..0]) & (! (((w_data1490w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1490w[2..2]))))) # ((((w_data1490w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1490w[2..2]))) & (w_data1490w[3..3] # (! sel_node[0..0])))), (((w_data1465w[1..1] & sel_node[0..0]) & (! (((w_data1465w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1465w[2..2]))))) # ((((w_data1465w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1465w[2..2]))) & (w_data1465w[3..3] # (! sel_node[0..0])))), (((w_data1440w[1..1] & sel_node[0..0]) & (! (((w_data1440w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1440w[2..2]))))) # ((((w_data1440w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1440w[2..2]))) & (w_data1440w[3..3] # (! sel_node[0..0])))), (((w_data1415w[1..1] & sel_node[0..0]) & (! (((w_data1415w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1415w[2..2]))))) # ((((w_data1415w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1415w[2..2]))) & (w_data1415w[3..3] # (! sel_node[0..0])))), (((w_data1390w[1..1] & sel_node[0..0]) & (! (((w_data1390w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1390w[2..2]))))) # ((((w_data1390w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1390w[2..2]))) & (w_data1390w[3..3] # (! sel_node[0..0])))), (((w_data1365w[1..1] & sel_node[0..0]) & (! (((w_data1365w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1365w[2..2]))))) # ((((w_data1365w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1365w[2..2]))) & (w_data1365w[3..3] # (! sel_node[0..0])))), (((w_data1340w[1..1] & sel_node[0..0]) & (! (((w_data1340w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1340w[2..2]))))) # ((((w_data1340w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1340w[2..2]))) & (w_data1340w[3..3] # (! sel_node[0..0])))), (((w_data1315w[1..1] & sel_node[0..0]) & (! (((w_data1315w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1315w[2..2]))))) # ((((w_data1315w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1315w[2..2]))) & (w_data1315w[3..3] # (! sel_node[0..0])))), (((w_data1290w[1..1] & sel_node[0..0]) & (! (((w_data1290w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1290w[2..2]))))) # ((((w_data1290w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1290w[2..2]))) & (w_data1290w[3..3] # (! sel_node[0..0])))), (((w_data1265w[1..1] & sel_node[0..0]) & (! (((w_data1265w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1265w[2..2]))))) # ((((w_data1265w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1265w[2..2]))) & (w_data1265w[3..3] # (! sel_node[0..0])))), (((w_data1240w[1..1] & sel_node[0..0]) & (! (((w_data1240w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1240w[2..2]))))) # ((((w_data1240w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1240w[2..2]))) & (w_data1240w[3..3] # (! sel_node[0..0])))), (((w_data1215w[1..1] & sel_node[0..0]) & (! (((w_data1215w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1215w[2..2]))))) # ((((w_data1215w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1215w[2..2]))) & (w_data1215w[3..3] # (! sel_node[0..0])))), (((w_data1190w[1..1] & sel_node[0..0]) & (! (((w_data1190w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1190w[2..2]))))) # ((((w_data1190w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1190w[2..2]))) & (w_data1190w[3..3] # (! sel_node[0..0])))), (((w_data1165w[1..1] & sel_node[0..0]) & (! (((w_data1165w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1165w[2..2]))))) # ((((w_data1165w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1165w[2..2]))) & (w_data1165w[3..3] # (! sel_node[0..0])))), (((w_data1140w[1..1] & sel_node[0..0]) & (! (((w_data1140w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1140w[2..2]))))) # ((((w_data1140w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1140w[2..2]))) & (w_data1140w[3..3] # (! sel_node[0..0])))), (((w_data1115w[1..1] & sel_node[0..0]) & (! (((w_data1115w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1115w[2..2]))))) # ((((w_data1115w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1115w[2..2]))) & (w_data1115w[3..3] # (! sel_node[0..0])))), (((w_data1090w[1..1] & sel_node[0..0]) & (! (((w_data1090w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1090w[2..2]))))) # ((((w_data1090w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1090w[2..2]))) & (w_data1090w[3..3] # (! sel_node[0..0])))), (((w_data1065w[1..1] & sel_node[0..0]) & (! (((w_data1065w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1065w[2..2]))))) # ((((w_data1065w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1065w[2..2]))) & (w_data1065w[3..3] # (! sel_node[0..0])))), (((w_data1040w[1..1] & sel_node[0..0]) & (! (((w_data1040w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1040w[2..2]))))) # ((((w_data1040w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1040w[2..2]))) & (w_data1040w[3..3] # (! sel_node[0..0])))), (((w_data1015w[1..1] & sel_node[0..0]) & (! (((w_data1015w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1015w[2..2]))))) # ((((w_data1015w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data1015w[2..2]))) & (w_data1015w[3..3] # (! sel_node[0..0])))), (((w_data990w[1..1] & sel_node[0..0]) & (! (((w_data990w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data990w[2..2]))))) # ((((w_data990w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data990w[2..2]))) & (w_data990w[3..3] # (! sel_node[0..0])))), (((w_data965w[1..1] & sel_node[0..0]) & (! (((w_data965w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data965w[2..2]))))) # ((((w_data965w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data965w[2..2]))) & (w_data965w[3..3] # (! sel_node[0..0])))), (((w_data940w[1..1] & sel_node[0..0]) & (! (((w_data940w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data940w[2..2]))))) # ((((w_data940w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data940w[2..2]))) & (w_data940w[3..3] # (! sel_node[0..0])))), (((w_data915w[1..1] & sel_node[0..0]) & (! (((w_data915w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data915w[2..2]))))) # ((((w_data915w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data915w[2..2]))) & (w_data915w[3..3] # (! sel_node[0..0])))), (((w_data890w[1..1] & sel_node[0..0]) & (! (((w_data890w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data890w[2..2]))))) # ((((w_data890w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data890w[2..2]))) & (w_data890w[3..3] # (! sel_node[0..0])))), (((w_data865w[1..1] & sel_node[0..0]) & (! (((w_data865w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data865w[2..2]))))) # ((((w_data865w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data865w[2..2]))) & (w_data865w[3..3] # (! sel_node[0..0])))), (((w_data840w[1..1] & sel_node[0..0]) & (! (((w_data840w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data840w[2..2]))))) # ((((w_data840w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data840w[2..2]))) & (w_data840w[3..3] # (! sel_node[0..0])))), (((w_data815w[1..1] & sel_node[0..0]) & (! (((w_data815w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data815w[2..2]))))) # ((((w_data815w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data815w[2..2]))) & (w_data815w[3..3] # (! sel_node[0..0])))), (((w_data790w[1..1] & sel_node[0..0]) & (! (((w_data790w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data790w[2..2]))))) # ((((w_data790w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data790w[2..2]))) & (w_data790w[3..3] # (! sel_node[0..0])))), (((w_data765w[1..1] & sel_node[0..0]) & (! (((w_data765w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data765w[2..2]))))) # ((((w_data765w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data765w[2..2]))) & (w_data765w[3..3] # (! sel_node[0..0])))), (((w_data740w[1..1] & sel_node[0..0]) & (! (((w_data740w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data740w[2..2]))))) # ((((w_data740w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data740w[2..2]))) & (w_data740w[3..3] # (! sel_node[0..0])))), (((w_data715w[1..1] & sel_node[0..0]) & (! (((w_data715w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data715w[2..2]))))) # ((((w_data715w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data715w[2..2]))) & (w_data715w[3..3] # (! sel_node[0..0])))), (((w_data690w[1..1] & sel_node[0..0]) & (! (((w_data690w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data690w[2..2]))))) # ((((w_data690w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data690w[2..2]))) & (w_data690w[3..3] # (! sel_node[0..0])))), (((w_data665w[1..1] & sel_node[0..0]) & (! (((w_data665w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data665w[2..2]))))) # ((((w_data665w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data665w[2..2]))) & (w_data665w[3..3] # (! sel_node[0..0])))), (((w_data640w[1..1] & sel_node[0..0]) & (! (((w_data640w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data640w[2..2]))))) # ((((w_data640w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data640w[2..2]))) & (w_data640w[3..3] # (! sel_node[0..0])))), (((w_data615w[1..1] & sel_node[0..0]) & (! (((w_data615w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data615w[2..2]))))) # ((((w_data615w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data615w[2..2]))) & (w_data615w[3..3] # (! sel_node[0..0])))), (((w_data590w[1..1] & sel_node[0..0]) & (! (((w_data590w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data590w[2..2]))))) # ((((w_data590w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data590w[2..2]))) & (w_data590w[3..3] # (! sel_node[0..0])))), (((w_data565w[1..1] & sel_node[0..0]) & (! (((w_data565w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data565w[2..2]))))) # ((((w_data565w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data565w[2..2]))) & (w_data565w[3..3] # (! sel_node[0..0])))), (((w_data540w[1..1] & sel_node[0..0]) & (! (((w_data540w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data540w[2..2]))))) # ((((w_data540w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data540w[2..2]))) & (w_data540w[3..3] # (! sel_node[0..0])))), (((w_data515w[1..1] & sel_node[0..0]) & (! (((w_data515w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data515w[2..2]))))) # ((((w_data515w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data515w[2..2]))) & (w_data515w[3..3] # (! sel_node[0..0])))), (((w_data490w[1..1] & sel_node[0..0]) & (! (((w_data490w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data490w[2..2]))))) # ((((w_data490w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data490w[2..2]))) & (w_data490w[3..3] # (! sel_node[0..0])))), (((w_data465w[1..1] & sel_node[0..0]) & (! (((w_data465w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data465w[2..2]))))) # ((((w_data465w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data465w[2..2]))) & (w_data465w[3..3] # (! sel_node[0..0])))), (((w_data440w[1..1] & sel_node[0..0]) & (! (((w_data440w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data440w[2..2]))))) # ((((w_data440w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data440w[2..2]))) & (w_data440w[3..3] # (! sel_node[0..0])))), (((w_data415w[1..1] & sel_node[0..0]) & (! (((w_data415w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data415w[2..2]))))) # ((((w_data415w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data415w[2..2]))) & (w_data415w[3..3] # (! sel_node[0..0])))), (((w_data390w[1..1] & sel_node[0..0]) & (! (((w_data390w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data390w[2..2]))))) # ((((w_data390w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data390w[2..2]))) & (w_data390w[3..3] # (! sel_node[0..0])))), (((w_data365w[1..1] & sel_node[0..0]) & (! (((w_data365w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data365w[2..2]))))) # ((((w_data365w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data365w[2..2]))) & (w_data365w[3..3] # (! sel_node[0..0])))), (((w_data340w[1..1] & sel_node[0..0]) & (! (((w_data340w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data340w[2..2]))))) # ((((w_data340w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data340w[2..2]))) & (w_data340w[3..3] # (! sel_node[0..0])))), (((w_data315w[1..1] & sel_node[0..0]) & (! (((w_data315w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data315w[2..2]))))) # ((((w_data315w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data315w[2..2]))) & (w_data315w[3..3] # (! sel_node[0..0])))), (((w_data290w[1..1] & sel_node[0..0]) & (! (((w_data290w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data290w[2..2]))))) # ((((w_data290w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data290w[2..2]))) & (w_data290w[3..3] # (! sel_node[0..0])))), (((w_data265w[1..1] & sel_node[0..0]) & (! (((w_data265w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data265w[2..2]))))) # ((((w_data265w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data265w[2..2]))) & (w_data265w[3..3] # (! sel_node[0..0])))), (((w_data240w[1..1] & sel_node[0..0]) & (! (((w_data240w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data240w[2..2]))))) # ((((w_data240w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data240w[2..2]))) & (w_data240w[3..3] # (! sel_node[0..0])))), (((w_data215w[1..1] & sel_node[0..0]) & (! (((w_data215w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data215w[2..2]))))) # ((((w_data215w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data215w[2..2]))) & (w_data215w[3..3] # (! sel_node[0..0])))), (((w_data190w[1..1] & sel_node[0..0]) & (! (((w_data190w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data190w[2..2]))))) # ((((w_data190w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data190w[2..2]))) & (w_data190w[3..3] # (! sel_node[0..0])))), (((w_data165w[1..1] & sel_node[0..0]) & (! (((w_data165w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data165w[2..2]))))) # ((((w_data165w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data165w[2..2]))) & (w_data165w[3..3] # (! sel_node[0..0])))), (((w_data140w[1..1] & sel_node[0..0]) & (! (((w_data140w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data140w[2..2]))))) # ((((w_data140w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data140w[2..2]))) & (w_data140w[3..3] # (! sel_node[0..0])))), (((w_data115w[1..1] & sel_node[0..0]) & (! (((w_data115w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data115w[2..2]))))) # ((((w_data115w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data115w[2..2]))) & (w_data115w[3..3] # (! sel_node[0..0])))), (((w_data90w[1..1] & sel_node[0..0]) & (! (((w_data90w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data90w[2..2]))))) # ((((w_data90w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data90w[2..2]))) & (w_data90w[3..3] # (! sel_node[0..0])))), (((w_data60w[1..1] & sel_node[0..0]) & (! (((w_data60w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data60w[2..2]))))) # ((((w_data60w[0..0] & (! sel_node[1..1])) & (! sel_node[0..0])) # (sel_node[1..1] & (sel_node[0..0] # w_data60w[2..2]))) & (w_data60w[3..3] # (! sel_node[0..0]))))); - sel_node[] = ( sel[1..0]); - w_data1015w[] = ( data[296..296], data[210..210], data[124..124], data[38..38]); - w_data1040w[] = ( data[297..297], data[211..211], data[125..125], data[39..39]); - w_data1065w[] = ( data[298..298], data[212..212], data[126..126], data[40..40]); - w_data1090w[] = ( data[299..299], data[213..213], data[127..127], data[41..41]); - w_data1115w[] = ( data[300..300], data[214..214], data[128..128], data[42..42]); - w_data1140w[] = ( data[301..301], data[215..215], data[129..129], data[43..43]); - w_data115w[] = ( data[260..260], data[174..174], data[88..88], data[2..2]); - w_data1165w[] = ( data[302..302], data[216..216], data[130..130], data[44..44]); - w_data1190w[] = ( data[303..303], data[217..217], data[131..131], data[45..45]); - w_data1215w[] = ( data[304..304], data[218..218], data[132..132], data[46..46]); - w_data1240w[] = ( data[305..305], data[219..219], data[133..133], data[47..47]); - w_data1265w[] = ( data[306..306], data[220..220], data[134..134], data[48..48]); - w_data1290w[] = ( data[307..307], data[221..221], data[135..135], data[49..49]); - w_data1315w[] = ( data[308..308], data[222..222], data[136..136], data[50..50]); - w_data1340w[] = ( data[309..309], data[223..223], data[137..137], data[51..51]); - w_data1365w[] = ( data[310..310], data[224..224], data[138..138], data[52..52]); - w_data1390w[] = ( data[311..311], data[225..225], data[139..139], data[53..53]); - w_data140w[] = ( data[261..261], data[175..175], data[89..89], data[3..3]); - w_data1415w[] = ( data[312..312], data[226..226], data[140..140], data[54..54]); - w_data1440w[] = ( data[313..313], data[227..227], data[141..141], data[55..55]); - w_data1465w[] = ( data[314..314], data[228..228], data[142..142], data[56..56]); - w_data1490w[] = ( data[315..315], data[229..229], data[143..143], data[57..57]); - w_data1515w[] = ( data[316..316], data[230..230], data[144..144], data[58..58]); - w_data1540w[] = ( data[317..317], data[231..231], data[145..145], data[59..59]); - w_data1565w[] = ( data[318..318], data[232..232], data[146..146], data[60..60]); - w_data1590w[] = ( data[319..319], data[233..233], data[147..147], data[61..61]); - w_data1615w[] = ( data[320..320], data[234..234], data[148..148], data[62..62]); - w_data1640w[] = ( data[321..321], data[235..235], data[149..149], data[63..63]); - w_data165w[] = ( data[262..262], data[176..176], data[90..90], data[4..4]); - w_data1665w[] = ( data[322..322], data[236..236], data[150..150], data[64..64]); - w_data1690w[] = ( data[323..323], data[237..237], data[151..151], data[65..65]); - w_data1715w[] = ( data[324..324], data[238..238], data[152..152], data[66..66]); - w_data1740w[] = ( data[325..325], data[239..239], data[153..153], data[67..67]); - w_data1765w[] = ( data[326..326], data[240..240], data[154..154], data[68..68]); - w_data1790w[] = ( data[327..327], data[241..241], data[155..155], data[69..69]); - w_data1815w[] = ( data[328..328], data[242..242], data[156..156], data[70..70]); - w_data1840w[] = ( data[329..329], data[243..243], data[157..157], data[71..71]); - w_data1865w[] = ( data[330..330], data[244..244], data[158..158], data[72..72]); - w_data1890w[] = ( data[331..331], data[245..245], data[159..159], data[73..73]); - w_data190w[] = ( data[263..263], data[177..177], data[91..91], data[5..5]); - w_data1915w[] = ( data[332..332], data[246..246], data[160..160], data[74..74]); - w_data1940w[] = ( data[333..333], data[247..247], data[161..161], data[75..75]); - w_data1965w[] = ( data[334..334], data[248..248], data[162..162], data[76..76]); - w_data1990w[] = ( data[335..335], data[249..249], data[163..163], data[77..77]); - w_data2015w[] = ( data[336..336], data[250..250], data[164..164], data[78..78]); - w_data2040w[] = ( data[337..337], data[251..251], data[165..165], data[79..79]); - w_data2065w[] = ( data[338..338], data[252..252], data[166..166], data[80..80]); - w_data2090w[] = ( data[339..339], data[253..253], data[167..167], data[81..81]); - w_data2115w[] = ( data[340..340], data[254..254], data[168..168], data[82..82]); - w_data2140w[] = ( data[341..341], data[255..255], data[169..169], data[83..83]); - w_data215w[] = ( data[264..264], data[178..178], data[92..92], data[6..6]); - w_data2165w[] = ( data[342..342], data[256..256], data[170..170], data[84..84]); - w_data2190w[] = ( data[343..343], data[257..257], data[171..171], data[85..85]); - w_data240w[] = ( data[265..265], data[179..179], data[93..93], data[7..7]); - w_data265w[] = ( data[266..266], data[180..180], data[94..94], data[8..8]); - w_data290w[] = ( data[267..267], data[181..181], data[95..95], data[9..9]); - w_data315w[] = ( data[268..268], data[182..182], data[96..96], data[10..10]); - w_data340w[] = ( data[269..269], data[183..183], data[97..97], data[11..11]); - w_data365w[] = ( data[270..270], data[184..184], data[98..98], data[12..12]); - w_data390w[] = ( data[271..271], data[185..185], data[99..99], data[13..13]); - w_data415w[] = ( data[272..272], data[186..186], data[100..100], data[14..14]); - w_data440w[] = ( data[273..273], data[187..187], data[101..101], data[15..15]); - w_data465w[] = ( data[274..274], data[188..188], data[102..102], data[16..16]); - w_data490w[] = ( data[275..275], data[189..189], data[103..103], data[17..17]); - w_data515w[] = ( data[276..276], data[190..190], data[104..104], data[18..18]); - w_data540w[] = ( data[277..277], data[191..191], data[105..105], data[19..19]); - w_data565w[] = ( data[278..278], data[192..192], data[106..106], data[20..20]); - w_data590w[] = ( data[279..279], data[193..193], data[107..107], data[21..21]); - w_data60w[] = ( data[258..258], data[172..172], data[86..86], data[0..0]); - w_data615w[] = ( data[280..280], data[194..194], data[108..108], data[22..22]); - w_data640w[] = ( data[281..281], data[195..195], data[109..109], data[23..23]); - w_data665w[] = ( data[282..282], data[196..196], data[110..110], data[24..24]); - w_data690w[] = ( data[283..283], data[197..197], data[111..111], data[25..25]); - w_data715w[] = ( data[284..284], data[198..198], data[112..112], data[26..26]); - w_data740w[] = ( data[285..285], data[199..199], data[113..113], data[27..27]); - w_data765w[] = ( data[286..286], data[200..200], data[114..114], data[28..28]); - w_data790w[] = ( data[287..287], data[201..201], data[115..115], data[29..29]); - w_data815w[] = ( data[288..288], data[202..202], data[116..116], data[30..30]); - w_data840w[] = ( data[289..289], data[203..203], data[117..117], data[31..31]); - w_data865w[] = ( data[290..290], data[204..204], data[118..118], data[32..32]); - w_data890w[] = ( data[291..291], data[205..205], data[119..119], data[33..33]); - w_data90w[] = ( data[259..259], data[173..173], data[87..87], data[1..1]); - w_data915w[] = ( data[292..292], data[206..206], data[120..120], data[34..34]); - w_data940w[] = ( data[293..293], data[207..207], data[121..121], data[35..35]); - w_data965w[] = ( data[294..294], data[208..208], data[122..122], data[36..36]); - w_data990w[] = ( data[295..295], data[209..209], data[123..123], data[37..37]); -END; ---VALID FILE diff --git a/FPGA_61.440/db/prev_cmp_WOLF-LITE.qmsg b/FPGA_61.440/db/prev_cmp_WOLF-LITE.qmsg deleted file mode 100644 index e7a1cc6..0000000 --- a/FPGA_61.440/db/prev_cmp_WOLF-LITE.qmsg +++ /dev/null @@ -1,914 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1616603490203 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603490238 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:31:29 2021 " "Processing started: Wed Mar 24 19:31:29 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603490238 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603490238 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE " "Command: quartus_map --read_settings_files=on --write_settings_files=off WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603490238 ""} -{ "Info" "IQCU_OPT_MODE_DESCRIPTION" "Aggressive Performance timing performance increased logic area and compilation time " "Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time" { } { } 0 16303 "%1!s! optimization mode selected -- %2!s! will be prioritized at the potential cost of %3!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603491598 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1616603491706 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "clock_buffer.qsys " "Elaborating Platform Designer system entity \"clock_buffer.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603503519 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:48 Progress: Loading FPGA/clock_buffer.qsys " "2021.03.24.20:31:48 Progress: Loading FPGA/clock_buffer.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603508348 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:49 Progress: Reading input file " "2021.03.24.20:31:49 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603509071 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:49 Progress: Adding altclkctrl_0 \[altclkctrl 18.1\] " "2021.03.24.20:31:49 Progress: Adding altclkctrl_0 \[altclkctrl 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603509154 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:50 Progress: Parameterizing module altclkctrl_0 " "2021.03.24.20:31:50 Progress: Parameterizing module altclkctrl_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603510359 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:50 Progress: Building connections " "2021.03.24.20:31:50 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603510362 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:50 Progress: Parameterizing connections " "2021.03.24.20:31:50 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603510362 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:50 Progress: Validating " "2021.03.24.20:31:50 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603510401 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:51 Progress: Done reading input file " "2021.03.24.20:31:51 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603511325 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:51 : clock_buffer.altclkctrl_0: Targeting device family: Cyclone IV E. " "2021.03.24.20:31:51 : clock_buffer.altclkctrl_0: Targeting device family: Cyclone IV E." { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603511733 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:51 : clock_buffer.altclkctrl_0: Global clock network allows a clock signal to reach all parts of the chip with the same amount of skew. Input port 'clkselect' can be used to switch between four clock inputs. " "2021.03.24.20:31:51 : clock_buffer.altclkctrl_0: Global clock network allows a clock signal to reach all parts of the chip with the same amount of skew. Input port 'clkselect' can be used to switch between four clock inputs." { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603511733 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Clock_buffer: Generating clock_buffer \"clock_buffer\" for QUARTUS_SYNTH " "Clock_buffer: Generating clock_buffer \"clock_buffer\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603512488 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Altclkctrl_0: Generating top-level entity clock_buffer_altclkctrl_0. " "Altclkctrl_0: Generating top-level entity clock_buffer_altclkctrl_0." { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603513243 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Altclkctrl_0: \"clock_buffer\" instantiated altclkctrl \"altclkctrl_0\" " "Altclkctrl_0: \"clock_buffer\" instantiated altclkctrl \"altclkctrl_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603513563 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Clock_buffer: Done \"clock_buffer\" with 2 modules, 2 files " "Clock_buffer: Done \"clock_buffer\" with 2 modules, 2 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603513564 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "clock_buffer.qsys " "Finished elaborating Platform Designer system entity \"clock_buffer.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603514389 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "rx_cic.qsys " "Elaborating Platform Designer system entity \"rx_cic.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603514435 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:59 Progress: Loading FPGA/rx_cic.qsys " "2021.03.24.20:31:59 Progress: Loading FPGA/rx_cic.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603519241 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:59 Progress: Reading input file " "2021.03.24.20:31:59 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603519891 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:31:59 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\] " "2021.03.24.20:31:59 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603519980 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:00 Progress: Parameterizing module cic_ii_0 " "2021.03.24.20:32:00 Progress: Parameterizing module cic_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603520269 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:00 Progress: Building connections " "2021.03.24.20:32:00 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603520297 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:00 Progress: Parameterizing connections " "2021.03.24.20:32:00 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603520297 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:00 Progress: Validating " "2021.03.24.20:32:00 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603520328 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:01 Progress: Done reading input file " "2021.03.24.20:32:01 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603521336 ""} -{ "Warning" "WSGN_EXT_PROC_WARNING_MSG" "Rx_cic.cic_ii_0: Clock Enable Port is deprecated and may be removed in a future release " "Rx_cic.cic_ii_0: Clock Enable Port is deprecated and may be removed in a future release" { } { } 0 12251 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603521761 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Rx_cic: Generating rx_cic \"rx_cic\" for QUARTUS_SYNTH " "Rx_cic: Generating rx_cic \"rx_cic\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603522444 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Cic_ii_0: \"rx_cic\" instantiated altera_cic_ii \"cic_ii_0\" " "Cic_ii_0: \"rx_cic\" instantiated altera_cic_ii \"cic_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603523544 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Rx_cic: Done \"rx_cic\" with 2 modules, 30 files " "Rx_cic: Done \"rx_cic\" with 2 modules, 30 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603523562 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "rx_cic.qsys " "Finished elaborating Platform Designer system entity \"rx_cic.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603524354 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "tx_cic.qsys " "Elaborating Platform Designer system entity \"tx_cic.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603524393 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:09 Progress: Loading FPGA/tx_cic.qsys " "2021.03.24.20:32:09 Progress: Loading FPGA/tx_cic.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603529467 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:10 Progress: Reading input file " "2021.03.24.20:32:10 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603530144 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:10 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\] " "2021.03.24.20:32:10 Progress: Adding cic_ii_0 \[altera_cic_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603530226 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:10 Progress: Parameterizing module cic_ii_0 " "2021.03.24.20:32:10 Progress: Parameterizing module cic_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603530452 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:10 Progress: Building connections " "2021.03.24.20:32:10 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603530462 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:10 Progress: Parameterizing connections " "2021.03.24.20:32:10 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603530462 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:10 Progress: Validating " "2021.03.24.20:32:10 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603530493 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:11 Progress: Done reading input file " "2021.03.24.20:32:11 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603531463 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_cic: Generating tx_cic \"tx_cic\" for QUARTUS_SYNTH " "Tx_cic: Generating tx_cic \"tx_cic\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603532609 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Cic_ii_0: \"tx_cic\" instantiated altera_cic_ii \"cic_ii_0\" " "Cic_ii_0: \"tx_cic\" instantiated altera_cic_ii \"cic_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603533511 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_cic: Done \"tx_cic\" with 2 modules, 30 files " "Tx_cic: Done \"tx_cic\" with 2 modules, 30 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603533528 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "tx_cic.qsys " "Finished elaborating Platform Designer system entity \"tx_cic.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603534313 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "tx_nco.qsys " "Elaborating Platform Designer system entity \"tx_nco.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603534354 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:19 Progress: Loading FPGA/tx_nco.qsys " "2021.03.24.20:32:19 Progress: Loading FPGA/tx_nco.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603539400 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:20 Progress: Reading input file " "2021.03.24.20:32:20 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603540107 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:20 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\] " "2021.03.24.20:32:20 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603540199 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:20 Progress: Parameterizing module nco_ii_0 " "2021.03.24.20:32:20 Progress: Parameterizing module nco_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603540461 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:20 Progress: Building connections " "2021.03.24.20:32:20 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603540485 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:20 Progress: Parameterizing connections " "2021.03.24.20:32:20 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603540486 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:20 Progress: Validating " "2021.03.24.20:32:20 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603540518 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:21 Progress: Done reading input file " "2021.03.24.20:32:21 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603541484 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_nco: Generating tx_nco \"tx_nco\" for QUARTUS_SYNTH " "Tx_nco: Generating tx_nco \"tx_nco\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603542619 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco_ii_0: \"tx_nco\" instantiated altera_nco_ii \"nco_ii_0\" " "Nco_ii_0: \"tx_nco\" instantiated altera_nco_ii \"nco_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603543683 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Tx_nco: Done \"tx_nco\" with 2 modules, 18 files " "Tx_nco: Done \"tx_nco\" with 2 modules, 18 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603543696 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "tx_nco.qsys " "Finished elaborating Platform Designer system entity \"tx_nco.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603547349 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "nco.qsys " "Elaborating Platform Designer system entity \"nco.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603547381 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:32 Progress: Loading FPGA/nco.qsys " "2021.03.24.20:32:32 Progress: Loading FPGA/nco.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603552197 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:32 Progress: Reading input file " "2021.03.24.20:32:32 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603552863 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:32 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\] " "2021.03.24.20:32:32 Progress: Adding nco_ii_0 \[altera_nco_ii 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603552943 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:33 Progress: Parameterizing module nco_ii_0 " "2021.03.24.20:32:33 Progress: Parameterizing module nco_ii_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603553173 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:33 Progress: Building connections " "2021.03.24.20:32:33 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603553185 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:33 Progress: Parameterizing connections " "2021.03.24.20:32:33 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603553185 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:33 Progress: Validating " "2021.03.24.20:32:33 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603553221 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:34 Progress: Done reading input file " "2021.03.24.20:32:34 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603554169 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco: Generating nco \"nco\" for QUARTUS_SYNTH " "Nco: Generating nco \"nco\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603555329 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco_ii_0: \"nco\" instantiated altera_nco_ii \"nco_ii_0\" " "Nco_ii_0: \"nco\" instantiated altera_nco_ii \"nco_ii_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603556293 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "Nco: Done \"nco\" with 2 modules, 18 files " "Nco: Done \"nco\" with 2 modules, 18 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603556306 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "nco.qsys " "Finished elaborating Platform Designer system entity \"nco.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603557083 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "DEBUG.qsys " "Elaborating Platform Designer system entity \"DEBUG.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603557137 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:42 Progress: Loading FPGA/DEBUG.qsys " "2021.03.24.20:32:42 Progress: Loading FPGA/DEBUG.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603562011 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:42 Progress: Reading input file " "2021.03.24.20:32:42 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603562723 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:42 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\] " "2021.03.24.20:32:42 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603562835 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:43 Progress: Parameterizing module in_system_sources_probes_0 " "2021.03.24.20:32:43 Progress: Parameterizing module in_system_sources_probes_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603563031 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:43 Progress: Building connections " "2021.03.24.20:32:43 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603563035 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:43 Progress: Parameterizing connections " "2021.03.24.20:32:43 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603563035 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:43 Progress: Validating " "2021.03.24.20:32:43 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603563064 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:44 Progress: Done reading input file " "2021.03.24.20:32:44 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603564032 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG: Generating DEBUG \"DEBUG\" for QUARTUS_SYNTH " "DEBUG: Generating DEBUG \"DEBUG\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603565088 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "In_system_sources_probes_0: \"DEBUG\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\" " "In_system_sources_probes_0: \"DEBUG\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603565817 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG: Done \"DEBUG\" with 2 modules, 2 files " "DEBUG: Done \"DEBUG\" with 2 modules, 2 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603565817 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "DEBUG.qsys " "Finished elaborating Platform Designer system entity \"DEBUG.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603566630 ""} -{ "Info" "ISGN_START_ELABORATION_QSYS" "DEBUG2.qsys " "Elaborating Platform Designer system entity \"DEBUG2.qsys\"" { } { } 0 12248 "Elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603566672 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:51 Progress: Loading FPGA/DEBUG2.qsys " "2021.03.24.20:32:51 Progress: Loading FPGA/DEBUG2.qsys" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603571671 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:52 Progress: Reading input file " "2021.03.24.20:32:52 Progress: Reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603572472 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:52 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\] " "2021.03.24.20:32:52 Progress: Adding in_system_sources_probes_0 \[altera_in_system_sources_probes 18.1\]" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603572560 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:52 Progress: Parameterizing module in_system_sources_probes_0 " "2021.03.24.20:32:52 Progress: Parameterizing module in_system_sources_probes_0" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603572772 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:52 Progress: Building connections " "2021.03.24.20:32:52 Progress: Building connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603572775 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:52 Progress: Parameterizing connections " "2021.03.24.20:32:52 Progress: Parameterizing connections" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603572775 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:52 Progress: Validating " "2021.03.24.20:32:52 Progress: Validating" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603572809 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "2021.03.24.20:32:53 Progress: Done reading input file " "2021.03.24.20:32:53 Progress: Done reading input file" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603573911 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG2: Generating DEBUG2 \"DEBUG2\" for QUARTUS_SYNTH " "DEBUG2: Generating DEBUG2 \"DEBUG2\" for QUARTUS_SYNTH" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603575075 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "In_system_sources_probes_0: \"DEBUG2\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\" " "In_system_sources_probes_0: \"DEBUG2\" instantiated altera_in_system_sources_probes \"in_system_sources_probes_0\"" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603575838 ""} -{ "Info" "ISGN_EXT_PROC_INFO_MSG" "DEBUG2: Done \"DEBUG2\" with 2 modules, 2 files " "DEBUG2: Done \"DEBUG2\" with 2 modules, 2 files" { } { } 0 12250 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603575839 ""} -{ "Info" "ISGN_END_ELABORATION_QSYS" "DEBUG2.qsys " "Finished elaborating Platform Designer system entity \"DEBUG2.qsys\"" { } { } 0 12249 "Finished elaborating Platform Designer system entity \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603576619 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "wolf-lite.bdf 1 1 " "Found 1 design units, including 1 entities, in source file wolf-lite.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 WOLF-LITE " "Found entity 1: WOLF-LITE" { } { { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578070 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578070 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "dac_corrector.v 1 1 " "Found 1 design units, including 1 entities, in source file dac_corrector.v" { { "Info" "ISGN_ENTITY_NAME" "1 DAC_corrector " "Found entity 1: DAC_corrector" { } { { "DAC_corrector.v" "" { Text "C:/FPGA/DAC_corrector.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578121 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578121 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "spi_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file spi_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 spi_interface " "Found entity 1: spi_interface" { } { { "spi_interface.v" "" { Text "C:/FPGA/spi_interface.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578154 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578154 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "stm32_interface.v(93) " "Verilog HDL warning at stm32_interface.v(93): extended using \"x\" or \"z\"" { } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1616603578176 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "stm32_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file stm32_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 stm32_interface " "Found entity 1: stm32_interface" { } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578187 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578187 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "data_shifter.v 1 1 " "Found 1 design units, including 1 entities, in source file data_shifter.v" { { "Info" "ISGN_ENTITY_NAME" "1 data_shifter " "Found entity 1: data_shifter" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578214 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578214 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "vcxo_controller.v 1 1 " "Found 1 design units, including 1 entities, in source file vcxo_controller.v" { { "Info" "ISGN_ENTITY_NAME" "1 vcxo_controller " "Found entity 1: vcxo_controller" { } { { "vcxo_controller.v" "" { Text "C:/FPGA/vcxo_controller.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578243 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578243 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mixer.v 1 1 " "Found 1 design units, including 1 entities, in source file mixer.v" { { "Info" "ISGN_ENTITY_NAME" "1 mixer " "Found entity 1: mixer" { } { { "mixer.v" "" { Text "C:/FPGA/mixer.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578260 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578260 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mux16.v 1 1 " "Found 1 design units, including 1 entities, in source file mux16.v" { { "Info" "ISGN_ENTITY_NAME" "1 mux16 " "Found entity 1: mux16" { } { { "mux16.v" "" { Text "C:/FPGA/mux16.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578279 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578279 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "main_pll.v 1 1 " "Found 1 design units, including 1 entities, in source file main_pll.v" { { "Info" "ISGN_ENTITY_NAME" "1 MAIN_PLL " "Found entity 1: MAIN_PLL" { } { { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578299 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578299 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mux14.v 1 1 " "Found 1 design units, including 1 entities, in source file mux14.v" { { "Info" "ISGN_ENTITY_NAME" "1 mux14 " "Found entity 1: mux14" { } { { "mux14.v" "" { Text "C:/FPGA/mux14.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578318 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578318 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "mux1.v 1 1 " "Found 1 design units, including 1 entities, in source file mux1.v" { { "Info" "ISGN_ENTITY_NAME" "1 mux1 " "Found entity 1: mux1" { } { { "mux1.v" "" { Text "C:/FPGA/mux1.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578337 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578337 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_mixer.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_mixer.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_mixer " "Found entity 1: tx_mixer" { } { { "tx_mixer.v" "" { Text "C:/FPGA/tx_mixer.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578357 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578357 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_summator.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_summator.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_summator " "Found entity 1: tx_summator" { } { { "tx_summator.v" "" { Text "C:/FPGA/tx_summator.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578377 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578377 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "adc_latch.v 1 1 " "Found 1 design units, including 1 entities, in source file adc_latch.v" { { "Info" "ISGN_ENTITY_NAME" "1 ADC_Latch " "Found entity 1: ADC_Latch" { } { { "ADC_Latch.v" "" { Text "C:/FPGA/ADC_Latch.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578399 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578399 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "dac_null.v 1 1 " "Found 1 design units, including 1 entities, in source file dac_null.v" { { "Info" "ISGN_ENTITY_NAME" "1 dac_null " "Found entity 1: dac_null" { } { { "dac_null.v" "" { Text "C:/FPGA/dac_null.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578417 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578417 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp.v 1 1 " "Found 1 design units, including 1 entities, in source file rx_ciccomp.v" { { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp " "Found entity 1: rx_ciccomp" { } { { "rx_ciccomp.v" "" { Text "C:/FPGA/rx_ciccomp.v" 8 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603578426 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603578426 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/dspba_library_package.vhd 1 0 " "Found 1 design units, including 0 entities, in source file rx_ciccomp/dspba_library_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_library_package (rx_ciccomp) " "Found design unit 1: dspba_library_package (rx_ciccomp)" { } { { "rx_ciccomp/dspba_library_package.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library_package.vhd" 17 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579862 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579862 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/dspba_library.vhd 6 3 " "Found 6 design units, including 3 entities, in source file rx_ciccomp/dspba_library.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_delay-delay " "Found design unit 1: dspba_delay-delay" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 34 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579878 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 dspba_sync_reg-sync_reg " "Found design unit 2: dspba_sync_reg-sync_reg" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 117 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579878 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 dspba_pipe-rtl " "Found design unit 3: dspba_pipe-rtl" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 356 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579878 ""} { "Info" "ISGN_ENTITY_NAME" "1 dspba_delay " "Found entity 1: dspba_delay" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579878 ""} { "Info" "ISGN_ENTITY_NAME" "2 dspba_sync_reg " "Found entity 2: dspba_sync_reg" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 93 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579878 ""} { "Info" "ISGN_ENTITY_NAME" "3 dspba_pipe " "Found entity 3: dspba_pipe" { } { { "rx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/rx_ciccomp/dspba_library.vhd" 343 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579878 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579878 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd 2 0 " "Found 2 design units, including 0 entities, in source file rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg_hpfir (rx_ciccomp) " "Found design unit 1: auk_dspip_math_pkg_hpfir (rx_ciccomp)" { } { { "rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 54 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579896 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg_hpfir-body " "Found design unit 2: auk_dspip_math_pkg_hpfir-body" { } { { "rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 131 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579896 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579896 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd 1 0 " "Found 1 design units, including 0 entities, in source file rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg_hpfir (rx_ciccomp) " "Found design unit 1: auk_dspip_lib_pkg_hpfir (rx_ciccomp)" { } { { "rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579914 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579914 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller_hpfir-struct" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579931 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir " "Found entity 1: auk_dspip_avalon_streaming_controller_hpfir" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579931 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579931 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink_hpfir-rtl" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 106 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579949 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir " "Found entity 1: auk_dspip_avalon_streaming_sink_hpfir" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579949 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579949 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source_hpfir-rtl" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 109 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579967 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source_hpfir " "Found entity 1: auk_dspip_avalon_streaming_source_hpfir" { } { { "rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 70 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579967 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579967 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/auk_dspip_roundsat_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat_hpfir-beh " "Found design unit 1: auk_dspip_roundsat_hpfir-beh" { } { { "rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579982 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat_hpfir " "Found entity 1: auk_dspip_roundsat_hpfir" { } { { "rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/rx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603579982 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603579982 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/altera_avalon_sc_fifo.v 1 1 " "Found 1 design units, including 1 entities, in source file rx_ciccomp/altera_avalon_sc_fifo.v" { { "Info" "ISGN_ENTITY_NAME" "1 altera_avalon_sc_fifo " "Found entity 1: altera_avalon_sc_fifo" { } { { "rx_ciccomp/altera_avalon_sc_fifo.v" "" { Text "C:/FPGA/rx_ciccomp/altera_avalon_sc_fifo.v" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580012 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580012 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 rx_ciccomp_0002_rtl_core-normal " "Found design unit 1: rx_ciccomp_0002_rtl_core-normal" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580029 ""} { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp_0002_rtl_core " "Found entity 1: rx_ciccomp_0002_rtl_core" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 34 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580029 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580029 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/rx_ciccomp_0002_ast.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002_ast.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 rx_ciccomp_0002_ast-struct " "Found design unit 1: rx_ciccomp_0002_ast-struct" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580046 ""} { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp_0002_ast " "Found entity 1: rx_ciccomp_0002_ast" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580046 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580046 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "rx_ciccomp/rx_ciccomp_0002.vhd 2 1 " "Found 2 design units, including 1 entities, in source file rx_ciccomp/rx_ciccomp_0002.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 rx_ciccomp_0002-syn " "Found design unit 1: rx_ciccomp_0002-syn" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 33 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580062 ""} { "Info" "ISGN_ENTITY_NAME" "1 rx_ciccomp_0002 " "Found entity 1: rx_ciccomp_0002" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580062 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580062 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_ciccomp.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp " "Found entity 1: tx_ciccomp" { } { { "tx_ciccomp.v" "" { Text "C:/FPGA/tx_ciccomp.v" 8 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580072 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580072 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/dspba_library_package.vhd 1 0 " "Found 1 design units, including 0 entities, in source file tx_ciccomp/dspba_library_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_library_package (tx_ciccomp) " "Found design unit 1: dspba_library_package (tx_ciccomp)" { } { { "tx_ciccomp/dspba_library_package.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library_package.vhd" 17 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580083 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580083 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/dspba_library.vhd 6 3 " "Found 6 design units, including 3 entities, in source file tx_ciccomp/dspba_library.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 dspba_delay-delay " "Found design unit 1: dspba_delay-delay" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 34 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580100 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 dspba_sync_reg-sync_reg " "Found design unit 2: dspba_sync_reg-sync_reg" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 117 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580100 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 dspba_pipe-rtl " "Found design unit 3: dspba_pipe-rtl" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 356 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580100 ""} { "Info" "ISGN_ENTITY_NAME" "1 dspba_delay " "Found entity 1: dspba_delay" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580100 ""} { "Info" "ISGN_ENTITY_NAME" "2 dspba_sync_reg " "Found entity 2: dspba_sync_reg" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 93 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580100 ""} { "Info" "ISGN_ENTITY_NAME" "3 dspba_pipe " "Found entity 3: dspba_pipe" { } { { "tx_ciccomp/dspba_library.vhd" "" { Text "C:/FPGA/tx_ciccomp/dspba_library.vhd" 343 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580100 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580100 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd 2 0 " "Found 2 design units, including 0 entities, in source file tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg_hpfir (tx_ciccomp) " "Found design unit 1: auk_dspip_math_pkg_hpfir (tx_ciccomp)" { } { { "tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 54 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580118 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg_hpfir-body " "Found design unit 2: auk_dspip_math_pkg_hpfir-body" { } { { "tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_math_pkg_hpfir.vhd" 131 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580118 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580118 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd 1 0 " "Found 1 design units, including 0 entities, in source file tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg_hpfir (tx_ciccomp) " "Found design unit 1: auk_dspip_lib_pkg_hpfir (tx_ciccomp)" { } { { "tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_lib_pkg_hpfir.vhd" 22 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580137 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580137 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller_hpfir-struct" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580146 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller_hpfir " "Found entity 1: auk_dspip_avalon_streaming_controller_hpfir" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_controller_hpfir.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580146 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580146 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink_hpfir-rtl" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 106 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580162 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink_hpfir " "Found entity 1: auk_dspip_avalon_streaming_sink_hpfir" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_sink_hpfir.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580162 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580162 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source_hpfir-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source_hpfir-rtl" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 109 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580179 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source_hpfir " "Found entity 1: auk_dspip_avalon_streaming_source_hpfir" { } { { "tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_avalon_streaming_source_hpfir.vhd" 70 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580179 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580179 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/auk_dspip_roundsat_hpfir.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat_hpfir-beh " "Found design unit 1: auk_dspip_roundsat_hpfir-beh" { } { { "tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580188 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat_hpfir " "Found entity 1: auk_dspip_roundsat_hpfir" { } { { "tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" "" { Text "C:/FPGA/tx_ciccomp/auk_dspip_roundsat_hpfir.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580188 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580188 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/altera_avalon_sc_fifo.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_ciccomp/altera_avalon_sc_fifo.v" { { "Info" "ISGN_ENTITY_NAME" "1 altera_avalon_sc_fifo " "Found entity 1: altera_avalon_sc_fifo" { } { { "tx_ciccomp/altera_avalon_sc_fifo.v" "" { Text "C:/FPGA/tx_ciccomp/altera_avalon_sc_fifo.v" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580218 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580218 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 tx_ciccomp_0002_rtl_core-normal " "Found design unit 1: tx_ciccomp_0002_rtl_core-normal" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580236 ""} { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp_0002_rtl_core " "Found entity 1: tx_ciccomp_0002_rtl_core" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 34 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580236 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580236 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/tx_ciccomp_0002_ast.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002_ast.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 tx_ciccomp_0002_ast-struct " "Found design unit 1: tx_ciccomp_0002_ast-struct" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580252 ""} { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp_0002_ast " "Found entity 1: tx_ciccomp_0002_ast" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580252 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580252 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_ciccomp/tx_ciccomp_0002.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tx_ciccomp/tx_ciccomp_0002.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 tx_ciccomp_0002-syn " "Found design unit 1: tx_ciccomp_0002-syn" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 33 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580268 ""} { "Info" "ISGN_ENTITY_NAME" "1 tx_ciccomp_0002 " "Found entity 1: tx_ciccomp_0002" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580268 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580268 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "diffclock_buff.v 2 2 " "Found 2 design units, including 2 entities, in source file diffclock_buff.v" { { "Info" "ISGN_ENTITY_NAME" "1 diffclock_buff_iobuf_in_k0j " "Found entity 1: diffclock_buff_iobuf_in_k0j" { } { { "diffclock_buff.v" "" { Text "C:/FPGA/diffclock_buff.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580386 ""} { "Info" "ISGN_ENTITY_NAME" "2 diffclock_buff " "Found entity 2: diffclock_buff" { } { { "diffclock_buff.v" "" { Text "C:/FPGA/diffclock_buff.v" 82 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580386 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580386 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "dcdc_pll.v 1 1 " "Found 1 design units, including 1 entities, in source file dcdc_pll.v" { { "Info" "ISGN_ENTITY_NAME" "1 dcdc_pll " "Found entity 1: dcdc_pll" { } { { "dcdc_pll.v" "" { Text "C:/FPGA/dcdc_pll.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580409 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580409 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tx_pll.v 1 1 " "Found 1 design units, including 1 entities, in source file tx_pll.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_pll " "Found entity 1: tx_pll" { } { { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580430 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580430 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/clock_buffer/clock_buffer.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/clock_buffer/clock_buffer.v" { { "Info" "ISGN_ENTITY_NAME" "1 clock_buffer " "Found entity 1: clock_buffer" { } { { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580450 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580450 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v 2 2 " "Found 2 design units, including 2 entities, in source file db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" { { "Info" "ISGN_ENTITY_NAME" "1 clock_buffer_altclkctrl_0_sub " "Found entity 1: clock_buffer_altclkctrl_0_sub" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 28 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580541 ""} { "Info" "ISGN_ENTITY_NAME" "2 clock_buffer_altclkctrl_0 " "Found entity 2: clock_buffer_altclkctrl_0" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 89 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580541 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580541 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/rx_cic.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/rx_cic.v" { { "Info" "ISGN_ENTITY_NAME" "1 rx_cic " "Found entity 1: rx_cic" { } { { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603580562 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603580562 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_core.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_core.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_core " "Found entity 1: alt_cic_core" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603581437 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603581437 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_dec_miso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_dec_miso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_miso " "Found entity 1: alt_cic_dec_miso" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_miso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_miso.sv" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603581599 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603581599 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_siso " "Found entity 1: alt_cic_dec_siso" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603581757 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603581757 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_int_simo.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_int_simo.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_simo " "Found entity 1: alt_cic_int_simo" { } { { "db/ip/rx_cic/submodules/alt_cic_int_simo.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_int_simo.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603581918 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603581918 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_cic_int_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/alt_cic_int_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_siso " "Found entity 1: alt_cic_int_siso" { } { { "db/ip/rx_cic/submodules/alt_cic_int_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_int_siso.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582060 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582060 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 alt_dsp_cic_common_pkg (SystemVerilog) (rx_cic) " "Found design unit 1: alt_dsp_cic_common_pkg (SystemVerilog) (rx_cic)" { } { { "db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_dsp_cic_common_pkg.sv" 14 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582178 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582178 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller-struct" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 53 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582327 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller " "Found entity 1: auk_dspip_avalon_streaming_controller" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582327 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582327 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582450 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink " "Found entity 1: auk_dspip_avalon_streaming_sink" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582450 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582450 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_small_fifo-arch " "Found design unit 1: auk_dspip_avalon_streaming_small_fifo-arch" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 50 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582576 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_small_fifo " "Found entity 1: auk_dspip_avalon_streaming_small_fifo" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582576 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582576 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582701 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source " "Found entity 1: auk_dspip_avalon_streaming_source" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582701 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582701 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_channel_buffer-SYN " "Found design unit 1: auk_dspip_channel_buffer-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582827 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_channel_buffer " "Found entity 1: auk_dspip_channel_buffer" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582827 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582827 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_cic_lib_pkg (rx_cic) " "Found design unit 1: auk_dspip_cic_lib_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" 23 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582941 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582941 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_delay.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_delay.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_delay-rtl " "Found design unit 1: auk_dspip_delay-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_delay.vhd" 79 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582955 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_delay " "Found entity 1: auk_dspip_delay" { } { { "db/ip/rx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_delay.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603582955 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603582955 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_differentiator-SYN " "Found design unit 1: auk_dspip_differentiator-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583092 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_differentiator " "Found entity 1: auk_dspip_differentiator" { } { { "db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_differentiator.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583092 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583092 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_downsample " "Found entity 1: auk_dspip_downsample" { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583216 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583216 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastadd-beh " "Found design unit 1: auk_dspip_fastadd-beh" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" 36 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583223 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastadd " "Found entity 1: auk_dspip_fastadd" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastadd.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583223 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583223 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastaddsub-beh " "Found design unit 1: auk_dspip_fastaddsub-beh" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" 87 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583229 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastaddsub " "Found entity 1: auk_dspip_fastaddsub" { } { { "db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_fastaddsub.vhd" 69 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583229 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583229 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_integrator-SYN " "Found design unit 1: auk_dspip_integrator-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583354 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_integrator " "Found entity 1: auk_dspip_integrator" { } { { "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" 53 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583354 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583354 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg (rx_cic) " "Found design unit 1: auk_dspip_lib_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_lib_pkg.vhd" 28 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583368 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583368 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg (rx_cic) " "Found design unit 1: auk_dspip_math_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" 51 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583385 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg-body " "Found design unit 2: auk_dspip_math_pkg-body" { } { { "db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_math_pkg.vhd" 128 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583385 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583385 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_pipelined_adder-rtl " "Found design unit 1: auk_dspip_pipelined_adder-rtl" { } { { "db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583401 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_pipelined_adder " "Found entity 1: auk_dspip_pipelined_adder" { } { { "db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_pipelined_adder.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583401 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583401 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat-beh " "Found design unit 1: auk_dspip_roundsat-beh" { } { { "db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583415 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat " "Found entity 1: auk_dspip_roundsat" { } { { "db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_roundsat.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583415 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583415 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_text_pkg (rx_cic) " "Found design unit 1: auk_dspip_text_pkg (rx_cic)" { } { { "db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" 60 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583421 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_text_pkg-body " "Found design unit 2: auk_dspip_text_pkg-body" { } { { "db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_text_pkg.vhd" 76 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583421 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583421 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_upsample.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_upsample-SYN " "Found design unit 1: auk_dspip_upsample-SYN" { } { { "db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" 59 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583527 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_upsample " "Found entity 1: auk_dspip_upsample" { } { { "db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_upsample.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583527 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583527 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_variable_downsample " "Found entity 1: auk_dspip_variable_downsample" { } { { "db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_variable_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583650 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583650 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/counter_module.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/counter_module.sv" { { "Info" "ISGN_ENTITY_NAME" "1 counter_module " "Found entity 1: counter_module" { } { { "db/ip/rx_cic/submodules/counter_module.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/counter_module.sv" 17 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583788 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583788 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/hyper_pipeline_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/hyper_pipeline_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 hyper_pipeline_interface " "Found entity 1: hyper_pipeline_interface" { } { { "db/ip/rx_cic/submodules/hyper_pipeline_interface.v" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/hyper_pipeline_interface.v" 20 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583911 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583911 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" { { "Info" "ISGN_ENTITY_NAME" "1 rx_cic_cic_ii_0 " "Found entity 1: rx_cic_cic_ii_0" { } { { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603583935 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603583935 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_core.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_core.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_core " "Found entity 1: alt_cic_core" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603584105 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603584105 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_dec_miso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_dec_miso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_miso " "Found entity 1: alt_cic_dec_miso" { } { { "db/ip/tx_cic/submodules/alt_cic_dec_miso.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_dec_miso.sv" 21 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603584281 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603584281 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_dec_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_dec_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_dec_siso " "Found entity 1: alt_cic_dec_siso" { } { { "db/ip/tx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_dec_siso.sv" 18 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603584450 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603584450 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_int_simo.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_int_simo.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_simo " "Found entity 1: alt_cic_int_simo" { } { { "db/ip/tx_cic/submodules/alt_cic_int_simo.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_simo.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603584622 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603584622 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_cic_int_siso.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/alt_cic_int_siso.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_cic_int_siso " "Found entity 1: alt_cic_int_siso" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603584778 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603584778 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 alt_dsp_cic_common_pkg (SystemVerilog) (tx_cic) " "Found design unit 1: alt_dsp_cic_common_pkg (SystemVerilog) (tx_cic)" { } { { "db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_dsp_cic_common_pkg.sv" 14 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603584900 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603584900 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_controller-struct " "Found design unit 1: auk_dspip_avalon_streaming_controller-struct" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 53 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585038 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_controller " "Found entity 1: auk_dspip_avalon_streaming_controller" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585038 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585038 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_sink-rtl " "Found design unit 1: auk_dspip_avalon_streaming_sink-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 64 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585165 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_sink " "Found entity 1: auk_dspip_avalon_streaming_sink" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585165 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585165 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_small_fifo-arch " "Found design unit 1: auk_dspip_avalon_streaming_small_fifo-arch" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 50 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585287 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_small_fifo " "Found entity 1: auk_dspip_avalon_streaming_small_fifo" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_small_fifo.vhd" 27 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585287 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585287 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_avalon_streaming_source-rtl " "Found design unit 1: auk_dspip_avalon_streaming_source-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 57 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585423 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_avalon_streaming_source " "Found entity 1: auk_dspip_avalon_streaming_source" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585423 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585423 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_channel_buffer-SYN " "Found design unit 1: auk_dspip_channel_buffer-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" 47 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585540 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_channel_buffer " "Found entity 1: auk_dspip_channel_buffer" { } { { "db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_channel_buffer.vhd" 26 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585540 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585540 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_cic_lib_pkg (tx_cic) " "Found design unit 1: auk_dspip_cic_lib_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_cic_lib_pkg.vhd" 23 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585660 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585660 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_delay.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_delay.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_delay-rtl " "Found design unit 1: auk_dspip_delay-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_delay.vhd" 79 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585674 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_delay " "Found entity 1: auk_dspip_delay" { } { { "db/ip/tx_cic/submodules/auk_dspip_delay.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_delay.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585674 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585674 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_differentiator-SYN " "Found design unit 1: auk_dspip_differentiator-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585802 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_differentiator " "Found entity 1: auk_dspip_differentiator" { } { { "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585802 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585802 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_downsample " "Found entity 1: auk_dspip_downsample" { } { { "db/ip/tx_cic/submodules/auk_dspip_downsample.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585930 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585930 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastadd-beh " "Found design unit 1: auk_dspip_fastadd-beh" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" 36 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585936 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastadd " "Found entity 1: auk_dspip_fastadd" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastadd.vhd" 19 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585936 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585936 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_fastaddsub-beh " "Found design unit 1: auk_dspip_fastaddsub-beh" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" 87 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585942 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_fastaddsub " "Found entity 1: auk_dspip_fastaddsub" { } { { "db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_fastaddsub.vhd" 69 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603585942 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603585942 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_integrator.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_integrator-SYN " "Found design unit 1: auk_dspip_integrator-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586075 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_integrator " "Found entity 1: auk_dspip_integrator" { } { { "db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_integrator.vhd" 53 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586075 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586075 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd 1 0 " "Found 1 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_lib_pkg (tx_cic) " "Found design unit 1: auk_dspip_lib_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_lib_pkg.vhd" 28 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586089 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586089 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_math_pkg (tx_cic) " "Found design unit 1: auk_dspip_math_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" 51 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586103 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_math_pkg-body " "Found design unit 2: auk_dspip_math_pkg-body" { } { { "db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_math_pkg.vhd" 128 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586103 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586103 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_pipelined_adder-rtl " "Found design unit 1: auk_dspip_pipelined_adder-rtl" { } { { "db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586129 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_pipelined_adder " "Found entity 1: auk_dspip_pipelined_adder" { } { { "db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_pipelined_adder.vhd" 57 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586129 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586129 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_roundsat-beh " "Found design unit 1: auk_dspip_roundsat-beh" { } { { "db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586146 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_roundsat " "Found entity 1: auk_dspip_roundsat" { } { { "db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_roundsat.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586146 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586146 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd 2 0 " "Found 2 design units, including 0 entities, in source file db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_text_pkg (tx_cic) " "Found design unit 1: auk_dspip_text_pkg (tx_cic)" { } { { "db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" 60 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586152 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 auk_dspip_text_pkg-body " "Found design unit 2: auk_dspip_text_pkg-body" { } { { "db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_text_pkg.vhd" 76 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586152 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586152 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_upsample.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 auk_dspip_upsample-SYN " "Found design unit 1: auk_dspip_upsample-SYN" { } { { "db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" 59 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586268 ""} { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_upsample " "Found entity 1: auk_dspip_upsample" { } { { "db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_upsample.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586268 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586268 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv" { { "Info" "ISGN_ENTITY_NAME" "1 auk_dspip_variable_downsample " "Found entity 1: auk_dspip_variable_downsample" { } { { "db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_variable_downsample.sv" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586399 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586399 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/counter_module.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/counter_module.sv" { { "Info" "ISGN_ENTITY_NAME" "1 counter_module " "Found entity 1: counter_module" { } { { "db/ip/tx_cic/submodules/counter_module.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/counter_module.sv" 17 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586534 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586534 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/hyper_pipeline_interface.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/hyper_pipeline_interface.v" { { "Info" "ISGN_ENTITY_NAME" "1 hyper_pipeline_interface " "Found entity 1: hyper_pipeline_interface" { } { { "db/ip/tx_cic/submodules/hyper_pipeline_interface.v" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/hyper_pipeline_interface.v" 20 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586659 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586659 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" { { "Info" "ISGN_ENTITY_NAME" "1 tx_cic_cic_ii_0 " "Found entity 1: tx_cic_cic_ii_0" { } { { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586685 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586685 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_cic/tx_cic.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_cic/tx_cic.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_cic " "Found entity 1: tx_cic" { } { { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603586709 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603586709 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_altqmcpipe.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_altqmcpipe.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_altqmcpipe " "Found entity 1: asj_altqmcpipe" { } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 28 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603587963 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603587963 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_gam_dp.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_gam_dp.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_gam_dp " "Found entity 1: asj_gam_dp" { } { { "db/ip/tx_nco/submodules/asj_gam_dp.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_gam_dp.v" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603588114 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603588114 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_cen " "Found entity 1: asj_nco_as_m_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603588245 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603588245 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_dp_cen " "Found entity 1: asj_nco_as_m_dp_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 63 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603588396 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603588396 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_derot.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_derot.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_derot " "Found entity 1: asj_nco_derot" { } { { "db/ip/tx_nco/submodules/asj_nco_derot.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_derot.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603588543 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603588543 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_isdr.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_isdr.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_isdr " "Found entity 1: asj_nco_isdr" { } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603588727 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603588727 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_madx_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_madx_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_madx_cen " "Found entity 1: asj_nco_madx_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603588855 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603588855 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_mady_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_mady_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mady_cen " "Found entity 1: asj_nco_mady_cen" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589001 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589001 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/asj_nco_mob_w.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/asj_nco_mob_w.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mob_w " "Found entity 1: asj_nco_mob_w" { } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589147 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589147 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_nco_nco_ii_0 " "Found entity 1: tx_nco_nco_ii_0" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 23 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589172 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589172 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/tx_nco/tx_nco.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/tx_nco/tx_nco.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_nco " "Found entity 1: tx_nco" { } { { "db/ip/tx_nco/tx_nco.v" "" { Text "C:/FPGA/db/ip/tx_nco/tx_nco.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589194 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589194 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/nco.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/nco.v" { { "Info" "ISGN_ENTITY_NAME" "1 nco " "Found entity 1: nco" { } { { "db/ip/nco/nco.v" "" { Text "C:/FPGA/db/ip/nco/nco.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589218 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589218 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_altqmcpipe.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_altqmcpipe.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_altqmcpipe " "Found entity 1: asj_altqmcpipe" { } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 28 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589364 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589364 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_gam_dp.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_gam_dp.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_gam_dp " "Found entity 1: asj_gam_dp" { } { { "db/ip/nco/submodules/asj_gam_dp.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_gam_dp.v" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589495 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589495 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_as_m_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_as_m_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_cen " "Found entity 1: asj_nco_as_m_cen" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589627 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589627 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_as_m_dp_cen " "Found entity 1: asj_nco_as_m_dp_cen" { } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 63 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589783 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589783 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_derot.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_derot.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_derot " "Found entity 1: asj_nco_derot" { } { { "db/ip/nco/submodules/asj_nco_derot.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_derot.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603589916 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603589916 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_isdr.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_isdr.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_isdr " "Found entity 1: asj_nco_isdr" { } { { "db/ip/nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590048 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590048 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_madx_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_madx_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_madx_cen " "Found entity 1: asj_nco_madx_cen" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590189 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590189 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_mady_cen.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_mady_cen.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mady_cen " "Found entity 1: asj_nco_mady_cen" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 15 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590322 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590322 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/asj_nco_mob_w.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/asj_nco_mob_w.v" { { "Info" "ISGN_ENTITY_NAME" "1 asj_nco_mob_w " "Found entity 1: asj_nco_mob_w" { } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590464 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590464 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/nco/submodules/nco_nco_ii_0.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/nco/submodules/nco_nco_ii_0.v" { { "Info" "ISGN_ENTITY_NAME" "1 nco_nco_ii_0 " "Found entity 1: nco_nco_ii_0" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 23 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590489 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590489 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug/debug.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug/debug.v" { { "Info" "ISGN_ENTITY_NAME" "1 DEBUG " "Found entity 1: DEBUG" { } { { "db/ip/debug/debug.v" "" { Text "C:/FPGA/db/ip/debug/debug.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590514 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590514 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug/submodules/altsource_probe_top.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug/submodules/altsource_probe_top.v" { { "Info" "ISGN_ENTITY_NAME" "1 altsource_probe_top " "Found entity 1: altsource_probe_top" { } { { "db/ip/debug/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590532 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590532 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug2/debug2.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug2/debug2.v" { { "Info" "ISGN_ENTITY_NAME" "1 DEBUG2 " "Found entity 1: DEBUG2" { } { { "db/ip/debug2/debug2.v" "" { Text "C:/FPGA/db/ip/debug2/debug2.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590556 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590556 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/debug2/submodules/altsource_probe_top.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/debug2/submodules/altsource_probe_top.v" { { "Info" "ISGN_ENTITY_NAME" "1 altsource_probe_top " "Found entity 1: altsource_probe_top" { } { { "db/ip/debug2/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug2/submodules/altsource_probe_top.v" 14 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603590576 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603590576 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "WOLF-LITE " "Elaborating entity \"WOLF-LITE\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1616603594175 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "stm32_interface stm32_interface:STM32_INTERFACE " "Elaborating entity \"stm32_interface\" for hierarchy \"stm32_interface:STM32_INTERFACE\"" { } { { "WOLF-LITE.bdf" "STM32_INTERFACE" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 144 3088 3376 704 "STM32_INTERFACE" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603594279 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_summator tx_summator:TX_SUMMATOR " "Elaborating entity \"tx_summator\" for hierarchy \"tx_summator:TX_SUMMATOR\"" { } { { "WOLF-LITE.bdf" "TX_SUMMATOR" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 5544 5704 280 "TX_SUMMATOR" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603594649 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "tx_summator.v" "LPM_ADD_SUB_component" { Text "C:/FPGA/tx_summator.v" 73 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603595025 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborated megafunction instantiation \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "tx_summator.v" "" { Text "C:/FPGA/tx_summator.v" 73 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603595074 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component " "Instantiated megafunction \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595075 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595075 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595075 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595075 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595075 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 32 " "Parameter \"lpm_width\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595075 ""} } { { "tx_summator.v" "" { Text "C:/FPGA/tx_summator.v" 73 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603595075 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_1vk.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_1vk.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_1vk " "Found entity 1: add_sub_1vk" { } { { "db/add_sub_1vk.tdf" "" { Text "C:/FPGA/db/add_sub_1vk.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603595234 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603595234 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_1vk tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_1vk:auto_generated " "Elaborating entity \"add_sub_1vk\" for hierarchy \"tx_summator:TX_SUMMATOR\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_1vk:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603595247 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_pll tx_pll:TX_PLL " "Elaborating entity \"tx_pll\" for hierarchy \"tx_pll:TX_PLL\"" { } { { "WOLF-LITE.bdf" "TX_PLL" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603595361 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll tx_pll:TX_PLL\|altpll:altpll_component " "Elaborating entity \"altpll\" for hierarchy \"tx_pll:TX_PLL\|altpll:altpll_component\"" { } { { "tx_pll.v" "altpll_component" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603595728 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_pll:TX_PLL\|altpll:altpll_component " "Elaborated megafunction instantiation \"tx_pll:TX_PLL\|altpll:altpll_component\"" { } { { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603595765 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_pll:TX_PLL\|altpll:altpll_component " "Instantiated megafunction \"tx_pll:TX_PLL\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Parameter \"bandwidth_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 2 " "Parameter \"clk0_divide_by\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Parameter \"clk0_duty_cycle\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 5 " "Parameter \"clk0_multiply_by\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Parameter \"clk0_phase_shift\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Parameter \"compensate_clock\" = \"CLK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 15547 " "Parameter \"inclk0_input_frequency\" = \"15547\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint CBX_MODULE_PREFIX=tx_pll " "Parameter \"lpm_hint\" = \"CBX_MODULE_PREFIX=tx_pll\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Parameter \"lpm_type\" = \"altpll\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Parameter \"operation_mode\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Parameter \"pll_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_activeclock PORT_UNUSED " "Parameter \"port_activeclock\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_areset PORT_UNUSED " "Parameter \"port_areset\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad0 PORT_UNUSED " "Parameter \"port_clkbad0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad1 PORT_UNUSED " "Parameter \"port_clkbad1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkloss PORT_UNUSED " "Parameter \"port_clkloss\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkswitch PORT_UNUSED " "Parameter \"port_clkswitch\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_configupdate PORT_UNUSED " "Parameter \"port_configupdate\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_fbin PORT_UNUSED " "Parameter \"port_fbin\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk0 PORT_USED " "Parameter \"port_inclk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk1 PORT_UNUSED " "Parameter \"port_inclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_locked PORT_UNUSED " "Parameter \"port_locked\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pfdena PORT_UNUSED " "Parameter \"port_pfdena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasecounterselect PORT_UNUSED " "Parameter \"port_phasecounterselect\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasedone PORT_UNUSED " "Parameter \"port_phasedone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasestep PORT_UNUSED " "Parameter \"port_phasestep\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phaseupdown PORT_UNUSED " "Parameter \"port_phaseupdown\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pllena PORT_UNUSED " "Parameter \"port_pllena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanaclr PORT_UNUSED " "Parameter \"port_scanaclr\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclk PORT_UNUSED " "Parameter \"port_scanclk\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclkena PORT_UNUSED " "Parameter \"port_scanclkena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandata PORT_UNUSED " "Parameter \"port_scandata\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandataout PORT_UNUSED " "Parameter \"port_scandataout\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandone PORT_UNUSED " "Parameter \"port_scandone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanread PORT_UNUSED " "Parameter \"port_scanread\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanwrite PORT_UNUSED " "Parameter \"port_scanwrite\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk0 PORT_USED " "Parameter \"port_clk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk1 PORT_UNUSED " "Parameter \"port_clk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk2 PORT_UNUSED " "Parameter \"port_clk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk3 PORT_UNUSED " "Parameter \"port_clk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk4 PORT_UNUSED " "Parameter \"port_clk4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk5 PORT_UNUSED " "Parameter \"port_clk5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena0 PORT_UNUSED " "Parameter \"port_clkena0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena1 PORT_UNUSED " "Parameter \"port_clkena1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena2 PORT_UNUSED " "Parameter \"port_clkena2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena3 PORT_UNUSED " "Parameter \"port_clkena3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena4 PORT_UNUSED " "Parameter \"port_clkena4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena5 PORT_UNUSED " "Parameter \"port_clkena5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk0 PORT_UNUSED " "Parameter \"port_extclk0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk1 PORT_UNUSED " "Parameter \"port_extclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk2 PORT_UNUSED " "Parameter \"port_extclk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk3 PORT_UNUSED " "Parameter \"port_extclk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_clock 5 " "Parameter \"width_clock\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603595766 ""} } { { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603595766 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/tx_pll_altpll.v 1 1 " "Found 1 design units, including 1 entities, in source file db/tx_pll_altpll.v" { { "Info" "ISGN_ENTITY_NAME" "1 tx_pll_altpll " "Found entity 1: tx_pll_altpll" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 29 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603595944 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603595944 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_pll_altpll tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated " "Elaborating entity \"tx_pll_altpll\" for hierarchy \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\"" { } { { "altpll.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603595963 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "clock_buffer clock_buffer:SYSCLK_BUFFER " "Elaborating entity \"clock_buffer\" for hierarchy \"clock_buffer:SYSCLK_BUFFER\"" { } { { "WOLF-LITE.bdf" "SYSCLK_BUFFER" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596081 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "clock_buffer_altclkctrl_0 clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0 " "Elaborating entity \"clock_buffer_altclkctrl_0\" for hierarchy \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\"" { } { { "db/ip/clock_buffer/clock_buffer.v" "altclkctrl_0" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596121 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "clock_buffer_altclkctrl_0_sub clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component " "Elaborating entity \"clock_buffer_altclkctrl_0_sub\" for hierarchy \"clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\"" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "clock_buffer_altclkctrl_0_sub_component" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596157 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_mixer tx_mixer:TX_MIXER_I " "Elaborating entity \"tx_mixer\" for hierarchy \"tx_mixer:TX_MIXER_I\"" { } { { "WOLF-LITE.bdf" "TX_MIXER_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 56 5272 5440 184 "TX_MIXER_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596211 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborating entity \"lpm_mult\" for hierarchy \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "tx_mixer.v" "lpm_mult_component" { Text "C:/FPGA/tx_mixer.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596582 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborated megafunction instantiation \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "tx_mixer.v" "" { Text "C:/FPGA/tx_mixer.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596613 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component " "Instantiated megafunction \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9 " "Parameter \"lpm_hint\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603596613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603596613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603596613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_MULT " "Parameter \"lpm_type\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603596613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widtha 16 " "Parameter \"lpm_widtha\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603596613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthb 16 " "Parameter \"lpm_widthb\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603596613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthp 32 " "Parameter \"lpm_widthp\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603596613 ""} } { { "tx_mixer.v" "" { Text "C:/FPGA/tx_mixer.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603596613 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_abt.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_abt.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_abt " "Found entity 1: mult_abt" { } { { "db/mult_abt.tdf" "" { Text "C:/FPGA/db/mult_abt.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603596769 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603596769 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_abt tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated " "Elaborating entity \"mult_abt\" for hierarchy \"tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596786 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_cic tx_cic:TX_CIC_I " "Elaborating entity \"tx_cic\" for hierarchy \"tx_cic:TX_CIC_I\"" { } { { "WOLF-LITE.bdf" "TX_CIC_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596891 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_cic_cic_ii_0 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0 " "Elaborating entity \"tx_cic_cic_ii_0\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\"" { } { { "db/ip/tx_cic/tx_cic.v" "cic_ii_0" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603596945 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_core tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core " "Elaborating entity \"alt_cic_core\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\"" { } { { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "core" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603597053 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "input_sink" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603597222 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "sink_FIFO" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603598137 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborated megafunction instantiation \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603598160 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Instantiated megafunction \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 4 " "Parameter \"almost_empty_value\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 0 " "Parameter \"almost_full_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 8 " "Parameter \"lpm_numwords\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 18 " "Parameter \"lpm_width\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 3 " "Parameter \"lpm_widthu\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603598160 ""} } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603598160 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_gf71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_gf71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_gf71 " "Found entity 1: scfifo_gf71" { } { { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603598326 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603598326 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_gf71 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated " "Elaborating entity \"scfifo_gf71\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603598346 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_1lv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_1lv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_1lv " "Found entity 1: a_dpfifo_1lv" { } { { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603598433 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603598433 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_1lv tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo " "Elaborating entity \"a_dpfifo_1lv\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\"" { } { { "db/scfifo_gf71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603598461 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_l7h1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_l7h1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_l7h1 " "Found entity 1: altsyncram_l7h1" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603598606 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603598606 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_l7h1 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram " "Elaborating entity \"altsyncram_l7h1\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\"" { } { { "db/a_dpfifo_1lv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603598646 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_gs8.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_gs8.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_gs8 " "Found entity 1: cmpr_gs8" { } { { "db/cmpr_gs8.tdf" "" { Text "C:/FPGA/db/cmpr_gs8.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603598797 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603598797 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_gs8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:almost_full_comparer " "Elaborating entity \"cmpr_gs8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:almost_full_comparer\"" { } { { "db/a_dpfifo_1lv.tdf" "almost_full_comparer" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 52 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603598840 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_gs8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:two_comparison " "Elaborating entity \"cmpr_gs8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cmpr_gs8:two_comparison\"" { } { { "db/a_dpfifo_1lv.tdf" "two_comparison" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 53 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603598910 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_r9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_r9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_r9b " "Found entity 1: cntr_r9b" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603599040 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603599040 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_r9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_r9b:rd_ptr_msb " "Elaborating entity \"cntr_r9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_r9b:rd_ptr_msb\"" { } { { "db/a_dpfifo_1lv.tdf" "rd_ptr_msb" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 54 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603599079 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_8a7.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_8a7.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_8a7 " "Found entity 1: cntr_8a7" { } { { "db/cntr_8a7.tdf" "" { Text "C:/FPGA/db/cntr_8a7.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603599211 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603599211 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_8a7 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_8a7:usedw_counter " "Elaborating entity \"cntr_8a7\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_8a7:usedw_counter\"" { } { { "db/a_dpfifo_1lv.tdf" "usedw_counter" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 55 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603599250 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_s9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_s9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_s9b " "Found entity 1: cntr_s9b" { } { { "db/cntr_s9b.tdf" "" { Text "C:/FPGA/db/cntr_s9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603599376 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603599376 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_s9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_s9b:wr_ptr " "Elaborating entity \"cntr_s9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|cntr_s9b:wr_ptr\"" { } { { "db/a_dpfifo_1lv.tdf" "wr_ptr" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 56 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603599415 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0 " "Elaborating entity \"auk_dspip_avalon_streaming_source\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "output_source_0" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 358 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603599584 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "source_FIFO" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603600116 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborated megafunction instantiation \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603600139 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Instantiated megafunction \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 0 " "Parameter \"almost_empty_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 13 " "Parameter \"almost_full_value\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 21 " "Parameter \"lpm_numwords\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 17 " "Parameter \"lpm_width\" = \"17\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 5 " "Parameter \"lpm_widthu\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603600139 ""} } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603600139 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_ci71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_ci71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_ci71 " "Found entity 1: scfifo_ci71" { } { { "db/scfifo_ci71.tdf" "" { Text "C:/FPGA/db/scfifo_ci71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603600298 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603600298 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_ci71 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated " "Elaborating entity \"scfifo_ci71\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603600318 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_9qv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_9qv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_9qv " "Found entity 1: a_dpfifo_9qv" { } { { "db/a_dpfifo_9qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603600404 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603600404 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_9qv tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo " "Elaborating entity \"a_dpfifo_9qv\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\"" { } { { "db/scfifo_ci71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_ci71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603600436 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_hah1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_hah1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_hah1 " "Found entity 1: altsyncram_hah1" { } { { "db/altsyncram_hah1.tdf" "" { Text "C:/FPGA/db/altsyncram_hah1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603600567 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603600567 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_hah1 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram " "Elaborating entity \"altsyncram_hah1\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\"" { } { { "db/a_dpfifo_9qv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603600607 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_is8.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_is8.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_is8 " "Found entity 1: cmpr_is8" { } { { "db/cmpr_is8.tdf" "" { Text "C:/FPGA/db/cmpr_is8.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603600741 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603600741 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_is8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:almost_full_comparer " "Elaborating entity \"cmpr_is8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:almost_full_comparer\"" { } { { "db/a_dpfifo_9qv.tdf" "almost_full_comparer" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 52 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603600781 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_is8 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:two_comparison " "Elaborating entity \"cmpr_is8\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cmpr_is8:two_comparison\"" { } { { "db/a_dpfifo_9qv.tdf" "two_comparison" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 53 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603600852 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_t9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_t9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_t9b " "Found entity 1: cntr_t9b" { } { { "db/cntr_t9b.tdf" "" { Text "C:/FPGA/db/cntr_t9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603600981 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603600981 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_t9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_t9b:rd_ptr_msb " "Elaborating entity \"cntr_t9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_t9b:rd_ptr_msb\"" { } { { "db/a_dpfifo_9qv.tdf" "rd_ptr_msb" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 54 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603601021 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_aa7.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_aa7.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_aa7 " "Found entity 1: cntr_aa7" { } { { "db/cntr_aa7.tdf" "" { Text "C:/FPGA/db/cntr_aa7.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603601152 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603601152 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_aa7 tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_aa7:usedw_counter " "Elaborating entity \"cntr_aa7\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_aa7:usedw_counter\"" { } { { "db/a_dpfifo_9qv.tdf" "usedw_counter" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 55 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603601192 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_u9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_u9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_u9b " "Found entity 1: cntr_u9b" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603601325 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603601325 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_u9b tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_u9b:wr_ptr " "Elaborating entity \"cntr_u9b\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|cntr_u9b:wr_ptr\"" { } { { "db/a_dpfifo_9qv.tdf" "wr_ptr" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 56 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603601366 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller " "Elaborating entity \"auk_dspip_avalon_streaming_controller\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "avalon_controller" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 408 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603601532 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_small_fifo tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO " "Elaborating entity \"auk_dspip_avalon_streaming_small_fifo\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "ready_FIFO" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 196 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603601696 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_int_siso tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one " "Elaborating entity \"alt_cic_int_siso\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\"" { } { { "db/ip/tx_cic/submodules/alt_cic_core.sv" "int_one" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 540 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603601900 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:latency_cnt_inst " "Elaborating entity \"counter_module\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:latency_cnt_inst\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 270 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603602132 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_fs_inst " "Elaborating entity \"counter_module\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_fs_inst\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 298 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603602318 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_ch_inst " "Elaborating entity \"counter_module\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|counter_module:counter_ch_inst\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 313 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603602434 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_differentiator tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff " "Elaborating entity \"auk_dspip_differentiator\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "COMB_LOOP\[0\].auk_dsp_diff" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 361 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603602552 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_delay tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff\|auk_dspip_delay:\\glogic:u0 " "Elaborating entity \"auk_dspip_delay\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_differentiator:COMB_LOOP\[0\].auk_dsp_diff\|auk_dspip_delay:\\glogic:u0\"" { } { { "db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" "\\glogic:u0" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_differentiator.vhd" 135 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603602676 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_upsample tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_upsample:first_upsample " "Elaborating entity \"auk_dspip_upsample\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_upsample:first_upsample\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "first_upsample" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 379 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603603383 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_integrator tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_integrator:integrator_loop\[0\].auK_integrator " "Elaborating entity \"auk_dspip_integrator\" for hierarchy \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_int_siso:int_one\|auk_dspip_integrator:integrator_loop\[0\].auK_integrator\"" { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "integrator_loop\[0\].auK_integrator" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 408 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603603537 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "data_shifter data_shifter:TX_CICCOMP_GAINER " "Elaborating entity \"data_shifter\" for hierarchy \"data_shifter:TX_CICCOMP_GAINER\"" { } { { "WOLF-LITE.bdf" "TX_CICCOMP_GAINER" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 224 4464 4768 368 "TX_CICCOMP_GAINER" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604318 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(18) " "Verilog HDL assignment warning at data_shifter.v(18): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 18 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603604318 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(19) " "Verilog HDL assignment warning at data_shifter.v(19): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 19 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603604318 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(20) " "Verilog HDL assignment warning at data_shifter.v(20): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603604319 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(21) " "Verilog HDL assignment warning at data_shifter.v(21): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 21 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603604319 "|WOLF-LITE|data_shifter:TX_CICCOMP_GAINER"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp tx_ciccomp:TX_CICCOMP_I " "Elaborating entity \"tx_ciccomp\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\"" { } { { "WOLF-LITE.bdf" "TX_CICCOMP_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 24 3968 4352 240 "TX_CICCOMP_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604349 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp_0002 tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst " "Elaborating entity \"tx_ciccomp_0002\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\"" { } { { "tx_ciccomp.v" "tx_ciccomp_inst" { Text "C:/FPGA/tx_ciccomp.v" 28 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604381 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "coeff_in_read_sig tx_ciccomp_0002.vhd(54) " "Verilog HDL or VHDL warning at tx_ciccomp_0002.vhd(54): object \"coeff_in_read_sig\" assigned a value but never read" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 54 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 1 0 "Analysis & Synthesis" 0 -1 1616603604382 "|WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp_0002_ast tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst " "Elaborating entity \"tx_ciccomp_0002_ast\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\"" { } { { "tx_ciccomp/tx_ciccomp_0002.vhd" "tx_ciccomp_0002_ast_inst" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002.vhd" 62 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604419 ""} -{ "Warning" "WVRFX_VHDL_USED_IMPLICIT_DEFAULT_VALUE" "core_channel_out_core tx_ciccomp_0002_ast.vhd(208) " "VHDL Signal Declaration warning at tx_ciccomp_0002_ast.vhd(208): used implicit default value for signal \"core_channel_out_core\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 208 0 0 } } } 0 10541 "VHDL Signal Declaration warning at %2!s!: used implicit default value for signal \"%1!s!\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." 1 0 "Analysis & Synthesis" 0 -1 1616603604422 "|WOLF-LITE|tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "sink" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 89 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604471 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source " "Elaborating entity \"auk_dspip_avalon_streaming_source_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "source" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604530 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl " "Elaborating entity \"auk_dspip_avalon_streaming_controller_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "intf_ctrl" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 137 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604581 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_ciccomp_0002_rtl_core tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core " "Elaborating entity \"tx_ciccomp_0002_rtl_core\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "\\real_passthrough:hpfircore_core" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 218 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604609 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_memread" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 175 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604682 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_compute" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 180 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604721 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_xIn_0_13" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 286 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604790 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_in0_m0_wi0_wo0_assign_id1_q_13" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 291 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603604843 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborating entity \"altsyncram\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_wi0_r0_memr0_dmem" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 313 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603605393 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborated megafunction instantiation \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 313 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603605424 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Instantiated megafunction \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a UNUSED " "Parameter \"address_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byte_size 8 " "Parameter \"byte_size\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_a UNUSED " "Parameter \"byteena_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_b NONE " "Parameter \"byteena_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_reg_b CLOCK0 " "Parameter \"byteena_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_a USE_INPUT_CLKEN " "Parameter \"clock_enable_core_a\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_b USE_INPUT_CLKEN " "Parameter \"clock_enable_core_b\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a NORMAL " "Parameter \"clock_enable_input_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b NORMAL " "Parameter \"clock_enable_input_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a NORMAL " "Parameter \"clock_enable_output_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b NORMAL " "Parameter \"clock_enable_output_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ecc_pipeline_stage_enabled FALSE " "Parameter \"ecc_pipeline_stage_enabled\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_ecc FALSE " "Parameter \"enable_ecc\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "implement_in_les OFF " "Parameter \"implement_in_les\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a UNUSED " "Parameter \"indata_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file UNUSED " "Parameter \"init_file\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file_layout PORT_A " "Parameter \"init_file_layout\" = \"PORT_A\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "maximum_depth 0 " "Parameter \"maximum_depth\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 64 " "Parameter \"numwords_a\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 64 " "Parameter \"numwords_b\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode DUAL_PORT " "Parameter \"operation_mode\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a UNREGISTERED " "Parameter \"outdata_reg_a\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type M9K " "Parameter \"ram_block_type\" = \"M9K\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_aclr_b NONE " "Parameter \"rdcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_reg_b CLOCK0 " "Parameter \"rdcontrol_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_a NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_a\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_b NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_b\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "stratixiv_m144k_allow_dual_clocks ON " "Parameter \"stratixiv_m144k_allow_dual_clocks\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 16 " "Parameter \"width_b\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_eccstatus 3 " "Parameter \"width_eccstatus\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 6 " "Parameter \"widthad_a\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 6 " "Parameter \"widthad_b\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a UNUSED " "Parameter \"wrcontrol_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605425 ""} } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 313 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603605425 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_0mn3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_0mn3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_0mn3 " "Found entity 1: altsyncram_0mn3" { } { { "db/altsyncram_0mn3.tdf" "" { Text "C:/FPGA/db/altsyncram_0mn3.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603605618 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603605618 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_0mn3 tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_0mn3:auto_generated " "Elaborating entity \"altsyncram_0mn3\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_0mn3:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603605635 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component " "Elaborating entity \"lpm_mult\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_mtree_mult1_0_component" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 446 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603605828 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component " "Elaborated megafunction instantiation \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 446 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603605854 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component " "Instantiated megafunction \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 8 " "Parameter \"LPM_WIDTHA\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 16 " "Parameter \"LPM_WIDTHB\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 24 " "Parameter \"LPM_WIDTHP\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 2 " "Parameter \"LPM_PIPELINE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MULT " "Parameter \"LPM_TYPE\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5 " "Parameter \"LPM_HINT\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603605854 ""} } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 446 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603605854 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_ncu.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_ncu.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_ncu " "Found entity 1: mult_ncu" { } { { "db/mult_ncu.tdf" "" { Text "C:/FPGA/db/mult_ncu.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603606001 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603606001 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_ncu tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\|mult_ncu:auto_generated " "Elaborating entity \"mult_ncu\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_component\|mult_ncu:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603606017 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_u0_m0_wo0_mtree_mult1_0_q_16" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 502 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603606149 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17 " "Elaborating entity \"dspba_delay\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|tx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17\"" { } { { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "d_u0_m0_wo0_accum_p1_of_2_q_17" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 537 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603606190 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_roundsat_hpfir tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk " "Elaborating entity \"auk_dspip_roundsat_hpfir\" for hierarchy \"tx_ciccomp:TX_CICCOMP_I\|tx_ciccomp_0002:tx_ciccomp_inst\|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk\"" { } { { "tx_ciccomp/tx_ciccomp_0002_ast.vhd" "\\real_passthrough:gen_outp_blk:0:outp_blk" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_ast.vhd" 244 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603606236 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_nco tx_nco:TX_NCO " "Elaborating entity \"tx_nco\" for hierarchy \"tx_nco:TX_NCO\"" { } { { "WOLF-LITE.bdf" "TX_NCO" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 632 3792 4048 832 "TX_NCO" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603606856 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "tx_nco_nco_ii_0 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0 " "Elaborating entity \"tx_nco_nco_ii_0\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\"" { } { { "db/ip/tx_nco/tx_nco.v" "nco_ii_0" { Text "C:/FPGA/db/ip/tx_nco/tx_nco.v" 24 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603606924 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_altqmcpipe tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000 " "Elaborating entity \"asj_altqmcpipe\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux000" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 304 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603607049 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborating entity \"lpm_add_sub\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "acc" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603607229 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603607255 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603607255 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 22 " "Parameter \"lpm_width\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603607255 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603607255 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation UNSIGNED " "Parameter \"lpm_representation\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603607255 ""} } { { "db/ip/tx_nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603607255 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_u4i.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_u4i.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_u4i " "Found entity 1: add_sub_u4i" { } { { "db/add_sub_u4i.tdf" "" { Text "C:/FPGA/db/add_sub_u4i.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603607407 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603607407 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_u4i tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\|add_sub_u4i:auto_generated " "Elaborating entity \"add_sub_u4i\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\|add_sub_u4i:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603607429 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_gam_dp tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008 " "Elaborating entity \"asj_gam_dp\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux008" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603607612 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_dp_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220 " "Elaborating entity \"asj_nco_as_m_dp_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0220" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 338 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603607809 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "altsyncram_component" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603607993 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603608026 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode BIDIR_DUAL_PORT " "Parameter \"operation_mode\" = \"BIDIR_DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 16 " "Parameter \"width_b\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 11 " "Parameter \"widthad_b\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 2048 " "Parameter \"numwords_b\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a NONE " "Parameter \"indata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a NONE " "Parameter \"wrcontrol_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file tx_nco_nco_ii_0_sin_c.hex " "Parameter \"init_file\" = \"tx_nco_nco_ii_0_sin_c.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603608026 ""} } { { "db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603608026 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_4k82.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_4k82.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_4k82 " "Found entity 1: altsyncram_4k82" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603608213 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603608213 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_4k82 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated " "Elaborating entity \"altsyncram_4k82\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603608234 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0122" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 350 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603608940 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603609113 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603609151 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file tx_nco_nco_ii_0_sin_f.hex " "Parameter \"init_file\" = \"tx_nco_nco_ii_0_sin_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603609151 ""} } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603609151 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u8a1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_u8a1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u8a1 " "Found entity 1: altsyncram_u8a1" { } { { "db/altsyncram_u8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_u8a1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603609335 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603609335 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_u8a1 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated " "Elaborating entity \"altsyncram_u8a1\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_u8a1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603609356 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0123" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 362 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603610075 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603610187 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603610217 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 16 " "Parameter \"width_a\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file tx_nco_nco_ii_0_cos_f.hex " "Parameter \"init_file\" = \"tx_nco_nco_ii_0_cos_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603610217 ""} } { { "db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603610217 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_p8a1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_p8a1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_p8a1 " "Found entity 1: altsyncram_p8a1" { } { { "db/altsyncram_p8a1.tdf" "" { Text "C:/FPGA/db/altsyncram_p8a1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603610419 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603610419 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_p8a1 tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_p8a1:auto_generated " "Elaborating entity \"altsyncram_p8a1\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_p8a1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603610444 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_madx_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1 " "Elaborating entity \"asj_nco_madx_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "m1" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 377 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603611243 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mady_cen tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0 " "Elaborating entity \"asj_nco_mady_cen\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "m0" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 389 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603611452 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_derot tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136 " "Elaborating entity \"asj_nco_derot\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux0136" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 402 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603611668 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mob_w tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0 " "Elaborating entity \"asj_nco_mob_w\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "blk0" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 410 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603611867 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "lpm_add_sub_component" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603612029 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603612056 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 16 " "Parameter \"lpm_width\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603612056 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603612056 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603612056 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603612056 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603612056 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603612056 ""} } { { "db/ip/tx_nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603612056 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_jpk.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_jpk.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_jpk " "Found entity 1: add_sub_jpk" { } { { "db/add_sub_jpk.tdf" "" { Text "C:/FPGA/db/add_sub_jpk.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603612218 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603612218 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_jpk tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_jpk:auto_generated " "Elaborating entity \"add_sub_jpk\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_jpk:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603612241 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_isdr tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr " "Elaborating entity \"asj_nco_isdr\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\"" { } { { "db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" "ux710isdr" { Text "C:/FPGA/db/ip/tx_nco/submodules/tx_nco_nco_ii_0.v" 428 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603612657 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_counter tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborating entity \"lpm_counter\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "lpm_counter_component" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603613263 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603613292 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 4 " "Parameter \"lpm_width\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603613292 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_COUNTER " "Parameter \"lpm_type\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603613292 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction UP " "Parameter \"lpm_direction\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603613292 ""} } { { "db/ip/tx_nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603613292 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_asi.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_asi.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_asi " "Found entity 1: cntr_asi" { } { { "db/cntr_asi.tdf" "" { Text "C:/FPGA/db/cntr_asi.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603613492 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603613492 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_asi tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\|cntr_asi:auto_generated " "Elaborating entity \"cntr_asi\" for hierarchy \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\|cntr_asi:auto_generated\"" { } { { "lpm_counter.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_counter.tdf" 258 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603613517 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "spi_interface spi_interface:FLASH " "Elaborating entity \"spi_interface\" for hierarchy \"spi_interface:FLASH\"" { } { { "WOLF-LITE.bdf" "FLASH" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 912 3936 4152 1056 "FLASH" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603617805 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "data_shifter data_shifter:RX_CICFIR_GAINER " "Elaborating entity \"data_shifter\" for hierarchy \"data_shifter:RX_CICFIR_GAINER\"" { } { { "WOLF-LITE.bdf" "RX_CICFIR_GAINER" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 160 2248 2552 304 "RX_CICFIR_GAINER" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603617840 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(18) " "Verilog HDL assignment warning at data_shifter.v(18): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 18 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603617840 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 1 data_shifter.v(19) " "Verilog HDL assignment warning at data_shifter.v(19): truncated value with size 32 to match size of target (1)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 19 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603617841 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(20) " "Verilog HDL assignment warning at data_shifter.v(20): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603617841 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 data_shifter.v(21) " "Verilog HDL assignment warning at data_shifter.v(21): truncated value with size 32 to match size of target (16)" { } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 21 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1616603617842 "|WOLF-LITE|data_shifter:RX_CICFIR_GAINER"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp rx_ciccomp:RX_CICCOMP_I " "Elaborating entity \"rx_ciccomp\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\"" { } { { "WOLF-LITE.bdf" "RX_CICCOMP_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 8 1768 2152 224 "RX_CICCOMP_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603617880 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp_0002 rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst " "Elaborating entity \"rx_ciccomp_0002\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\"" { } { { "rx_ciccomp.v" "rx_ciccomp_inst" { Text "C:/FPGA/rx_ciccomp.v" 28 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603617912 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "coeff_in_read_sig rx_ciccomp_0002.vhd(54) " "Verilog HDL or VHDL warning at rx_ciccomp_0002.vhd(54): object \"coeff_in_read_sig\" assigned a value but never read" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 54 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 1 0 "Analysis & Synthesis" 0 -1 1616603617913 "|WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp_0002_ast rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst " "Elaborating entity \"rx_ciccomp_0002_ast\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\"" { } { { "rx_ciccomp/rx_ciccomp_0002.vhd" "rx_ciccomp_0002_ast_inst" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002.vhd" 62 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603617953 ""} -{ "Warning" "WVRFX_VHDL_USED_IMPLICIT_DEFAULT_VALUE" "core_channel_out_core rx_ciccomp_0002_ast.vhd(208) " "VHDL Signal Declaration warning at rx_ciccomp_0002_ast.vhd(208): used implicit default value for signal \"core_channel_out_core\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 208 0 0 } } } 0 10541 "VHDL Signal Declaration warning at %2!s!: used implicit default value for signal \"%1!s!\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." 1 0 "Analysis & Synthesis" 0 -1 1616603617958 "|WOLF-LITE|rx_ciccomp:RX_CICCOMP_I|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_sink_hpfir:sink\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "sink" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 89 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618004 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source " "Elaborating entity \"auk_dspip_avalon_streaming_source_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "source" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618053 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl " "Elaborating entity \"auk_dspip_avalon_streaming_controller_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_controller_hpfir:intf_ctrl\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "intf_ctrl" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 137 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618100 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_ciccomp_0002_rtl_core rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core " "Elaborating entity \"rx_ciccomp_0002_rtl_core\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "\\real_passthrough:hpfircore_core" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 218 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618130 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_memread\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_memread" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 173 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618249 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:u0_m0_wo0_compute\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_compute" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 178 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618282 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_compute_q_15 " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_u0_m0_wo0_compute_q_15\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "d_u0_m0_wo0_compute_q_15" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 183 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618314 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dspba_delay rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13 " "Elaborating entity \"dspba_delay\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|dspba_delay:d_xIn_0_13\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "d_xIn_0_13" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 375 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618355 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborating entity \"altsyncram\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_wi0_r0_memr0_dmem" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 402 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618484 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Elaborated megafunction instantiation \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 402 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618518 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem " "Instantiated megafunction \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a UNUSED " "Parameter \"address_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byte_size 8 " "Parameter \"byte_size\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_a UNUSED " "Parameter \"byteena_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_b NONE " "Parameter \"byteena_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_reg_b CLOCK0 " "Parameter \"byteena_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_a USE_INPUT_CLKEN " "Parameter \"clock_enable_core_a\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_b USE_INPUT_CLKEN " "Parameter \"clock_enable_core_b\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a NORMAL " "Parameter \"clock_enable_input_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b NORMAL " "Parameter \"clock_enable_input_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a NORMAL " "Parameter \"clock_enable_output_a\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b NORMAL " "Parameter \"clock_enable_output_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ecc_pipeline_stage_enabled FALSE " "Parameter \"ecc_pipeline_stage_enabled\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_ecc FALSE " "Parameter \"enable_ecc\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "implement_in_les OFF " "Parameter \"implement_in_les\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a UNUSED " "Parameter \"indata_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file UNUSED " "Parameter \"init_file\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file_layout PORT_A " "Parameter \"init_file_layout\" = \"PORT_A\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "maximum_depth 0 " "Parameter \"maximum_depth\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 64 " "Parameter \"numwords_a\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 64 " "Parameter \"numwords_b\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode DUAL_PORT " "Parameter \"operation_mode\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a UNREGISTERED " "Parameter \"outdata_reg_a\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type M9K " "Parameter \"ram_block_type\" = \"M9K\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_aclr_b NONE " "Parameter \"rdcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_reg_b CLOCK0 " "Parameter \"rdcontrol_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_a NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_a\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_b NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_b\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "stratixiv_m144k_allow_dual_clocks ON " "Parameter \"stratixiv_m144k_allow_dual_clocks\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 32 " "Parameter \"width_a\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 32 " "Parameter \"width_b\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_eccstatus 3 " "Parameter \"width_eccstatus\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 6 " "Parameter \"widthad_a\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 6 " "Parameter \"widthad_b\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a UNUSED " "Parameter \"wrcontrol_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618518 ""} } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 402 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603618518 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_sln3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_sln3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_sln3 " "Found entity 1: altsyncram_sln3" { } { { "db/altsyncram_sln3.tdf" "" { Text "C:/FPGA/db/altsyncram_sln3.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603618716 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603618716 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_sln3 rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_sln3:auto_generated " "Elaborating entity \"altsyncram_sln3\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem\|altsyncram_sln3:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618734 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component " "Elaborating entity \"lpm_mult\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_mtree_mult1_0_im4_component" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 448 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618921 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component " "Elaborated megafunction instantiation \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 448 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603618949 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component " "Instantiated megafunction \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 15 " "Parameter \"LPM_WIDTHA\" = \"15\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 8 " "Parameter \"LPM_WIDTHB\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 23 " "Parameter \"LPM_WIDTHP\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 2 " "Parameter \"LPM_PIPELINE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MULT " "Parameter \"LPM_TYPE\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5 " "Parameter \"LPM_HINT\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603618949 ""} } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 448 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603618949 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_lcu.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_lcu.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_lcu " "Found entity 1: mult_lcu" { } { { "db/mult_lcu.tdf" "" { Text "C:/FPGA/db/mult_lcu.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603619105 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603619105 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_lcu rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\|mult_lcu:auto_generated " "Elaborating entity \"mult_lcu\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component\|mult_lcu:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619126 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component " "Elaborating entity \"lpm_mult\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "u0_m0_wo0_mtree_mult1_0_im0_component" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 480 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619250 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component " "Elaborated megafunction instantiation \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\"" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 480 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619276 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component " "Instantiated megafunction \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 18 " "Parameter \"LPM_WIDTHA\" = \"18\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 8 " "Parameter \"LPM_WIDTHB\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 26 " "Parameter \"LPM_WIDTHP\" = \"26\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_PIPELINE 2 " "Parameter \"LPM_PIPELINE\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_MULT " "Parameter \"LPM_TYPE\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_HINT DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5 " "Parameter \"LPM_HINT\" = \"DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603619276 ""} } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 480 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603619276 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_rcu.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_rcu.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_rcu " "Found entity 1: mult_rcu" { } { { "db/mult_rcu.tdf" "" { Text "C:/FPGA/db/mult_rcu.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603619429 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603619429 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_rcu rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\|mult_rcu:auto_generated " "Elaborating entity \"mult_rcu\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|rx_ciccomp_0002_rtl_core:\\real_passthrough:hpfircore_core\|lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component\|mult_rcu:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619447 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_roundsat_hpfir rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk " "Elaborating entity \"auk_dspip_roundsat_hpfir\" for hierarchy \"rx_ciccomp:RX_CICCOMP_I\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_roundsat_hpfir:\\real_passthrough:gen_outp_blk:0:outp_blk\"" { } { { "rx_ciccomp/rx_ciccomp_0002_ast.vhd" "\\real_passthrough:gen_outp_blk:0:outp_blk" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_ast.vhd" 244 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619553 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_cic rx_cic:RX_CIC_I " "Elaborating entity \"rx_cic\" for hierarchy \"rx_cic:RX_CIC_I\"" { } { { "WOLF-LITE.bdf" "RX_CIC_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619600 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "rx_cic_cic_ii_0 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0 " "Elaborating entity \"rx_cic_cic_ii_0\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\"" { } { { "db/ip/rx_cic/rx_cic.v" "cic_ii_0" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619648 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_core rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core " "Elaborating entity \"alt_cic_core\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\"" { } { { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "core" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619745 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_sink rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink " "Elaborating entity \"auk_dspip_avalon_streaming_sink\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "input_sink" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603619919 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "sink_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603620406 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603620431 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO " "Instantiated megafunction \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 4 " "Parameter \"almost_empty_value\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 0 " "Parameter \"almost_full_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 8 " "Parameter \"lpm_numwords\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 25 " "Parameter \"lpm_width\" = \"25\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 3 " "Parameter \"lpm_widthu\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603620431 ""} } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603620431 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_ef71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_ef71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_ef71 " "Found entity 1: scfifo_ef71" { } { { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603620599 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603620599 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_ef71 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated " "Elaborating entity \"scfifo_ef71\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603620619 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_vkv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_vkv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_vkv " "Found entity 1: a_dpfifo_vkv" { } { { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603620713 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603620713 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_vkv rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo " "Elaborating entity \"a_dpfifo_vkv\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\"" { } { { "db/scfifo_ef71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603620741 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_h7h1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_h7h1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_h7h1 " "Found entity 1: altsyncram_h7h1" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603620889 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603620889 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_h7h1 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram " "Elaborating entity \"altsyncram_h7h1\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\"" { } { { "db/a_dpfifo_vkv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603620933 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_source rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0 " "Elaborating entity \"auk_dspip_avalon_streaming_source\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "output_source_0" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 358 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603621379 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "source_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603621886 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603621911 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO " "Instantiated megafunction \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 0 " "Parameter \"almost_empty_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 13 " "Parameter \"almost_full_value\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 21 " "Parameter \"lpm_numwords\" = \"21\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 33 " "Parameter \"lpm_width\" = \"33\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 5 " "Parameter \"lpm_widthu\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603621911 ""} } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603621911 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_ai71.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_ai71.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_ai71 " "Found entity 1: scfifo_ai71" { } { { "db/scfifo_ai71.tdf" "" { Text "C:/FPGA/db/scfifo_ai71.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603622079 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603622079 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_ai71 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated " "Elaborating entity \"scfifo_ai71\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603622099 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_7qv.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_7qv.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_7qv " "Found entity 1: a_dpfifo_7qv" { } { { "db/a_dpfifo_7qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603622196 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603622196 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_7qv rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo " "Elaborating entity \"a_dpfifo_7qv\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\"" { } { { "db/scfifo_ai71.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_ai71.tdf" 36 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603622224 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_dah1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_dah1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_dah1 " "Found entity 1: altsyncram_dah1" { } { { "db/altsyncram_dah1.tdf" "" { Text "C:/FPGA/db/altsyncram_dah1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603622375 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603622375 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_dah1 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram " "Elaborating entity \"altsyncram_dah1\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\"" { } { { "db/a_dpfifo_7qv.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 44 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603622417 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_controller rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller " "Elaborating entity \"auk_dspip_avalon_streaming_controller\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "avalon_controller" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 408 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603622879 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_avalon_streaming_small_fifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO " "Elaborating entity \"auk_dspip_avalon_streaming_small_fifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_controller:avalon_controller\|auk_dspip_avalon_streaming_small_fifo:ready_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" "ready_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_controller.vhd" 196 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603623043 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alt_cic_dec_siso rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one " "Elaborating entity \"alt_cic_dec_siso\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\"" { } { { "db/ip/rx_cic/submodules/alt_cic_core.sv" "dec_one" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603623241 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_integrator rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration " "Elaborating entity \"auk_dspip_integrator\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "integrator\[0\].integration" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 275 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603623480 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_delay rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration\|auk_dspip_delay:\\glogic:integrator_pipeline_0_generate:u1 " "Elaborating entity \"auk_dspip_delay\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_integrator:integrator\[0\].integration\|auk_dspip_delay:\\glogic:integrator_pipeline_0_generate:u1\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" "\\glogic:integrator_pipeline_0_generate:u1" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_integrator.vhd" 97 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603623583 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_downsample rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample " "Elaborating entity \"auk_dspip_downsample\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "vrc_en_0.first_dsample" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 330 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603624239 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_fs_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_fs_inst\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 50 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603624407 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_ch_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_downsample:vrc_en_0.first_dsample\|counter_module:counter_ch_inst\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 79 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603624586 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_channel_buffer rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator " "Elaborating entity \"auk_dspip_channel_buffer\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "fifo_regulator" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603624711 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO " "Elaborating entity \"scfifo\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "buffer_FIFO" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603625297 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\"" { } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603625323 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO " "Instantiated megafunction \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "add_ram_output_register ON " "Parameter \"add_ram_output_register\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "allow_rwcycle_when_full OFF " "Parameter \"allow_rwcycle_when_full\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_empty_value 0 " "Parameter \"almost_empty_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "almost_full_value 0 " "Parameter \"almost_full_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_numwords 3 " "Parameter \"lpm_numwords\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_showahead OFF " "Parameter \"lpm_showahead\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 86 " "Parameter \"lpm_width\" = \"86\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthu 2 " "Parameter \"lpm_widthu\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "overflow_checking ON " "Parameter \"overflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "underflow_checking ON " "Parameter \"underflow_checking\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "use_eab ON " "Parameter \"use_eab\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type scfifo " "Parameter \"lpm_type\" = \"scfifo\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603625323 ""} } { { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603625323 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/scfifo_qm51.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/scfifo_qm51.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 scfifo_qm51 " "Found entity 1: scfifo_qm51" { } { { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 24 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603625485 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603625485 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "scfifo_qm51 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated " "Elaborating entity \"scfifo_qm51\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\"" { } { { "scfifo.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603625506 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/a_dpfifo_5ku.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/a_dpfifo_5ku.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 a_dpfifo_5ku " "Found entity 1: a_dpfifo_5ku" { } { { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 32 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603625607 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603625607 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "a_dpfifo_5ku rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo " "Elaborating entity \"a_dpfifo_5ku\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\"" { } { { "db/scfifo_qm51.tdf" "dpfifo" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603625637 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_m7h1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_m7h1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_m7h1 " "Found entity 1: altsyncram_m7h1" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603625802 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603625802 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_m7h1 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram " "Elaborating entity \"altsyncram_m7h1\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\"" { } { { "db/a_dpfifo_5ku.tdf" "FIFOram" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603625844 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cmpr_fs8.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cmpr_fs8.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cmpr_fs8 " "Found entity 1: cmpr_fs8" { } { { "db/cmpr_fs8.tdf" "" { Text "C:/FPGA/db/cmpr_fs8.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603626028 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603626028 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_fs8 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cmpr_fs8:almost_full_comparer " "Elaborating entity \"cmpr_fs8\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cmpr_fs8:almost_full_comparer\"" { } { { "db/a_dpfifo_5ku.tdf" "almost_full_comparer" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 50 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603626070 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cmpr_fs8 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cmpr_fs8:two_comparison " "Elaborating entity \"cmpr_fs8\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cmpr_fs8:two_comparison\"" { } { { "db/a_dpfifo_5ku.tdf" "two_comparison" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 51 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603626154 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_q9b.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_q9b.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_q9b " "Found entity 1: cntr_q9b" { } { { "db/cntr_q9b.tdf" "" { Text "C:/FPGA/db/cntr_q9b.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603626284 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603626284 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_q9b rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_q9b:rd_ptr_msb " "Elaborating entity \"cntr_q9b\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_q9b:rd_ptr_msb\"" { } { { "db/a_dpfifo_5ku.tdf" "rd_ptr_msb" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 52 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603626324 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/cntr_7a7.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/cntr_7a7.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 cntr_7a7 " "Found entity 1: cntr_7a7" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 25 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603626459 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603626459 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "cntr_7a7 rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter " "Elaborating entity \"cntr_7a7\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\"" { } { { "db/a_dpfifo_5ku.tdf" "usedw_counter" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 53 2 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603626502 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:latency_cnt_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:latency_cnt_inst\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 419 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603626727 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "counter_module rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:channel_out_int_inst " "Elaborating entity \"counter_module\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|counter_module:channel_out_int_inst\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "channel_out_int_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 432 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603626839 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "auk_dspip_differentiator rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_differentiator:differentiate_stages\[0\].auk_dsp_diff " "Elaborating entity \"auk_dspip_differentiator\" for hierarchy \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_differentiator:differentiate_stages\[0\].auk_dsp_diff\"" { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "differentiate_stages\[0\].auk_dsp_diff" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 658 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603627034 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "nco nco:RX_NCO " "Elaborating entity \"nco\" for hierarchy \"nco:RX_NCO\"" { } { { "WOLF-LITE.bdf" "RX_NCO" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 152 480 736 352 "RX_NCO" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603627748 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "nco_nco_ii_0 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0 " "Elaborating entity \"nco_nco_ii_0\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\"" { } { { "db/ip/nco/nco.v" "nco_ii_0" { Text "C:/FPGA/db/ip/nco/nco.v" 24 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603627826 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_altqmcpipe nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000 " "Elaborating entity \"asj_altqmcpipe\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux000" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 304 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603627982 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborating entity \"lpm_add_sub\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "acc" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603628147 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\"" { } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603628169 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_altqmcpipe:ux000\|lpm_add_sub:acc\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 22 " "Parameter \"lpm_width\" = \"22\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628169 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation UNSIGNED " "Parameter \"lpm_representation\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628169 ""} } { { "db/ip/nco/submodules/asj_altqmcpipe.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_altqmcpipe.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603628169 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_gam_dp nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008 " "Elaborating entity \"asj_gam_dp\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_gam_dp:ux008\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux008" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 326 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603628424 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_dp_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220 " "Elaborating entity \"asj_nco_as_m_dp_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0220" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 338 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603628653 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "altsyncram_component" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603628838 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603628870 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode BIDIR_DUAL_PORT " "Parameter \"operation_mode\" = \"BIDIR_DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 12 " "Parameter \"width_a\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 12 " "Parameter \"width_b\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 11 " "Parameter \"widthad_b\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 2048 " "Parameter \"numwords_b\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b CLOCK0 " "Parameter \"outdata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a NONE " "Parameter \"indata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a NONE " "Parameter \"wrcontrol_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK0 " "Parameter \"indata_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK0 " "Parameter \"address_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK0 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file nco_nco_ii_0_sin_c.hex " "Parameter \"init_file\" = \"nco_nco_ii_0_sin_c.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603628870 ""} } { { "db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_dp_cen.v" 109 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603628870 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_h982.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_h982.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_h982 " "Found entity 1: altsyncram_h982" { } { { "db/altsyncram_h982.tdf" "" { Text "C:/FPGA/db/altsyncram_h982.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603629070 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603629070 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_h982 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_h982:auto_generated " "Elaborating entity \"altsyncram_h982\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_h982:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603629098 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0122" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 350 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603629758 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603629952 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603629984 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 12 " "Parameter \"width_a\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file nco_nco_ii_0_sin_f.hex " "Parameter \"init_file\" = \"nco_nco_ii_0_sin_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603629984 ""} } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603629984 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_fu91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_fu91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_fu91 " "Found entity 1: altsyncram_fu91" { } { { "db/altsyncram_fu91.tdf" "" { Text "C:/FPGA/db/altsyncram_fu91.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603630178 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603630178 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_fu91 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_fu91:auto_generated " "Elaborating entity \"altsyncram_fu91\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0122\|altsyncram:altsyncram_component0\|altsyncram_fu91:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603630204 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_as_m_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123 " "Elaborating entity \"asj_nco_as_m_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0123" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 362 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603630852 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborating entity \"altsyncram\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "altsyncram_component0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603630979 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\"" { } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603631009 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0 " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 12 " "Parameter \"width_a\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 11 " "Parameter \"widthad_a\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 2048 " "Parameter \"numwords_a\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file nco_nco_ii_0_cos_f.hex " "Parameter \"init_file\" = \"nco_nco_ii_0_cos_f.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603631009 ""} } { { "db/ip/nco/submodules/asj_nco_as_m_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_as_m_cen.v" 65 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603631009 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_au91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_au91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_au91 " "Found entity 1: altsyncram_au91" { } { { "db/altsyncram_au91.tdf" "" { Text "C:/FPGA/db/altsyncram_au91.tdf" 27 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603631216 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603631216 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_au91 nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_au91:auto_generated " "Elaborating entity \"altsyncram_au91\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_cen:ux0123\|altsyncram:altsyncram_component0\|altsyncram_au91:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsyncram.tdf" 791 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603631244 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_madx_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1 " "Elaborating entity \"asj_nco_madx_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "m1" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 377 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603631886 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mady_cen nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0 " "Elaborating entity \"asj_nco_mady_cen\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "m0" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 389 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603632130 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_derot nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136 " "Elaborating entity \"asj_nco_derot\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_derot:ux0136\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux0136" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 402 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603632358 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_mob_w nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0 " "Elaborating entity \"asj_nco_mob_w\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "blk0" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 410 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603632585 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "lpm_add_sub_component" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603632756 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\"" { } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603632781 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 12 " "Parameter \"lpm_width\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603632781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603632781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603632781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603632781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603632781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603632781 ""} } { { "db/ip/nco/submodules/asj_nco_mob_w.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mob_w.v" 75 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603632781 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_fpk.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_fpk.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_fpk " "Found entity 1: add_sub_fpk" { } { { "db/add_sub_fpk.tdf" "" { Text "C:/FPGA/db/add_sub_fpk.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603632951 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603632951 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_fpk nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_fpk:auto_generated " "Elaborating entity \"add_sub_fpk\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mob_w:blk0\|lpm_add_sub:lpm_add_sub_component\|add_sub_fpk:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603632978 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "asj_nco_isdr nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr " "Elaborating entity \"asj_nco_isdr\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\"" { } { { "db/ip/nco/submodules/nco_nco_ii_0.v" "ux710isdr" { Text "C:/FPGA/db/ip/nco/submodules/nco_nco_ii_0.v" 428 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603633419 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_counter nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborating entity \"lpm_counter\" for hierarchy \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/nco/submodules/asj_nco_isdr.v" "lpm_counter_component" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603633593 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\"" { } { { "db/ip/nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603633616 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_isdr:ux710isdr\|lpm_counter:lpm_counter_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 4 " "Parameter \"lpm_width\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603633616 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_COUNTER " "Parameter \"lpm_type\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603633616 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction UP " "Parameter \"lpm_direction\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603633616 ""} } { { "db/ip/nco/submodules/asj_nco_isdr.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_isdr.v" 59 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603633616 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mixer mixer:RX_MIXER_I " "Elaborating entity \"mixer\" for hierarchy \"mixer:RX_MIXER_I\"" { } { { "WOLF-LITE.bdf" "RX_MIXER_I" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -24 528 696 104 "RX_MIXER_I" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603633961 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mult mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborating entity \"lpm_mult\" for hierarchy \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "mixer.v" "lpm_mult_component" { Text "C:/FPGA/mixer.v" 63 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603634022 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component " "Elaborated megafunction instantiation \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\"" { } { { "mixer.v" "" { Text "C:/FPGA/mixer.v" 63 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603634050 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component " "Instantiated megafunction \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint MAXIMIZE_SPEED=5 " "Parameter \"lpm_hint\" = \"MAXIMIZE_SPEED=5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634051 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634051 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634051 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_MULT " "Parameter \"lpm_type\" = \"LPM_MULT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634051 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widtha 12 " "Parameter \"lpm_widtha\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634051 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthb 12 " "Parameter \"lpm_widthb\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634051 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widthp 24 " "Parameter \"lpm_widthp\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634051 ""} } { { "mixer.v" "" { Text "C:/FPGA/mixer.v" 63 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603634051 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_jnp.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_jnp.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_jnp " "Found entity 1: mult_jnp" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603634207 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603634207 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mult_jnp mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated " "Elaborating entity \"mult_jnp\" for hierarchy \"mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\"" { } { { "lpm_mult.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mult.tdf" 376 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603634223 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ADC_Latch ADC_Latch:ADC_Latch " "Elaborating entity \"ADC_Latch\" for hierarchy \"ADC_Latch:ADC_Latch\"" { } { { "WOLF-LITE.bdf" "ADC_Latch" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -88 160 320 24 "ADC_Latch" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603634326 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_add_sub ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborating entity \"lpm_add_sub\" for hierarchy \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "ADC_Latch.v" "LPM_ADD_SUB_component" { Text "C:/FPGA/ADC_Latch.v" 69 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603634388 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component " "Elaborated megafunction instantiation \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\"" { } { { "ADC_Latch.v" "" { Text "C:/FPGA/ADC_Latch.v" 69 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603634415 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component " "Instantiated megafunction \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_direction ADD " "Parameter \"lpm_direction\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634415 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO " "Parameter \"lpm_hint\" = \"ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634415 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_pipeline 1 " "Parameter \"lpm_pipeline\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634415 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_representation SIGNED " "Parameter \"lpm_representation\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634415 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_ADD_SUB " "Parameter \"lpm_type\" = \"LPM_ADD_SUB\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634415 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 12 " "Parameter \"lpm_width\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603634415 ""} } { { "ADC_Latch.v" "" { Text "C:/FPGA/ADC_Latch.v" 69 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603634415 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/add_sub_b2k.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/add_sub_b2k.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 add_sub_b2k " "Found entity 1: add_sub_b2k" { } { { "db/add_sub_b2k.tdf" "" { Text "C:/FPGA/db/add_sub_b2k.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603634581 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603634581 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "add_sub_b2k ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_b2k:auto_generated " "Elaborating entity \"add_sub_b2k\" for hierarchy \"ADC_Latch:ADC_Latch\|lpm_add_sub:LPM_ADD_SUB_component\|add_sub_b2k:auto_generated\"" { } { { "lpm_add_sub.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 118 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603634598 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "MAIN_PLL MAIN_PLL:MAIN_PLL " "Elaborating entity \"MAIN_PLL\" for hierarchy \"MAIN_PLL:MAIN_PLL\"" { } { { "WOLF-LITE.bdf" "MAIN_PLL" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603639819 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll MAIN_PLL:MAIN_PLL\|altpll:altpll_component " "Elaborating entity \"altpll\" for hierarchy \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\"" { } { { "MAIN_PLL.v" "altpll_component" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603639918 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component " "Elaborated megafunction instantiation \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\"" { } { { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603639953 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component " "Instantiated megafunction \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Parameter \"bandwidth_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 335 " "Parameter \"clk0_divide_by\" = \"335\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Parameter \"clk0_duty_cycle\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 64 " "Parameter \"clk0_multiply_by\" = \"64\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Parameter \"clk0_phase_shift\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_divide_by 1340 " "Parameter \"clk1_divide_by\" = \"1340\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_duty_cycle 50 " "Parameter \"clk1_duty_cycle\" = \"50\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_multiply_by 1 " "Parameter \"clk1_multiply_by\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk1_phase_shift 0 " "Parameter \"clk1_phase_shift\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Parameter \"compensate_clock\" = \"CLK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 15547 " "Parameter \"inclk0_input_frequency\" = \"15547\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint CBX_MODULE_PREFIX=MAIN_PLL " "Parameter \"lpm_hint\" = \"CBX_MODULE_PREFIX=MAIN_PLL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Parameter \"lpm_type\" = \"altpll\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Parameter \"operation_mode\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Parameter \"pll_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_activeclock PORT_UNUSED " "Parameter \"port_activeclock\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_areset PORT_UNUSED " "Parameter \"port_areset\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad0 PORT_UNUSED " "Parameter \"port_clkbad0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkbad1 PORT_UNUSED " "Parameter \"port_clkbad1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkloss PORT_UNUSED " "Parameter \"port_clkloss\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkswitch PORT_UNUSED " "Parameter \"port_clkswitch\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_configupdate PORT_UNUSED " "Parameter \"port_configupdate\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_fbin PORT_UNUSED " "Parameter \"port_fbin\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk0 PORT_USED " "Parameter \"port_inclk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_inclk1 PORT_UNUSED " "Parameter \"port_inclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_locked PORT_UNUSED " "Parameter \"port_locked\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pfdena PORT_UNUSED " "Parameter \"port_pfdena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasecounterselect PORT_UNUSED " "Parameter \"port_phasecounterselect\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasedone PORT_UNUSED " "Parameter \"port_phasedone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phasestep PORT_UNUSED " "Parameter \"port_phasestep\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_phaseupdown PORT_UNUSED " "Parameter \"port_phaseupdown\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_pllena PORT_UNUSED " "Parameter \"port_pllena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanaclr PORT_UNUSED " "Parameter \"port_scanaclr\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclk PORT_UNUSED " "Parameter \"port_scanclk\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanclkena PORT_UNUSED " "Parameter \"port_scanclkena\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandata PORT_UNUSED " "Parameter \"port_scandata\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandataout PORT_UNUSED " "Parameter \"port_scandataout\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scandone PORT_UNUSED " "Parameter \"port_scandone\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanread PORT_UNUSED " "Parameter \"port_scanread\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_scanwrite PORT_UNUSED " "Parameter \"port_scanwrite\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk0 PORT_USED " "Parameter \"port_clk0\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk1 PORT_USED " "Parameter \"port_clk1\" = \"PORT_USED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk2 PORT_UNUSED " "Parameter \"port_clk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk3 PORT_UNUSED " "Parameter \"port_clk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk4 PORT_UNUSED " "Parameter \"port_clk4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clk5 PORT_UNUSED " "Parameter \"port_clk5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena0 PORT_UNUSED " "Parameter \"port_clkena0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena1 PORT_UNUSED " "Parameter \"port_clkena1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena2 PORT_UNUSED " "Parameter \"port_clkena2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena3 PORT_UNUSED " "Parameter \"port_clkena3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena4 PORT_UNUSED " "Parameter \"port_clkena4\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_clkena5 PORT_UNUSED " "Parameter \"port_clkena5\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk0 PORT_UNUSED " "Parameter \"port_extclk0\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk1 PORT_UNUSED " "Parameter \"port_extclk1\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk2 PORT_UNUSED " "Parameter \"port_extclk2\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "port_extclk3 PORT_UNUSED " "Parameter \"port_extclk3\" = \"PORT_UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_clock 5 " "Parameter \"width_clock\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603639953 ""} } { { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603639953 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/main_pll_altpll.v 1 1 " "Found 1 design units, including 1 entities, in source file db/main_pll_altpll.v" { { "Info" "ISGN_ENTITY_NAME" "1 MAIN_PLL_altpll " "Found entity 1: MAIN_PLL_altpll" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 29 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603640141 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603640141 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "MAIN_PLL_altpll MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated " "Elaborating entity \"MAIN_PLL_altpll\" for hierarchy \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\"" { } { { "altpll.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603640158 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux14 mux14:DAC_MUX " "Elaborating entity \"mux14\" for hierarchy \"mux14:DAC_MUX\"" { } { { "WOLF-LITE.bdf" "DAC_MUX" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 6040 6184 248 "DAC_MUX" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603640255 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_mux mux14:DAC_MUX\|lpm_mux:LPM_MUX_component " "Elaborating entity \"lpm_mux\" for hierarchy \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\"" { } { { "mux14.v" "LPM_MUX_component" { Text "C:/FPGA/mux14.v" 68 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603640547 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "mux14:DAC_MUX\|lpm_mux:LPM_MUX_component " "Elaborated megafunction instantiation \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\"" { } { { "mux14.v" "" { Text "C:/FPGA/mux14.v" 68 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603640582 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "mux14:DAC_MUX\|lpm_mux:LPM_MUX_component " "Instantiated megafunction \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_size 2 " "Parameter \"lpm_size\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603640582 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_MUX " "Parameter \"lpm_type\" = \"LPM_MUX\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603640582 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 14 " "Parameter \"lpm_width\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603640582 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_widths 1 " "Parameter \"lpm_widths\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603640582 ""} } { { "mux14.v" "" { Text "C:/FPGA/mux14.v" 68 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603640582 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_rsc.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_rsc.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_rsc " "Found entity 1: mux_rsc" { } { { "db/mux_rsc.tdf" "" { Text "C:/FPGA/db/mux_rsc.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603640741 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603640741 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "mux_rsc mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\|mux_rsc:auto_generated " "Elaborating entity \"mux_rsc\" for hierarchy \"mux14:DAC_MUX\|lpm_mux:LPM_MUX_component\|mux_rsc:auto_generated\"" { } { { "lpm_mux.tdf" "auto_generated" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/lpm_mux.tdf" 86 3 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603640760 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dac_null dac_null:DAC_IDLE " "Elaborating entity \"dac_null\" for hierarchy \"dac_null:DAC_IDLE\"" { } { { "WOLF-LITE.bdf" "DAC_IDLE" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 296 5896 6008 344 "DAC_IDLE" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603640868 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "lpm_constant dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component " "Elaborating entity \"lpm_constant\" for hierarchy \"dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component\"" { } { { "dac_null.v" "LPM_CONSTANT_component" { Text "C:/FPGA/dac_null.v" 48 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641020 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component " "Elaborated megafunction instantiation \"dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component\"" { } { { "dac_null.v" "" { Text "C:/FPGA/dac_null.v" 48 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641040 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component " "Instantiated megafunction \"dac_null:DAC_IDLE\|lpm_constant:LPM_CONSTANT_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_cvalue 8192 " "Parameter \"lpm_cvalue\" = \"8192\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641040 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ENABLE_RUNTIME_MOD=NO " "Parameter \"lpm_hint\" = \"ENABLE_RUNTIME_MOD=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641040 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type LPM_CONSTANT " "Parameter \"lpm_type\" = \"LPM_CONSTANT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641040 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_width 14 " "Parameter \"lpm_width\" = \"14\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641040 ""} } { { "dac_null.v" "" { Text "C:/FPGA/dac_null.v" 48 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603641040 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "DAC_corrector DAC_corrector:DAC_CORRECTOR " "Elaborating entity \"DAC_corrector\" for hierarchy \"DAC_corrector:DAC_CORRECTOR\"" { } { { "WOLF-LITE.bdf" "DAC_CORRECTOR" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 176 5760 6008 288 "DAC_CORRECTOR" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641068 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "DEBUG DEBUG:DBG_ADC " "Elaborating entity \"DEBUG\" for hierarchy \"DEBUG:DBG_ADC\"" { } { { "WOLF-LITE.bdf" "DBG_ADC" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -176 520 696 -72 "DBG_ADC" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641105 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe_top DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0 " "Elaborating entity \"altsource_probe_top\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\"" { } { { "db/ip/debug/debug.v" "in_system_sources_probes_0" { Text "C:/FPGA/db/ip/debug/debug.v" 19 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641189 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl " "Elaborating entity \"altsource_probe\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\"" { } { { "db/ip/debug/submodules/altsource_probe_top.v" "issp_impl" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 55 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641397 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl " "Elaborated megafunction instantiation \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\"" { } { { "db/ip/debug/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 55 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641421 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl " "Instantiated megafunction \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsource_probe " "Parameter \"lpm_type\" = \"altsource_probe\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint UNUSED " "Parameter \"lpm_hint\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "sld_auto_instance_index YES " "Parameter \"sld_auto_instance_index\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "sld_instance_index 0 " "Parameter \"sld_instance_index\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "SLD_NODE_INFO 4746752 " "Parameter \"SLD_NODE_INFO\" = \"4746752\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "sld_ir_width 4 " "Parameter \"sld_ir_width\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "instance_id ADC " "Parameter \"instance_id\" = \"ADC\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "probe_width 12 " "Parameter \"probe_width\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "source_width 0 " "Parameter \"source_width\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "source_initial_value 0 " "Parameter \"source_initial_value\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_metastability NO " "Parameter \"enable_metastability\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1616603641421 ""} } { { "db/ip/debug/submodules/altsource_probe_top.v" "" { Text "C:/FPGA/db/ip/debug/submodules/altsource_probe_top.v" 55 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1616603641421 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sld_jtag_endpoint_adapter DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter " "Elaborating entity \"sld_jtag_endpoint_adapter\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter\"" { } { { "altsource_probe.v" "jtag_signal_adapter" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe.v" 168 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603641668 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sld_jtag_endpoint_adapter_impl DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter\|sld_jtag_endpoint_adapter_impl:sld_jtag_endpoint_adapter_impl_inst " "Elaborating entity \"sld_jtag_endpoint_adapter_impl\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|sld_jtag_endpoint_adapter:jtag_signal_adapter\|sld_jtag_endpoint_adapter_impl:sld_jtag_endpoint_adapter_impl_inst\"" { } { { "sld_jtag_endpoint_adapter.vhd" "sld_jtag_endpoint_adapter_impl_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_endpoint_adapter.vhd" 232 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603642033 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe_body DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst " "Elaborating entity \"altsource_probe_body\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\"" { } { { "altsource_probe.v" "altsource_probe_body_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe.v" 280 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603642266 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsource_probe_impl DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst " "Elaborating entity \"altsource_probe_impl\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\"" { } { { "altsource_probe_body.vhd" "\\wider_probe_gen:wider_probe_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe_body.vhd" 507 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603642341 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sld_rom_sr DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\|sld_rom_sr:\\instance_id_gen:rom_info_inst " "Elaborating entity \"sld_rom_sr\" for hierarchy \"DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\|sld_rom_sr:\\instance_id_gen:rom_info_inst\"" { } { { "altsource_probe_body.vhd" "\\instance_id_gen:rom_info_inst" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe_body.vhd" 755 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1616603642641 ""} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max rate_cnt_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"rate_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "rate_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 486 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643713 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:rate_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max channel_out_int_inst 32 2 " "Port \"counter_max\" on the entity instantiation of \"channel_out_int_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "channel_out_int_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 432 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643713 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:channel_out_int_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 419 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643714 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 79 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643718 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 50 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643718 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max rate_cnt_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"rate_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "rate_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 486 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643761 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:rate_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max channel_out_int_inst 32 2 " "Port \"counter_max\" on the entity instantiation of \"channel_out_int_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 2. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "channel_out_int_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 432 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643761 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:channel_out_int_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 419 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643762 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|counter_module:latency_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 79 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643766 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 11 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 11. The extra bits will be ignored." { } { { "db/ip/rx_cic/submodules/auk_dspip_downsample.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_downsample.sv" 50 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643766 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_dec_siso:dec_one|auk_dspip_downsample:vrc_en_0.first_dsample|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 313 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643809 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 12 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 298 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643809 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 270 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643809 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:latency_cnt_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_ch_inst 32 1 " "Port \"counter_max\" on the entity instantiation of \"counter_ch_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_ch_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 313 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643854 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_ch_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max counter_fs_inst 32 12 " "Port \"counter_max\" on the entity instantiation of \"counter_fs_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "counter_fs_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 298 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643854 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:counter_fs_inst"} -{ "Warning" "WSGN_WIDTH_MISMATCH_INPUT_PORT_TOO_NARROW" "counter_max latency_cnt_inst 32 4 " "Port \"counter_max\" on the entity instantiation of \"latency_cnt_inst\" is connected to a signal of width 32. The formal width of the signal in the module is 4. The extra bits will be ignored." { } { { "db/ip/tx_cic/submodules/alt_cic_int_siso.sv" "latency_cnt_inst" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_int_siso.sv" 270 0 0 } } } 0 12020 "Port \"%1!s!\" on the entity instantiation of \"%2!s!\" is connected to a signal of width %3!d!. The formal width of the signal in the module is %4!d!. The extra bits will be ignored." 1 0 "Analysis & Synthesis" 0 -1 1616603643854 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|alt_cic_int_siso:int_one|counter_module:latency_cnt_inst"} -{ "Info" "ISCI_START_SUPER_FABRIC_GEN" "alt_sld_fab " "Starting IP generation for the debug fabric: alt_sld_fab." { } { } 0 11170 "Starting IP generation for the debug fabric: %1!s!." 0 0 "Analysis & Synthesis" 0 -1 1616603644480 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "2021.03.24.20:34:09 Progress: Loading sld0b974a4e/alt_sld_fab_wrapper_hw.tcl " "2021.03.24.20:34:09 Progress: Loading sld0b974a4e/alt_sld_fab_wrapper_hw.tcl" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603649979 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab.alt_sld_fab: SLD fabric agents which did not specify prefer_host were connected to JTAG " "Alt_sld_fab.alt_sld_fab: SLD fabric agents which did not specify prefer_host were connected to JTAG" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603658381 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab: Generating alt_sld_fab \"alt_sld_fab\" for QUARTUS_SYNTH " "Alt_sld_fab: Generating alt_sld_fab \"alt_sld_fab\" for QUARTUS_SYNTH" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603658567 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab: \"alt_sld_fab\" instantiated alt_sld_fab \"alt_sld_fab\" " "Alt_sld_fab: \"alt_sld_fab\" instantiated alt_sld_fab \"alt_sld_fab\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603663645 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Presplit: \"alt_sld_fab\" instantiated altera_super_splitter \"presplit\" " "Presplit: \"alt_sld_fab\" instantiated altera_super_splitter \"presplit\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603664406 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Splitter: \"alt_sld_fab\" instantiated altera_sld_splitter \"splitter\" " "Splitter: \"alt_sld_fab\" instantiated altera_sld_splitter \"splitter\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603665210 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Sldfabric: \"alt_sld_fab\" instantiated altera_sld_jtag_hub \"sldfabric\" " "Sldfabric: \"alt_sld_fab\" instantiated altera_sld_jtag_hub \"sldfabric\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603666054 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Ident: \"alt_sld_fab\" instantiated altera_connection_identification_hub \"ident\" " "Ident: \"alt_sld_fab\" instantiated altera_connection_identification_hub \"ident\"" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603666071 ""} -{ "Info" "ISCI_EXT_PROC_INFO_MSG" "Alt_sld_fab: Done \"alt_sld_fab\" with 6 modules, 6 files " "Alt_sld_fab: Done \"alt_sld_fab\" with 6 modules, 6 files" { } { } 0 11172 "%1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603666072 ""} -{ "Info" "ISCI_END_SUPER_FABRIC_GEN" "alt_sld_fab " "Finished IP generation for the debug fabric: alt_sld_fab." { } { } 0 11171 "Finished IP generation for the debug fabric: %1!s!." 0 0 "Analysis & Synthesis" 0 -1 1616603666827 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/alt_sld_fab.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/alt_sld_fab.v" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab " "Found entity 1: alt_sld_fab" { } { { "db/ip/sld0b974a4e/alt_sld_fab.v" "" { Text "C:/FPGA/db/ip/sld0b974a4e/alt_sld_fab.v" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603667371 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603667371 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab " "Found entity 1: alt_sld_fab_alt_sld_fab" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab.v" 9 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603667566 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603667566 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_ident " "Found entity 1: alt_sld_fab_alt_sld_fab_ident" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_ident.sv" 33 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603667639 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603667639 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_presplit " "Found entity 1: alt_sld_fab_alt_sld_fab_presplit" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_presplit.sv" 3 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603667804 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603667804 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd 2 1 " "Found 2 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 alt_sld_fab_alt_sld_fab_sldfabric-rtl " "Found design unit 1: alt_sld_fab_alt_sld_fab_sldfabric-rtl" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" 102 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603668043 ""} { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_sldfabric " "Found entity 1: alt_sld_fab_alt_sld_fab_sldfabric" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd" 11 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603668043 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603668043 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv 1 1 " "Found 1 design units, including 1 entities, in source file db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv" { { "Info" "ISGN_ENTITY_NAME" "1 alt_sld_fab_alt_sld_fab_splitter " "Found entity 1: alt_sld_fab_alt_sld_fab_splitter" { } { { "db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv" "" { Text "C:/FPGA/db/ip/sld0b974a4e/submodules/alt_sld_fab_alt_sld_fab_splitter.sv" 3 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1616603668210 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603668210 ""} -{ "Info" "ISGN_QIC_SYNTHESIS_TOP_SEVERAL" "2 " "2 design partitions require synthesis" { { "Info" "ISGN_QIC_SYNTHESIS_REASON_MISSING_NETLIST" "Top " "Partition \"Top\" requires synthesis because the project database does not contain a post-synthesis netlist for this partition" { } { } 0 12213 "Partition \"%1!s!\" requires synthesis because the project database does not contain a post-synthesis netlist for this partition" 0 0 "Design Software" 0 -1 1616603670618 ""} { "Info" "ISGN_QIC_SYNTHESIS_REASON_MISSING_NETLIST" "sld_hub:auto_hub " "Partition \"sld_hub:auto_hub\" requires synthesis because the project database does not contain a post-synthesis netlist for this partition" { } { } 0 12213 "Partition \"%1!s!\" requires synthesis because the project database does not contain a post-synthesis netlist for this partition" 0 0 "Design Software" 0 -1 1616603670618 ""} } { } 0 12206 "%1!d! design partitions require synthesis" 0 0 "Analysis & Synthesis" 0 -1 1616603670618 ""} -{ "Info" "ISGN_QIC_NO_SYNTHESIS_TOP_ZERO" "" "No design partitions will skip synthesis in the current incremental compilation" { } { } 0 12209 "No design partitions will skip synthesis in the current incremental compilation" 0 0 "Analysis & Synthesis" 0 -1 1616603670618 ""} -{ "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_HDR" "" "Synthesized away the following node(s):" { { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_SUB_HDR" "RAM " "Synthesized away the following RAM node(s):" { { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\] " "Synthesized away node \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\]\"" { } { { "db/altsyncram_dah1.tdf" "" { Text "C:/FPGA/db/altsyncram_dah1.tdf" 1063 2 0 } } { "db/a_dpfifo_7qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 44 2 0 } } { "db/scfifo_ai71.tdf" "" { Text "C:/FPGA/db/scfifo_ai71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ai71:auto_generated|a_dpfifo_7qv:dpfifo|altsyncram_dah1:FIFOram|ram_block1a32"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\] " "Synthesized away node \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 775 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a23"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\] " "Synthesized away node \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 807 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|rx_cic:RX_CIC_Q|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a24"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\] " "Synthesized away node \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|altsyncram_dah1:FIFOram\|q_b\[32\]\"" { } { { "db/altsyncram_dah1.tdf" "" { Text "C:/FPGA/db/altsyncram_dah1.tdf" 1063 2 0 } } { "db/a_dpfifo_7qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_7qv.tdf" 44 2 0 } } { "db/scfifo_ai71.tdf" "" { Text "C:/FPGA/db/scfifo_ai71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ai71:auto_generated|a_dpfifo_7qv:dpfifo|altsyncram_dah1:FIFOram|ram_block1a32"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\] " "Synthesized away node \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[23\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 775 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a23"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\] " "Synthesized away node \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_ef71:auto_generated\|a_dpfifo_vkv:dpfifo\|altsyncram_h7h1:FIFOram\|q_b\[24\]\"" { } { { "db/altsyncram_h7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_h7h1.tdf" 807 2 0 } } { "db/a_dpfifo_vkv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_vkv.tdf" 44 2 0 } } { "db/scfifo_ef71.tdf" "" { Text "C:/FPGA/db/scfifo_ef71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|rx_cic:RX_CIC_I|rx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_ef71:auto_generated|a_dpfifo_vkv:dpfifo|altsyncram_h7h1:FIFOram|ram_block1a24"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_hah1.tdf" "" { Text "C:/FPGA/db/altsyncram_hah1.tdf" 551 2 0 } } { "db/a_dpfifo_9qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 44 2 0 } } { "db/scfifo_ci71.tdf" "" { Text "C:/FPGA/db/scfifo_ci71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 248 4928 5184 480 "TX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 551 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 248 4928 5184 480 "TX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\] " "Synthesized away node \"tx_cic:TX_CIC_Q\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 583 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 248 4928 5184 480 "TX_CIC_Q" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|tx_cic:TX_CIC_Q|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a17"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ci71:auto_generated\|a_dpfifo_9qv:dpfifo\|altsyncram_hah1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_hah1.tdf" "" { Text "C:/FPGA/db/altsyncram_hah1.tdf" 551 2 0 } } { "db/a_dpfifo_9qv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_9qv.tdf" 44 2 0 } } { "db/scfifo_ci71.tdf" "" { Text "C:/FPGA/db/scfifo_ci71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_source.vhd" 116 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 358 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_source:output_source_0|scfifo:source_FIFO|scfifo_ci71:auto_generated|a_dpfifo_9qv:dpfifo|altsyncram_hah1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\] " "Synthesized away node \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[16\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 551 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a16"} { "Warning" "WCDB_SGATE_CDB_SGATE_SWEPT_NODE" "tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\] " "Synthesized away node \"tx_cic:TX_CIC_I\|tx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_sink:input_sink\|scfifo:sink_FIFO\|scfifo_gf71:auto_generated\|a_dpfifo_1lv:dpfifo\|altsyncram_l7h1:FIFOram\|q_b\[17\]\"" { } { { "db/altsyncram_l7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_l7h1.tdf" 583 2 0 } } { "db/a_dpfifo_1lv.tdf" "" { Text "C:/FPGA/db/a_dpfifo_1lv.tdf" 44 2 0 } } { "db/scfifo_gf71.tdf" "" { Text "C:/FPGA/db/scfifo_gf71.tdf" 36 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/auk_dspip_avalon_streaming_sink.vhd" 123 0 0 } } { "db/ip/tx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/alt_cic_core.sv" 326 0 0 } } { "db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/tx_cic/submodules/tx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/tx_cic/tx_cic.v" "" { Text "C:/FPGA/db/ip/tx_cic/tx_cic.v" 31 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -40 4928 5184 192 "TX_CIC_I" "" } } } } } 0 14320 "Synthesized away node \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603671366 "|WOLF-LITE|tx_cic:TX_CIC_I|tx_cic_cic_ii_0:cic_ii_0|alt_cic_core:core|auk_dspip_avalon_streaming_sink:input_sink|scfifo:sink_FIFO|scfifo_gf71:auto_generated|a_dpfifo_1lv:dpfifo|altsyncram_l7h1:FIFOram|ram_block1a17"} } { } 0 14285 "Synthesized away the following %1!s! node(s):" 0 0 "Design Software" 0 -1 1616603671366 ""} } { } 0 14284 "Synthesized away the following node(s):" 1 0 "Analysis & Synthesis" 0 -1 1616603671366 ""} -{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "2 " "2 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1616603672552 ""} -{ "Info" "IQSYN_PARALLEL_SYNTHESIS" "8 2 " "Using 8 processors to synthesize 2 partitions in parallel" { } { } 0 281037 "Using %1!d! processors to synthesize %2!d! partitions in parallel" 0 0 "Analysis & Synthesis" 0 -1 1616603672827 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 1 1616603674284 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 0 1616603674292 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 1 1616603674295 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:34:33 2021 " "Processing started: Wed Mar 24 19:34:33 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 1 1616603674295 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 1 1616603674295 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --parallel=1 --helper=1 --helper_type=user_partition --partition=sld_hub:auto_hub WOLF-LITE -c WOLF-LITE " "Command: quartus_map --parallel=1 --helper=1 --helper_type=user_partition --partition=sld_hub:auto_hub WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 1 1616603674295 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 0 1616603674303 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:34:33 2021 " "Processing started: Wed Mar 24 19:34:33 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 0 1616603674303 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603674303 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --parallel=1 --helper=0 --helper_type=user_partition --partition=Top WOLF-LITE -c WOLF-LITE " "Command: quartus_map --parallel=1 --helper=0 --helper_type=user_partition --partition=Top WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603674303 ""} -{ "Info" "IQSYN_SYNTHESIZE_PARTITION" "sld_hub:auto_hub " "Starting Logic Optimization and Technology Mapping for Partition sld_hub:auto_hub" { } { } 0 281019 "Starting Logic Optimization and Technology Mapping for Partition %1!s!" 0 0 "Analysis & Synthesis" 0 1 1616603676993 ""} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 speed 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"speed\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 1 1616603677004 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "sld_jtag_hub.vhd" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 386 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 1 1616603677018 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Analysis & Synthesis" 0 1 1616603677018 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING_ON_PARTITION" "sld_hub:auto_hub " "Timing-Driven Synthesis is running on partition \"sld_hub:auto_hub\"" { } { } 0 286031 "Timing-Driven Synthesis is running on partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 1 1616603677218 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Analysis & Synthesis" 0 1 1616603678137 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Analysis & Synthesis" 0 1 1616603678458 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 5 clocks " "Found 5 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1616603678458 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1616603678458 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 15.547 clk_sys " " 15.547 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1616603678458 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1616603678458 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.378 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.378 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1616603678458 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20832.980 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20832.980 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1616603678458 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.218 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.218 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 1 1616603678458 ""} } { } 0 332111 "%1!s!" 0 0 "Analysis & Synthesis" 0 1 1616603678458 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_START" "combinational resynthesis using boolean division " "Starting physical synthesis algorithm combinational resynthesis using boolean division" { } { } 0 128002 "Starting physical synthesis algorithm %1!s!" 0 0 "Analysis & Synthesis" 0 1 1616603678477 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_END_SLACK" "combinational resynthesis using boolean division 0 " "Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps" { } { } 0 128003 "Physical synthesis algorithm %1!s! complete: estimated slack improvement of %2!d! ps" 0 0 "Analysis & Synthesis" 0 1 1616603678586 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:00 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:00" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Analysis & Synthesis" 0 1 1616603678587 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "238 " "Implemented 238 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "39 " "Implemented 39 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 1 1616603678661 ""} { "Info" "ICUT_CUT_TM_OPINS" "56 " "Implemented 56 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 1 1616603678661 ""} { "Info" "ICUT_CUT_TM_LCELLS" "143 " "Implemented 143 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 1 1616603678661 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 1 1616603678661 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 1 1616603678688 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4804 " "Peak virtual memory: 4804 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 1 1616603678772 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:34:38 2021 " "Processing ended: Wed Mar 24 19:34:38 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 1 1616603678772 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 1 1616603678772 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:11 " "Total CPU time (on all processors): 00:00:11" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 1 1616603678772 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 1 1616603678772 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "8 " "Inferred 8 megafunctions from design logic" { { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "Mult1" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "Mult0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 50 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "Mult1" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 52 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0\"" { } { { "db/ip/tx_nco/submodules/asj_nco_mady_cen.v" "Mult0" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_mady_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "Mult1" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|Mult0\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "Mult0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 50 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "Mult1" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 52 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} { "Info" "ILPMS_LPM_MULT_INFERRED" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0 lpm_mult " "Inferred multiplier megafunction (\"lpm_mult\") from the following logic: \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_mady_cen:m0\|Mult0\"" { } { { "db/ip/nco/submodules/asj_nco_mady_cen.v" "Mult0" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_mady_cen.v" 51 -1 0 } } } 0 278003 "Inferred multiplier megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Design Software" 0 0 1616603688405 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 0 1616603688405 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Elaborated megafunction instantiation \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\"" { } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1616603688735 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Instantiated megafunction \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 16 " "Parameter \"LPM_WIDTHA\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 16 " "Parameter \"LPM_WIDTHB\" = \"16\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 32 " "Parameter \"LPM_WIDTHP\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 32 " "Parameter \"LPM_WIDTHR\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 5 " "Parameter \"MAXIMIZE_SPEED\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603688735 ""} } { { "db/ip/tx_nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/tx_nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1616603688735 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_36t.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_36t.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_36t " "Found entity 1: mult_36t" { } { { "db/mult_36t.tdf" "" { Text "C:/FPGA/db/mult_36t.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1616603688885 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603688885 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Elaborated megafunction instantiation \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\"" { } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1616603689362 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1 " "Instantiated megafunction \"nco:RX_NCO\|nco_nco_ii_0:nco_ii_0\|asj_nco_madx_cen:m1\|lpm_mult:Mult1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHA 12 " "Parameter \"LPM_WIDTHA\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHB 12 " "Parameter \"LPM_WIDTHB\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHP 24 " "Parameter \"LPM_WIDTHP\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHR 24 " "Parameter \"LPM_WIDTHR\" = \"24\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTHS 1 " "Parameter \"LPM_WIDTHS\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION SIGNED " "Parameter \"LPM_REPRESENTATION\" = \"SIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_A_IS_CONSTANT NO " "Parameter \"INPUT_A_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INPUT_B_IS_CONSTANT NO " "Parameter \"INPUT_B_IS_CONSTANT\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMIZE_SPEED 6 " "Parameter \"MAXIMIZE_SPEED\" = \"6\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603689362 ""} } { { "db/ip/nco/submodules/asj_nco_madx_cen.v" "" { Text "C:/FPGA/db/ip/nco/submodules/asj_nco_madx_cen.v" 51 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1616603689362 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mult_t5t.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mult_t5t.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mult_t5t " "Found entity 1: mult_t5t" { } { { "db/mult_t5t.tdf" "" { Text "C:/FPGA/db/mult_t5t.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1616603689511 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603689511 ""} -{ "Info" "IBAL_BAL_CONVERTED_RAM_SLICES_TO_LCELLS_TOP_MSG" "2 " "Converted the following 2 logical RAM block slices to logic cells" { { "Info" "IBAL_BAL_CONVERTED_LOGICAL_RAM_GROUP_TO_LCELLS" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ALTSYNCRAM " "Converted the following logical RAM block \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ALTSYNCRAM\" slices to logic cells" { { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a54 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a54\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1767 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a55 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a55\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1799 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a56 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a56\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1831 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a57 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a57\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1863 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a58 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a58\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1895 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a59 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a59\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1927 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a60 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a60\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1959 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a61 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a61\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1991 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a62 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a62\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2023 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a63 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a63\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2055 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a64 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a64\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2087 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a65 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a65\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2119 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a66 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a66\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2151 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a67 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a67\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2183 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a68 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a68\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2215 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a69 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a69\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2247 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a70 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a70\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2279 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a71 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a71\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2311 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a72 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a72\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2343 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a73 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a73\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2375 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a74 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a74\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2407 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a75 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a75\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2439 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a76 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a76\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2471 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a77 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a77\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2503 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a78 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a78\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2535 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a79 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a79\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2567 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a80 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a80\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2599 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a81 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a81\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2631 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a82 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a82\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2663 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a83 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a83\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2695 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a84 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a84\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2727 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a85 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a85\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2759 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a53 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a53\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1735 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a52 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a52\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1703 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a51 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a51\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1671 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a50 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a50\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1639 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a49 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a49\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1607 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a48 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a48\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1575 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a47 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a47\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1543 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a46 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a46\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1511 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a45 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a45\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1479 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a44 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a44\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1447 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a43 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a43\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1415 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a42 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a42\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1383 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a41 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a41\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1351 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a40 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a40\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1319 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a39 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a39\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1287 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a38 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a38\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1255 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a37 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a37\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1223 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a36 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a36\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1191 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a35 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a35\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1159 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a34 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a34\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1127 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a33 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a33\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1095 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a32 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a32\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1063 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a31 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a31\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1031 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a30 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a30\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 999 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a29 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a29\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 967 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a28 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a28\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 935 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a27 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a27\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 903 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a26 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a26\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 871 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a25 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a25\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 839 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a24 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a24\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 807 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a23 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a23\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 775 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a22 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a22\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 743 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a21 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a21\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 711 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a20 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a20\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 679 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a19 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a19\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 647 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a18 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a18\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 615 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a17 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a17\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 583 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a16 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a16\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 551 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a15 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a15\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 519 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a14 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a14\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 487 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a13 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a13\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 455 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a12 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a12\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 423 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a11 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a11\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 391 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a10 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a10\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 359 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a9 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a9\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 327 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a8 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a8\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 295 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a7 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a7\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 263 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a6 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a6\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 231 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a5 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a5\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 199 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a4 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a4\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 167 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a3 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a3\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 135 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a2 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a2\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 103 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a1 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a1\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 71 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a0 " "RAM block slice \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a0\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 39 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 272 896 1152 544 "RX_CIC_Q" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} } { } 0 270022 "Converted the following logical RAM block \"%1!s!\" slices to logic cells" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_CONVERTED_LOGICAL_RAM_GROUP_TO_LCELLS" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ALTSYNCRAM " "Converted the following logical RAM block \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ALTSYNCRAM\" slices to logic cells" { { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a54 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a54\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1767 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a55 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a55\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1799 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a56 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a56\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1831 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a57 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a57\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1863 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a58 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a58\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1895 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a59 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a59\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1927 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a60 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a60\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1959 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a61 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a61\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1991 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a62 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a62\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2023 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a63 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a63\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2055 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a64 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a64\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2087 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a65 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a65\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2119 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a66 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a66\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2151 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a67 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a67\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2183 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a68 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a68\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2215 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a69 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a69\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2247 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a70 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a70\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2279 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a71 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a71\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2311 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a72 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a72\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2343 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a73 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a73\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2375 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a74 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a74\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2407 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a75 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a75\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2439 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a76 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a76\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2471 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a77 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a77\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2503 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a78 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a78\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2535 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a79 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a79\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2567 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a80 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a80\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2599 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a81 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a81\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2631 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a82 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a82\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2663 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a83 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a83\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2695 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a84 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a84\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2727 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a85 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a85\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 2759 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a53 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a53\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1735 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a52 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a52\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1703 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a51 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a51\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1671 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a50 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a50\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1639 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a49 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a49\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1607 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a48 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a48\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1575 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a47 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a47\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1543 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a46 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a46\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1511 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a45 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a45\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1479 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a44 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a44\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1447 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a43 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a43\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1415 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a42 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a42\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1383 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a41 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a41\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1351 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a40 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a40\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1319 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a39 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a39\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1287 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a38 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a38\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1255 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a37 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a37\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1223 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a36 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a36\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1191 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a35 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a35\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1159 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a34 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a34\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1127 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a33 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a33\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1095 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a32 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a32\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1063 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a31 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a31\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 1031 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a30 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a30\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 999 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a29 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a29\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 967 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a28 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a28\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 935 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a27 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a27\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 903 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a26 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a26\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 871 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a25 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a25\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 839 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a24 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a24\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 807 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a23 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a23\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 775 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a22 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a22\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 743 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a21 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a21\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 711 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a20 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a20\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 679 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a19 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a19\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 647 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a18 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a18\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 615 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a17 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a17\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 583 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a16 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a16\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 551 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a15 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a15\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 519 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a14 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a14\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 487 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a13 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a13\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 455 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a12 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a12\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 423 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a11 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a11\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 391 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a10 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a10\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 359 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a9 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a9\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 327 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a8 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a8\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 295 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a7 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a7\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 263 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a6 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a6\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 231 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a5 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a5\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 199 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a4 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a4\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 167 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a3 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a3\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 135 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a2 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a2\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 103 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a1 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a1\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 71 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} { "Info" "IBAL_BAL_RAM_SLICE" "rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a0 " "RAM block slice \"rx_cic:RX_CIC_I\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|ram_block1a0\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 39 2 0 } } { "db/a_dpfifo_5ku.tdf" "" { Text "C:/FPGA/db/a_dpfifo_5ku.tdf" 42 2 0 } } { "db/scfifo_qm51.tdf" "" { Text "C:/FPGA/db/scfifo_qm51.tdf" 34 2 0 } } { "scfifo.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/scfifo.tdf" 299 3 0 } } { "db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/auk_dspip_channel_buffer.vhd" 89 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_dec_siso.sv" 367 0 0 } } { "db/ip/rx_cic/submodules/alt_cic_core.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/alt_cic_core.sv" 475 0 0 } } { "db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" "" { Text "C:/FPGA/db/ip/rx_cic/submodules/rx_cic_cic_ii_0.sv" 213 0 0 } } { "db/ip/rx_cic/rx_cic.v" "" { Text "C:/FPGA/db/ip/rx_cic/rx_cic.v" 32 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -8 896 1152 264 "RX_CIC_I" "" } } } } } 0 270019 "RAM block slice \"%1!s!\"" 0 0 "Design Software" 0 0 1616603690215 ""} } { } 0 270022 "Converted the following logical RAM block \"%1!s!\" slices to logic cells" 0 0 "Design Software" 0 0 1616603690215 ""} } { } 0 270023 "Converted the following %1!d! logical RAM block slices to logic cells" 0 0 "Analysis & Synthesis" 0 0 1616603690215 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|altsyncram:ram_block1a0 " "Elaborated megafunction instantiation \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|altsyncram:ram_block1a0\"" { } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 39 2 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1616603690762 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|altsyncram:ram_block1a0 " "Instantiated megafunction \"rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|altsyncram_m7h1:FIFOram\|altsyncram:ram_block1a0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE altsyncram " "Parameter \"LPM_TYPE\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 86 " "Parameter \"WIDTH_A\" = \"86\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 2 " "Parameter \"WIDTHAD_A\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 4 " "Parameter \"NUMWORDS_A\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_A NONE " "Parameter \"OUTDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_ACLR_A NONE " "Parameter \"BYTEENA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_INPUT_A BYPASS " "Parameter \"CLOCK_ENABLE_INPUT_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_OUTPUT_A BYPASS " "Parameter \"CLOCK_ENABLE_OUTPUT_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 86 " "Parameter \"WIDTH_B\" = \"86\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 2 " "Parameter \"WIDTHAD_B\" = \"2\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 4 " "Parameter \"NUMWORDS_B\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_REG_B UNUSED " "Parameter \"INDATA_REG_B\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_WRADDRESS_REG_B CLOCK1 " "Parameter \"WRCONTROL_WRADDRESS_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK1 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK1 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_REG_B UNUSED " "Parameter \"BYTEENA_REG_B\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B CLOCK1 " "Parameter \"OUTDATA_REG_B\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_B NONE " "Parameter \"INDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_B NONE " "Parameter \"WRCONTROL_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_ACLR_B NONE " "Parameter \"RDCONTROL_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTEENA_ACLR_B NONE " "Parameter \"BYTEENA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_INPUT_B BYPASS " "Parameter \"CLOCK_ENABLE_INPUT_B\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_OUTPUT_B NORMAL " "Parameter \"CLOCK_ENABLE_OUTPUT_B\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_BYTEENA_A 1 " "Parameter \"WIDTH_BYTEENA_A\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_BYTEENA_B 1 " "Parameter \"WIDTH_BYTEENA_B\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "BYTE_SIZE 8 " "Parameter \"BYTE_SIZE\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS DONT_CARE " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE " "Parameter \"INIT_FILE\" = \"\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE_LAYOUT PORT_B " "Parameter \"INIT_FILE_LAYOUT\" = \"PORT_B\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "MAXIMUM_DEPTH 4 " "Parameter \"MAXIMUM_DEPTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ENABLE_RUNTIME_MOD NO " "Parameter \"ENABLE_RUNTIME_MOD\" = \"NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INSTANCE_NAME UNUSED " "Parameter \"INSTANCE_NAME\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ENABLE_ECC FALSE " "Parameter \"ENABLE_ECC\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ECCSTATUS_REG UNREGISTERED " "Parameter \"ECCSTATUS_REG\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_CORE_A BYPASS " "Parameter \"CLOCK_ENABLE_CORE_A\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_CORE_B BYPASS " "Parameter \"CLOCK_ENABLE_CORE_B\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_PORT_A NEW_DATA_WITH_NBE_READ " "Parameter \"READ_DURING_WRITE_MODE_PORT_A\" = \"NEW_DATA_WITH_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_PORT_B NEW_DATA_WITH_NBE_READ " "Parameter \"READ_DURING_WRITE_MODE_PORT_B\" = \"NEW_DATA_WITH_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "CLOCK_ENABLE_ECC_STATUS NORMAL " "Parameter \"CLOCK_ENABLE_ECC_STATUS\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "IMPLEMENT_IN_LES ON " "Parameter \"IMPLEMENT_IN_LES\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 0 1616603690762 ""} } { { "db/altsyncram_m7h1.tdf" "" { Text "C:/FPGA/db/altsyncram_m7h1.tdf" 39 2 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 0 1616603690762 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_nci3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_nci3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_nci3 " "Found entity 1: altsyncram_nci3" { } { { "db/altsyncram_nci3.tdf" "" { Text "C:/FPGA/db/altsyncram_nci3.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1616603690946 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603690946 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/decode_msa.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/decode_msa.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 decode_msa " "Found entity 1: decode_msa" { } { { "db/decode_msa.tdf" "" { Text "C:/FPGA/db/decode_msa.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1616603691151 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603691151 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/mux_sob.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/mux_sob.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 mux_sob " "Found entity 1: mux_sob" { } { { "db/mux_sob.tdf" "" { Text "C:/FPGA/db/mux_sob.tdf" 22 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 0 1616603691350 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603691350 ""} -{ "Info" "IQSYN_SYNTHESIZE_TOP_PARTITION" "" "Starting Logic Optimization and Technology Mapping for Top Partition" { } { } 0 281020 "Starting Logic Optimization and Technology Mapping for Top Partition" 0 0 "Analysis & Synthesis" 0 0 1616603693048 ""} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 speed 102 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"speed\" technology mapper which leaves 102 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 0 1616603693129 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/rx_ciccomp/rx_ciccomp_0002_rtl_core.vhd" 388 -1 0 } } { "tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" "" { Text "C:/FPGA/tx_ciccomp/tx_ciccomp_0002_rtl_core.vhd" 299 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 0 1616603693417 ""} -{ "Info" "IMLS_MLS_DEV_CLRN_SETS_REGISTERS" "" "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" { } { } 0 13003 "DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back" 0 0 "Analysis & Synthesis" 0 0 1616603693417 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING_ON_PARTITION" "Top " "Timing-Driven Synthesis is running on partition \"Top\"" { } { } 0 286031 "Timing-Driven Synthesis is running on partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 0 1616603698616 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "37 " "37 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 0 1616603706978 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603707469 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Analysis & Synthesis" 0 0 1616603708836 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 5 clocks " "Found 5 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1616603708837 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1616603708837 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 15.547 clk_sys " " 15.547 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1616603708837 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1616603708837 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.378 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.378 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1616603708837 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20832.980 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20832.980 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1616603708837 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.218 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.218 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 0 1616603708837 ""} } { } 0 332111 "%1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603708837 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_START" "combinational resynthesis using boolean division " "Starting physical synthesis algorithm combinational resynthesis using boolean division" { } { } 0 128002 "Starting physical synthesis algorithm %1!s!" 0 0 "Analysis & Synthesis" 0 0 1616603709653 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_ALGO_END_SLACK" "combinational resynthesis using boolean division 0 " "Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps" { } { } 0 128003 "Physical synthesis algorithm %1!s! complete: estimated slack improvement of %2!d! ps" 0 0 "Analysis & Synthesis" 0 0 1616603709892 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:02 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:02" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Analysis & Synthesis" 0 0 1616603709909 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "10749 " "Implemented 10749 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "33 " "Implemented 33 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 0 1616603710010 ""} { "Info" "ICUT_CUT_TM_OPINS" "41 " "Implemented 41 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 0 1616603710010 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 0 1616603710010 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10274 " "Implemented 10274 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 0 1616603710010 ""} { "Info" "ICUT_CUT_TM_RAMS" "354 " "Implemented 354 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 0 1616603710010 ""} { "Info" "ICUT_CUT_TM_PLLS" "2 " "Implemented 2 PLLs" { } { } 0 21065 "Implemented %1!d! PLLs" 0 0 "Design Software" 0 0 1616603710010 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "36 " "Implemented 36 DSP elements" { } { } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Design Software" 0 0 1616603710010 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 0 1616603710010 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 0 1616603710583 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4902 " "Peak virtual memory: 4902 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 0 1616603710824 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:35:10 2021 " "Processing ended: Wed Mar 24 19:35:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 0 1616603710824 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:37 " "Elapsed time: 00:00:37" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 0 1616603710824 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:43 " "Total CPU time (on all processors): 00:00:43" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 0 1616603710824 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 0 1616603710824 ""} -{ "Info" "IQSYN_PARALLEL_SYNTHESIS_SUCCESS" "" "Finished parallel synthesis of all partitions" { } { } 0 281038 "Finished parallel synthesis of all partitions" 0 0 "Analysis & Synthesis" 0 -1 1616603711639 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "DEBUG2 16 " "Ignored 16 assignments for entity \"DEBUG2\" -- entity does not exist in design" { } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1616603711729 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.map.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603714530 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4928 " "Peak virtual memory: 4928 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603719733 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:35:19 2021 " "Processing ended: Wed Mar 24 19:35:19 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603719733 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:03:50 " "Elapsed time: 00:03:50" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603719733 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:06:03 " "Total CPU time (on all processors): 00:06:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603719733 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1616603719733 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1616603722776 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Partition Merge Quartus Prime " "Running Quartus Prime Partition Merge" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603722794 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:35:21 2021 " "Processing started: Wed Mar 24 19:35:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603722794 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1616603722794 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on " "Command: quartus_cdb --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --merge=on" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1616603722795 ""} -{ "Warning" "WAMERGE_PARTITION_SOURCE_POST_FIT_MISSING" "Top " "Previously generated Fitter netlist for partition \"Top\" does not exist -- using previously generated Synthesis netlist instead" { } { } 0 35009 "Previously generated Fitter netlist for partition \"%1!s!\" does not exist -- using previously generated Synthesis netlist instead" 0 0 "Design Software" 0 -1 1616603723127 ""} -{ "Info" "IAMERGE_PARTITION_SOURCE_SOURCE" "Top " "Using synthesis netlist for partition \"Top\"" { } { } 0 35007 "Using synthesis netlist for partition \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603723127 ""} -{ "Info" "IAMERGE_PARTITION_SOURCE_SOURCE" "sld_hub:auto_hub " "Using synthesis netlist for partition \"sld_hub:auto_hub\"" { } { } 0 35007 "Using synthesis netlist for partition \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603725511 ""} -{ "Info" "IAMERGE_ATOM_BLACKBOX_RESOLVED" "2 " "Resolved and merged 2 partition(s)" { } { } 0 35002 "Resolved and merged %1!d! partition(s)" 0 0 "Design Software" 0 -1 1616603727545 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "2 0 2 0 0 " "Adding 2 node(s), including 0 DDIO, 2 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1616603727765 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Design Software" 0 -1 1616603727765 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "10872 " "Implemented 10872 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "20 " "Implemented 20 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1616603729277 ""} { "Info" "ICUT_CUT_TM_OPINS" "37 " "Implemented 37 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1616603729277 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1616603729277 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10413 " "Implemented 10413 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1616603729277 ""} { "Info" "ICUT_CUT_TM_RAMS" "354 " "Implemented 354 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 -1 1616603729277 ""} { "Info" "ICUT_CUT_TM_PLLS" "2 " "Implemented 2 PLLs" { } { } 0 21065 "Implemented %1!d! PLLs" 0 0 "Design Software" 0 -1 1616603729277 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "36 " "Implemented 36 DSP elements" { } { } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Design Software" 0 -1 1616603729277 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Design Software" 0 -1 1616603729277 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Partition Merge 0 s 1 Quartus Prime " "Quartus Prime Partition Merge was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4734 " "Peak virtual memory: 4734 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603730106 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:35:30 2021 " "Processing ended: Wed Mar 24 19:35:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603730106 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603730106 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603730106 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1616603730106 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1616603733117 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603733141 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:35:31 2021 " "Processing started: Wed Mar 24 19:35:31 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603733141 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1616603733141 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan " "Command: quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE --plan" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1616603733141 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1616603734240 ""} -{ "Info" "0" "" "Project = WOLF-LITE" { } { } 0 0 "Project = WOLF-LITE" 0 0 "Fitter" 0 0 1616603734241 ""} -{ "Info" "0" "" "Revision = WOLF-LITE" { } { } 0 0 "Revision = WOLF-LITE" 0 0 "Fitter" 0 0 1616603734242 ""} -{ "Info" "IQCU_OPT_MODE_DESCRIPTION" "Aggressive Performance timing performance increased logic area and compilation time " "Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time" { } { } 0 16303 "%1!s! optimization mode selected -- %2!s! will be prioritized at the potential cost of %3!s!" 0 0 "Fitter" 0 -1 1616603734615 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1616603734629 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "WOLF-LITE EP4CE10E22C8 " "Selected device EP4CE10E22C8 for design \"WOLF-LITE\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1616603734766 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616603734842 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616603734843 ""} -{ "Info" "ICUT_CUT_PLL_COMPUTATION_SUCCESS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 Cyclone IV E PLL " "Implemented PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" as Cyclone IV E PLL type" { { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] 64 335 0 0 " "Implementing clock multiplication of 64, clock division of 335, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] port" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1616603735018 ""} { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] 1 1340 0 0 " "Implementing clock multiplication of 1, clock division of 1340, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] port" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 600 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1616603735018 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 15535 "Implemented %3!s! \"%1!s!\" as %2!s! PLL type" 0 0 "Fitter" 0 -1 1616603735018 ""} -{ "Info" "ICUT_CUT_PLL_COMPUTATION_SUCCESS" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 Cyclone IV E PLL " "Implemented PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" as Cyclone IV E PLL type" { { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] 5 2 0 0 " "Implementing clock multiplication of 5, clock division of 2, and phase shift of 0 degrees (0 ps) for tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] port" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1616603735022 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } } } } } 0 15535 "Implemented %3!s! \"%1!s!\" as %2!s! PLL type" 0 0 "Fitter" 0 -1 1616603735022 ""} -{ "Warning" "WMPP_MPP_RAM_IS_ACTUALLY_ROM_TOP" "" "Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example." { { "Info" "IMPP_MPP_RAM_IS_ACTUALLY_ROM_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0 " "Atom \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0\" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled" { } { } 0 119043 "Atom \"%1!s!\" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled" 0 0 "Design Software" 0 -1 1616603735027 "|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a0"} } { } 0 18550 "Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example." 1 0 "Fitter" 0 -1 1616603735027 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE6E22C8 " "Device EP4CE6E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1616603736377 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C8 " "Device EP4CE15E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1616603736377 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C8 " "Device EP4CE22E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1616603736377 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1616603736377 ""} -{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603736434 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603736434 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603736434 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603736434 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 1 0 "Fitter" 0 -1 1616603736443 ""} -{ "Info" "IFIOMGR_CONFIGURATION_VOLTAGE_IS_AUTOMATICALLY_ENFORCED" "Cyclone IV E Active Serial " "Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial'" { } { } 0 169197 "Configuration voltage level is automatically enforced for the device family '%1!s!' with the configuration scheme '%2!s!'" 0 0 "Fitter" 0 -1 1616603736841 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603736841 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603736841 ""} -{ "Warning" "WFSAC_FSAC_PLL_MERGING_PARAMETERS_MISMATCH_WARNING" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 " "The parameters of the PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 and the PLL MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 do not have the same values - hence these PLLs cannot be merged" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "M MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"M\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "M MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 64 " "The value of the parameter \"M\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 64" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "M tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 10 " "The value of the parameter \"M\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 10" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "N MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"N\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "N MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 5 " "The value of the parameter \"N\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 5" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "N tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 1 " "The value of the parameter \"N\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 1" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "LOOP FILTER R MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"LOOP FILTER R\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "LOOP FILTER R MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 6000 " "The value of the parameter \"LOOP FILTER R\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 6000" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "LOOP FILTER R tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 4000 " "The value of the parameter \"LOOP FILTER R\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 4000" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "VCO POST SCALE MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"VCO POST SCALE\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "VCO POST SCALE MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 1 " "The value of the parameter \"VCO POST SCALE\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 1" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "VCO POST SCALE tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 2 " "The value of the parameter \"VCO POST SCALE\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 2" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Min VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Min VCO Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 769 " "The value of the parameter \"Min VCO Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 769" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min VCO Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 1538 " "The value of the parameter \"Min VCO Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 1538" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Max VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Max VCO Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 1666 " "The value of the parameter \"Max VCO Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 1666" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max VCO Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 3333 " "The value of the parameter \"Max VCO Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 3333" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Center VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Center VCO Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Center VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 769 " "The value of the parameter \"Center VCO Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 769" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Center VCO Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 1538 " "The value of the parameter \"Center VCO Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 1538" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Min Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Min Lock Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 9843 " "The value of the parameter \"Min Lock Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 9843" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min Lock Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 15380 " "The value of the parameter \"Min Lock Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 15380" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Max Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Max Lock Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 20408 " "The value of the parameter \"Max Lock Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 20408" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max Lock Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 33330 " "The value of the parameter \"Max Lock Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 33330" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603737287 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } } 0 176127 "The parameters of the PLL %1!s! and the PLL %2!s! do not have the same values - hence these PLLs cannot be merged" 0 0 "Fitter" 0 -1 1616603737287 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "clk_sys~input (placed in PIN 89 (CLK6, DIFFCLK_3p)) " "Promoted node clk_sys~input (placed in PIN 89 (CLK6, DIFFCLK_3p))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 Global Clock CLKCTRL_G9 " "Automatically promoted clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 to use location or clock signal Global Clock CLKCTRL_G9" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3170 14177 15141 0 0 "" 0 "" "" } } } } } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} } { { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 688 2216 2392 704 "clk_sys" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 28473 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737915 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_2) " "Automatically promoted node MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_2)" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G8 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737915 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] (placed in counter C2 of PLL_2) " "Automatically promoted node MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] (placed in counter C2 of PLL_2)" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G7 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G7" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737915 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_1) " "Automatically promoted node tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_1)" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G3 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737915 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q " "Automatically promoted node data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 13 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 1986 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737915 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "altera_internal_jtag~TCKUTAP " "Automatically promoted node altera_internal_jtag~TCKUTAP " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} } { { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 28064 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737915 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "stm32_interface:STM32_INTERFACE\|reset_n " "Automatically promoted node stm32_interface:STM32_INTERFACE\|reset_n " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2 " "Destination node mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 633 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "mixer:RX_MIXER_Q\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2 " "Destination node mixer:RX_MIXER_Q\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3868 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[1\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[1\]" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 43 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4890 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[0\]" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 43 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4891 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[1\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[1\]" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 44 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4898 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[0\]" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 44 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4899 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_q9b:rd_ptr_msb\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_q9b:rd_ptr_msb\|counter_reg_bit\[0\]" { } { { "db/cntr_q9b.tdf" "" { Text "C:/FPGA/db/cntr_q9b.tdf" 38 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4906 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[4\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[4\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5501 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[3\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[3\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5502 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[2\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[2\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5503 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737915 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_LIMITED_TO_SUB" "10 " "Non-global destination nodes limited to 10 nodes" { } { } 0 176358 "Non-global destination nodes limited to %1!d! nodes" 0 0 "Design Software" 0 -1 1616603737915 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1616603737915 ""} } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 64 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3841 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737915 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "stm32_interface:STM32_INTERFACE\|tx " "Automatically promoted node stm32_interface:STM32_INTERFACE\|tx " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\|mac_out2 " "Destination node tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\|mac_out2" { } { { "db/mult_abt.tdf" "" { Text "C:/FPGA/db/mult_abt.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3104 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 39 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2202 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a1 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a1" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 76 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2203 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a2 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a2" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 113 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2204 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a3 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a3" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 150 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2205 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a4 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a4" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 187 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2206 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a5 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a5" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 224 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2207 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a6 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a6" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 261 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2208 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a7 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a7" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 298 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2209 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a8 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a8" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 335 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2210 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737917 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_LIMITED_TO_SUB" "10 " "Non-global destination nodes limited to 10 nodes" { } { } 0 176358 "Non-global destination nodes limited to %1!d! nodes" 0 0 "Design Software" 0 -1 1616603737917 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1616603737917 ""} } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 63 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3831 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737917 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|alt_sld_fab_with_jtag_input:\\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric\|alt_sld_fab:instrumentation_fabric\|alt_sld_fab_alt_sld_fab:alt_sld_fab\|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric\|sld_jtag_hub:\\jtag_hub_gen:real_sld_jtag_hub\|clr_reg " "Automatically promoted node sld_hub:auto_hub\|alt_sld_fab_with_jtag_input:\\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric\|alt_sld_fab:instrumentation_fabric\|alt_sld_fab_alt_sld_fab:alt_sld_fab\|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric\|sld_jtag_hub:\\jtag_hub_gen:real_sld_jtag_hub\|clr_reg " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737918 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "sld_hub:auto_hub\|alt_sld_fab_with_jtag_input:\\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric\|alt_sld_fab:instrumentation_fabric\|alt_sld_fab_alt_sld_fab:alt_sld_fab\|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric\|sld_jtag_hub:\\jtag_hub_gen:real_sld_jtag_hub\|clr_reg~_wirecell " "Destination node sld_hub:auto_hub\|alt_sld_fab_with_jtag_input:\\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric\|alt_sld_fab:instrumentation_fabric\|alt_sld_fab_alt_sld_fab:alt_sld_fab\|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric\|sld_jtag_hub:\\jtag_hub_gen:real_sld_jtag_hub\|clr_reg~_wirecell" { } { { "sld_jtag_hub.vhd" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 255 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 28425 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737918 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1616603737918 ""} } { { "sld_jtag_hub.vhd" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_jtag_hub.vhd" 255 -1 0 } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "sld_hub:auto_hub\|alt_sld_fab_with_jtag_input:\\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric\|alt_sld_fab:instrumentation_fabric\|alt_sld_fab_alt_sld_fab:alt_sld_fab\|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric\|sld_jtag_hub:\\jtag_hub_gen:real_sld_jtag_hub\|clr_reg" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 28174 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737918 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "sld_hub:auto_hub\|alt_sld_fab_with_jtag_input:\\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric\|alt_sld_fab:instrumentation_fabric\|alt_sld_fab_alt_sld_fab:alt_sld_fab\|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric\|sld_jtag_hub:\\jtag_hub_gen:real_sld_jtag_hub\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell " "Automatically promoted node sld_hub:auto_hub\|alt_sld_fab_with_jtag_input:\\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric\|alt_sld_fab:instrumentation_fabric\|alt_sld_fab_alt_sld_fab:alt_sld_fab\|alt_sld_fab_alt_sld_fab_sldfabric:sldfabric\|sld_jtag_hub:\\jtag_hub_gen:real_sld_jtag_hub\|sld_shadow_jsm:shadow_jsm\|state\[0\]~_wirecell " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603737918 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\|hold_reg\[0\]~0 " "Destination node DEBUG:DBG_ADC\|altsource_probe_top:in_system_sources_probes_0\|altsource_probe:issp_impl\|altsource_probe_body:altsource_probe_body_inst\|altsource_probe_impl:\\wider_probe_gen:wider_probe_inst\|hold_reg\[0\]~0" { } { { "altsource_probe_body.vhd" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altsource_probe_body.vhd" 803 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 12264 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603737918 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1616603737918 ""} } { { "sld_hub.vhd" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/sld_hub.vhd" 1584 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 28426 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603737918 ""} -{ "Warning" "WCUT_PLL_INCLK_NOT_FROM_DEDICATED_INPUT" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 0 " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" input clock inclk\[0\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" { { "Info" "ICUT_CUT_INPUT_PORT_SIGNAL_SOURCE" "INCLK\[0\] MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 " "Input port INCLK\[0\] of node \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" is driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 45 -1 0 } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 15024 "Input port %1!s! of node \"%2!s!\" is %3!s!" 0 0 "Design Software" 0 -1 1616603738169 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 15055 "PLL \"%1!s!\" input clock inclk\[%2!d!\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" 0 0 "Fitter" 0 -1 1616603738169 ""} -{ "Warning" "WCUT_PLL_NON_ZDB_COMP_CLK_FEEDING_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 compensate_clock 0 " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" is in normal or source synchronous mode with output clock \"compensate_clock\" set to clk\[0\] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 15058 "PLL \"%1!s!\" is in normal or source synchronous mode with output clock \"%2!s!\" set to clk\[%3!d!\] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins" 0 0 "Fitter" 0 -1 1616603738173 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 clk\[0\] AUDIO_I2S_CLOCK~output " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" output port clk\[0\] feeds output pin \"AUDIO_I2S_CLOCK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1000 3408 3584 1016 "AUDIO_I2S_CLOCK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1616603738174 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 clk\[1\] AUDIO_48K_CLOCK~output " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" output port clk\[1\] feeds output pin \"AUDIO_48K_CLOCK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1016 3408 3584 1032 "AUDIO_48K_CLOCK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1616603738174 ""} -{ "Warning" "WCUT_PLL_INCLK_NOT_FROM_DEDICATED_INPUT" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 0 " "PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" input clock inclk\[0\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" { { "Info" "ICUT_CUT_INPUT_PORT_SIGNAL_SOURCE" "INCLK\[0\] tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 " "Input port INCLK\[0\] of node \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" is driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 45 -1 0 } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 15024 "Input port %1!s! of node \"%2!s!\" is %3!s!" 0 0 "Design Software" 0 -1 1616603738180 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } } 0 15055 "PLL \"%1!s!\" input clock inclk\[%2!d!\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" 0 0 "Fitter" 0 -1 1616603738180 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 clk\[0\] DAC_CLK~output " "PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" output port clk\[0\] feeds output pin \"DAC_CLK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 80 6288 6464 96 "DAC_CLK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1616603738181 ""} -{ "Info" "IFIOMGR_CONFIGURATION_VOLTAGE_IS_AUTOMATICALLY_ENFORCED" "Cyclone IV E Active Serial " "Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial'" { } { } 0 169197 "Configuration voltage level is automatically enforced for the device family '%1!s!' with the configuration scheme '%2!s!'" 0 0 "Fitter" 0 -1 1616603738601 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603738601 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603738601 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:02 " "Fitter preparation operations ending: elapsed time is 00:00:02" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616603738628 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 1 0 "Fitter" 0 -1 1616603748615 ""} -{ "Warning" "WFIOMGR_FIOMGR_MUST_USE_EXTERNAL_CLAMPING_DIODE_TOP_LEVEL" "1 " "Following 1 pins must use external clamping diodes." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "FLASH_MISO 2.5 V 13 " "Pin FLASH_MISO uses I/O standard 2.5 V at 13" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { FLASH_MISO } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "FLASH_MISO" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1000 3744 3920 1016 "FLASH_MISO" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 468 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748656 ""} } { } 0 169180 "Following %1!d! pins must use external clamping diodes." 1 0 "Fitter" 0 -1 1616603748656 ""} -{ "Warning" "WFIOMGR_FIOMGR_REFER_APPNOTE_447_TOP_LEVEL" "24 Cyclone IV E " "24 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[7\] 3.3-V LVTTL 46 " "Pin STM32_DATA_BUS\[7\] uses I/O standard 3.3-V LVTTL at 46" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[7] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[7\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 441 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[6\] 3.3-V LVTTL 43 " "Pin STM32_DATA_BUS\[6\] uses I/O standard 3.3-V LVTTL at 43" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[6] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[6\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 442 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[5\] 3.3-V LVTTL 42 " "Pin STM32_DATA_BUS\[5\] uses I/O standard 3.3-V LVTTL at 42" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[5] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[5\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 443 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[4\] 3.3-V LVTTL 39 " "Pin STM32_DATA_BUS\[4\] uses I/O standard 3.3-V LVTTL at 39" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[4] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[4\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 444 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[3\] 3.3-V LVTTL 38 " "Pin STM32_DATA_BUS\[3\] uses I/O standard 3.3-V LVTTL at 38" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[3] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[3\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 445 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[2\] 3.3-V LVTTL 51 " "Pin STM32_DATA_BUS\[2\] uses I/O standard 3.3-V LVTTL at 51" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[2] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[2\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 446 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[1\] 3.3-V LVTTL 50 " "Pin STM32_DATA_BUS\[1\] uses I/O standard 3.3-V LVTTL at 50" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[1] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[1\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 447 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[0\] 3.3-V LVTTL 49 " "Pin STM32_DATA_BUS\[0\] uses I/O standard 3.3-V LVTTL at 49" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[0] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[0\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 448 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_CLK 3.3-V LVTTL 33 " "Pin STM32_CLK uses I/O standard 3.3-V LVTTL at 33" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_CLK } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_CLK" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 2840 3016 184 "STM32_CLK" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 464 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_SYNC 3.3-V LVTTL 32 " "Pin STM32_SYNC uses I/O standard 3.3-V LVTTL at 32" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_SYNC } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_SYNC" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 216 2840 3016 232 "STM32_SYNC" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 465 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "clk_sys 3.3-V LVTTL 89 " "Pin clk_sys uses I/O standard 3.3-V LVTTL at 89" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { clk_sys } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk_sys" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 688 2216 2392 704 "clk_sys" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 467 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[0\] 3.3-V LVTTL 68 " "Pin ADC_INPUT\[0\] uses I/O standard 3.3-V LVTTL at 68" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[0] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[0\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 440 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[1\] 3.3-V LVTTL 67 " "Pin ADC_INPUT\[1\] uses I/O standard 3.3-V LVTTL at 67" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[1] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[1\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 439 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_OTR 3.3-V LVTTL 44 " "Pin ADC_OTR uses I/O standard 3.3-V LVTTL at 44" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_OTR } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_OTR" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 232 2840 3016 248 "ADC_OTR" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 466 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[2\] 3.3-V LVTTL 66 " "Pin ADC_INPUT\[2\] uses I/O standard 3.3-V LVTTL at 66" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[2] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[2\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 438 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[3\] 3.3-V LVTTL 65 " "Pin ADC_INPUT\[3\] uses I/O standard 3.3-V LVTTL at 65" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[3] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[3\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 437 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[7\] 3.3-V LVTTL 58 " "Pin ADC_INPUT\[7\] uses I/O standard 3.3-V LVTTL at 58" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[7] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[7\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 433 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[6\] 3.3-V LVTTL 59 " "Pin ADC_INPUT\[6\] uses I/O standard 3.3-V LVTTL at 59" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[6] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[6\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 434 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[5\] 3.3-V LVTTL 60 " "Pin ADC_INPUT\[5\] uses I/O standard 3.3-V LVTTL at 60" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[5] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[5\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 435 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[4\] 3.3-V LVTTL 64 " "Pin ADC_INPUT\[4\] uses I/O standard 3.3-V LVTTL at 64" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[4] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[4\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 436 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[11\] 3.3-V LVTTL 52 " "Pin ADC_INPUT\[11\] uses I/O standard 3.3-V LVTTL at 52" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[11] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[11\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 429 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[10\] 3.3-V LVTTL 53 " "Pin ADC_INPUT\[10\] uses I/O standard 3.3-V LVTTL at 53" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[10] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[10\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 430 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[9\] 3.3-V LVTTL 54 " "Pin ADC_INPUT\[9\] uses I/O standard 3.3-V LVTTL at 54" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[9] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[9\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 431 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[8\] 3.3-V LVTTL 55 " "Pin ADC_INPUT\[8\] uses I/O standard 3.3-V LVTTL at 55" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[8] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[8\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 432 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603748657 ""} } { } 0 169177 "%1!d! pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing %2!s! Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." 1 0 "Fitter" 0 -1 1616603748657 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.fit.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1616603749044 ""} -{ "Info" "IQFIT_LEGACY_FLOW_QID_AND_CHECK_IO_COMPILE" "" "Results from the I/O assignment analysis compilation cannot be preserved because I/O assignment analysis was run with Incremental Compilation enabled" { } { } 0 11763 "Results from the I/O assignment analysis compilation cannot be preserved because I/O assignment analysis was run with Incremental Compilation enabled" 0 0 "Fitter" 0 -1 1616603749321 ""} -{ "Info" "IQEXE_ERROR_COUNT" "I/O Assignment Analysis 0 s 7 s Quartus Prime " "Quartus Prime I/O Assignment Analysis was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4987 " "Peak virtual memory: 4987 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603749354 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:35:49 2021 " "Processing ended: Wed Mar 24 19:35:49 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603749354 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603749354 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603749354 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1616603749354 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1616603751996 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603752013 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:35:50 2021 " "Processing started: Wed Mar 24 19:35:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603752013 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1616603752013 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE " "Command: quartus_fit --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1616603752013 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1616603752277 ""} -{ "Info" "0" "" "Project = WOLF-LITE" { } { } 0 0 "Project = WOLF-LITE" 0 0 "Fitter" 0 0 1616603752278 ""} -{ "Info" "0" "" "Revision = WOLF-LITE" { } { } 0 0 "Revision = WOLF-LITE" 0 0 "Fitter" 0 0 1616603752278 ""} -{ "Info" "IQCU_OPT_MODE_DESCRIPTION" "Aggressive Performance timing performance increased logic area and compilation time " "Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time" { } { } 0 16303 "%1!s! optimization mode selected -- %2!s! will be prioritized at the potential cost of %3!s!" 0 0 "Fitter" 0 -1 1616603752706 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1616603752719 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "WOLF-LITE EP4CE10E22C8 " "Selected device EP4CE10E22C8 for design \"WOLF-LITE\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1616603752853 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616603752931 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616603752931 ""} -{ "Info" "ICUT_CUT_PLL_COMPUTATION_SUCCESS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 Cyclone IV E PLL " "Implemented PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" as Cyclone IV E PLL type" { { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] 64 335 0 0 " "Implementing clock multiplication of 64, clock division of 335, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] port" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1616603752993 ""} { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] 1 1340 0 0 " "Implementing clock multiplication of 1, clock division of 1340, and phase shift of 0 degrees (0 ps) for MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] port" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 600 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1616603752993 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 15535 "Implemented %3!s! \"%1!s!\" as %2!s! PLL type" 0 0 "Fitter" 0 -1 1616603752993 ""} -{ "Info" "ICUT_CUT_PLL_COMPUTATION_SUCCESS" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 Cyclone IV E PLL " "Implemented PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" as Cyclone IV E PLL type" { { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] 5 2 0 0 " "Implementing clock multiplication of 5, clock division of 2, and phase shift of 0 degrees (0 ps) for tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] port" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } } } } } 0 15099 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "Design Software" 0 -1 1616603753000 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } } } } } 0 15535 "Implemented %3!s! \"%1!s!\" as %2!s! PLL type" 0 0 "Fitter" 0 -1 1616603753000 ""} -{ "Warning" "WMPP_MPP_RAM_IS_ACTUALLY_ROM_TOP" "" "Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example." { { "Info" "IMPP_MPP_RAM_IS_ACTUALLY_ROM_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0 " "Atom \"tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0\" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled" { } { } 0 119043 "Atom \"%1!s!\" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled" 0 0 "Design Software" 0 -1 1616603753004 "|WOLF-LITE|tx_nco:TX_NCO|tx_nco_nco_ii_0:nco_ii_0|asj_nco_as_m_dp_cen:ux0220|altsyncram:altsyncram_component|altsyncram_4k82:auto_generated|ram_block1a0"} } { } 0 18550 "Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example." 1 0 "Fitter" 0 -1 1616603753004 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1616603753310 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE6E22C8 " "Device EP4CE6E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1616603754145 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C8 " "Device EP4CE15E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1616603754145 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C8 " "Device EP4CE22E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1616603754145 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1616603754145 ""} -{ "Info" "IFIOMGR_RESERVE_PIN_NO_DATA0" "" "DATA\[0\] dual-purpose pin not reserved" { } { } 0 169141 "DATA\[0\] dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603754179 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "Data\[1\]/ASDO " "Data\[1\]/ASDO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603754179 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "nCSO " "nCSO dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603754179 ""} -{ "Info" "IFIOMGR_PIN_NOT_RESERVE" "DCLK " "DCLK dual-purpose pin not reserved" { } { } 0 12825 "%1!s! dual-purpose pin not reserved" 0 0 "Fitter" 0 -1 1616603754179 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 1 0 "Fitter" 0 -1 1616603754184 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1616603754458 ""} -{ "Info" "IFIOMGR_CONFIGURATION_VOLTAGE_IS_AUTOMATICALLY_ENFORCED" "Cyclone IV E Active Serial " "Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial'" { } { } 0 169197 "Configuration voltage level is automatically enforced for the device family '%1!s!' with the configuration scheme '%2!s!'" 0 0 "Fitter" 0 -1 1616603754852 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603754852 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603754852 ""} -{ "Warning" "WFSAC_FSAC_PLL_MERGING_PARAMETERS_MISMATCH_WARNING" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 " "The parameters of the PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 and the PLL MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 do not have the same values - hence these PLLs cannot be merged" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "M MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"M\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "M MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 64 " "The value of the parameter \"M\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 64" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "M tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 10 " "The value of the parameter \"M\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 10" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "N MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"N\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "N MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 5 " "The value of the parameter \"N\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 5" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "N tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 1 " "The value of the parameter \"N\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 1" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "LOOP FILTER R MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"LOOP FILTER R\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "LOOP FILTER R MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 6000 " "The value of the parameter \"LOOP FILTER R\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 6000" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "LOOP FILTER R tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 4000 " "The value of the parameter \"LOOP FILTER R\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 4000" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "VCO POST SCALE MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"VCO POST SCALE\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "VCO POST SCALE MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 1 " "The value of the parameter \"VCO POST SCALE\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 1" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "VCO POST SCALE tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 2 " "The value of the parameter \"VCO POST SCALE\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 2" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Min VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Min VCO Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 769 " "The value of the parameter \"Min VCO Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 769" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min VCO Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 1538 " "The value of the parameter \"Min VCO Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 1538" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Max VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Max VCO Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 1666 " "The value of the parameter \"Max VCO Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 1666" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max VCO Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 3333 " "The value of the parameter \"Max VCO Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 3333" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Center VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Center VCO Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Center VCO Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 769 " "The value of the parameter \"Center VCO Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 769" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Center VCO Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 1538 " "The value of the parameter \"Center VCO Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 1538" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Min Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Min Lock Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 9843 " "The value of the parameter \"Min Lock Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 9843" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Min Lock Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 15380 " "The value of the parameter \"Min Lock Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 15380" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUES_MISMATCH" "Max Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 " "The values of the parameter \"Max Lock Period\" do not match for the PLL atoms MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 and PLL tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1" { { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max Lock Period MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 20408 " "The value of the parameter \"Max Lock Period\" for the PLL atom MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 is 20408" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} { "Info" "IFSAC_FSAC_PLL_MERGING_PARAMETER_VALUE" "Max Lock Period tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 33330 " "The value of the parameter \"Max Lock Period\" for the PLL atom tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 is 33330" { } { } 0 176121 "The value of the parameter \"%1!s!\" for the PLL atom %2!s! is %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { } 0 176120 "The values of the parameter \"%1!s!\" do not match for the PLL atoms %2!s! and PLL %3!s!" 0 0 "Design Software" 0 -1 1616603755297 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } } 0 176127 "The parameters of the PLL %1!s! and the PLL %2!s! do not have the same values - hence these PLLs cannot be merged" 0 0 "Fitter" 0 -1 1616603755297 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_hub " "Entity sld_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1616603756915 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1616603756915 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Design Software" 0 -1 1616603756915 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Fitter" 0 -1 1616603756915 ""} -{ "Info" "ISTA_SDC_FOUND" "SDC.sdc " "Reading SDC File: 'SDC.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1616603757047 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 5 rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid port or pin or register or keeper or net or combinational node or node " "Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1616603757049 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "create_clock SDC.sdc 5 Argument is not an object ID " "Ignored create_clock at SDC.sdc(5): Argument is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\} " "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\}" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757050 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757050 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 clock_sys clock " "Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1616603757050 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 iq_valid clock " "Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1616603757050 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_CALL_IS_DELAYED" "" "Clock uncertainty is not calculated until you update the timing netlist." { } { } 0 332151 "Clock uncertainty is not calculated until you update the timing netlist." 0 0 "Fitter" 0 -1 1616603757051 ""} -{ "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "Deriving PLL clocks " "Deriving PLL clocks" { { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603757058 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1340 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1340 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603757058 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603757058 ""} } { } 0 332110 "%1!s!" 0 0 "Fitter" 0 -1 1616603757058 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 13 clock_crystal clock " "Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1616603757058 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 13 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757058 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757058 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 14 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757059 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757059 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 18 clock_adc clock " "Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1616603757059 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 18 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757060 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757060 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 19 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757060 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757060 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 20 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757060 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757060 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 21 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757061 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757061 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 27 iq_valid clock " "Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1616603757062 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 27 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757063 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757063 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 28 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757063 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757063 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 29 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757063 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757063 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 30 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757064 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757064 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 31 clock_sys clock " "Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Fitter" 0 -1 1616603757064 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 31 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757064 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757064 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 32 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603757065 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Fitter" 0 -1 1616603757065 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[14\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[14\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1616603757123 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Fitter" 0 -1 1616603757123 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1616603757272 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1616603757278 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 100.000 altera_reserved_tck " " 100.000 altera_reserved_tck" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 15.547 clk_sys " " 15.547 clk_sys" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 clock_stm32 " " 40.000 clock_stm32" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 81.378 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 81.378 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "20832.980 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\] " "20832.980 MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 6.218 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 6.218 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1616603757279 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1616603757279 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_USER_GLOBAL_TO_SIGNAL" "clk_sys~input (placed in PIN 89 (CLK6, DIFFCLK_3p)) " "Promoted node clk_sys~input (placed in PIN 89 (CLK6, DIFFCLK_3p))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 Global Clock CLKCTRL_G9 " "Automatically promoted clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 to use location or clock signal Global Clock CLKCTRL_G9" { } { { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 61 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3170 14177 15141 0 0 "" 0 "" "" } } } } } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758816 ""} } { { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 688 2216 2392 704 "clk_sys" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 28473 14177 15141 0 0 "" 0 "" "" } } } } } 0 176352 "Promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758816 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_2) " "Automatically promoted node MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_2)" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G8 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758816 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758816 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] (placed in counter C2 of PLL_2) " "Automatically promoted node MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|wire_pll1_clk\[1\] (placed in counter C2 of PLL_2)" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G7 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G7" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758816 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 599 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758816 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_1) " "Automatically promoted node tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|wire_pll1_clk\[0\] (placed in counter C0 of PLL_1)" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G3 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758816 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 77 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3172 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758816 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q " "Automatically promoted node data_shifter:RX_CICFIR_GAINER\|data_valid_out_Q " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758816 ""} } { { "data_shifter.v" "" { Text "C:/FPGA/data_shifter.v" 13 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 1986 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758816 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "altera_internal_jtag~TCKUTAP " "Automatically promoted node altera_internal_jtag~TCKUTAP " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} } { { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 28064 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758817 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "stm32_interface:STM32_INTERFACE\|reset_n " "Automatically promoted node stm32_interface:STM32_INTERFACE\|reset_n " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2 " "Destination node mixer:RX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 633 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "mixer:RX_MIXER_Q\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2 " "Destination node mixer:RX_MIXER_Q\|lpm_mult:lpm_mult_component\|mult_jnp:auto_generated\|mac_out2" { } { { "db/mult_jnp.tdf" "" { Text "C:/FPGA/db/mult_jnp.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3868 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[1\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[1\]" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 43 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4890 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_r9b:wr_ptr\|counter_reg_bit\[0\]" { } { { "db/cntr_r9b.tdf" "" { Text "C:/FPGA/db/cntr_r9b.tdf" 43 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4891 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[1\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[1\]" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 44 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4898 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_7a7:usedw_counter\|counter_reg_bit\[0\]" { } { { "db/cntr_7a7.tdf" "" { Text "C:/FPGA/db/cntr_7a7.tdf" 44 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4899 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_q9b:rd_ptr_msb\|counter_reg_bit\[0\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|alt_cic_dec_siso:dec_one\|auk_dspip_channel_buffer:fifo_regulator\|scfifo:buffer_FIFO\|scfifo_qm51:auto_generated\|a_dpfifo_5ku:dpfifo\|cntr_q9b:rd_ptr_msb\|counter_reg_bit\[0\]" { } { { "db/cntr_q9b.tdf" "" { Text "C:/FPGA/db/cntr_q9b.tdf" 38 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 4906 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[4\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[4\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5501 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[3\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[3\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5502 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[2\] " "Destination node rx_cic:RX_CIC_Q\|rx_cic_cic_ii_0:cic_ii_0\|alt_cic_core:core\|auk_dspip_avalon_streaming_source:output_source_0\|scfifo:source_FIFO\|scfifo_ai71:auto_generated\|a_dpfifo_7qv:dpfifo\|cntr_u9b:wr_ptr\|counter_reg_bit\[2\]" { } { { "db/cntr_u9b.tdf" "" { Text "C:/FPGA/db/cntr_u9b.tdf" 58 17 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 5503 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758817 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_LIMITED_TO_SUB" "10 " "Non-global destination nodes limited to 10 nodes" { } { } 0 176358 "Non-global destination nodes limited to %1!d! nodes" 0 0 "Design Software" 0 -1 1616603758817 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1616603758817 ""} } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 64 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3841 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758817 ""} -{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "stm32_interface:STM32_INTERFACE\|tx " "Automatically promoted node stm32_interface:STM32_INTERFACE\|tx " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\|mac_out2 " "Destination node tx_mixer:TX_MIXER_I\|lpm_mult:lpm_mult_component\|mult_abt:auto_generated\|mac_out2" { } { { "db/mult_abt.tdf" "" { Text "C:/FPGA/db/mult_abt.tdf" 46 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3104 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a0" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 39 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2202 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a1 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a1" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 76 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2203 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a2 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a2" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 113 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2204 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a3 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a3" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 150 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2205 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a4 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a4" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 187 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2206 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a5 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a5" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 224 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2207 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a6 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a6" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 261 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2208 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a7 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a7" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 298 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2209 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a8 " "Destination node tx_nco:TX_NCO\|tx_nco_nco_ii_0:nco_ii_0\|asj_nco_as_m_dp_cen:ux0220\|altsyncram:altsyncram_component\|altsyncram_4k82:auto_generated\|ram_block1a8" { } { { "db/altsyncram_4k82.tdf" "" { Text "C:/FPGA/db/altsyncram_4k82.tdf" 335 2 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 2210 14177 15141 0 0 "" 0 "" "" } } } } } 0 176357 "Destination node %1!s!" 0 0 "Design Software" 0 -1 1616603758818 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_LIMITED_TO_SUB" "10 " "Non-global destination nodes limited to 10 nodes" { } { } 0 176358 "Non-global destination nodes limited to %1!d! nodes" 0 0 "Design Software" 0 -1 1616603758818 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Design Software" 0 -1 1616603758818 ""} } { { "stm32_interface.v" "" { Text "C:/FPGA/stm32_interface.v" 63 -1 0 } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 3831 14177 15141 0 0 "" 0 "" "" } } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1616603758818 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1616603760801 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1616603760843 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1616603760846 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1616603760892 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1616603760961 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1616603761029 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1616603762034 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NUM_REGISTERS_PACKED_INTO_ATOM_TYPE" "32 Embedded multiplier block " "Packed 32 registers into blocks of type Embedded multiplier block" { } { } 1 176218 "Packed %1!d! registers into blocks of type %2!s!" 0 0 "Design Software" 0 -1 1616603762070 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1616603762070 ""} -{ "Warning" "WCUT_PLL_INCLK_NOT_FROM_DEDICATED_INPUT" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 0 " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" input clock inclk\[0\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" { { "Info" "ICUT_CUT_INPUT_PORT_SIGNAL_SOURCE" "INCLK\[0\] MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 " "Input port INCLK\[0\] of node \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" is driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 45 -1 0 } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 15024 "Input port %1!s! of node \"%2!s!\" is %3!s!" 0 0 "Design Software" 0 -1 1616603762337 ""} } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 15055 "PLL \"%1!s!\" input clock inclk\[%2!d!\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" 0 0 "Fitter" 0 -1 1616603762337 ""} -{ "Warning" "WCUT_PLL_NON_ZDB_COMP_CLK_FEEDING_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 compensate_clock 0 " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" is in normal or source synchronous mode with output clock \"compensate_clock\" set to clk\[0\] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } } 0 15058 "PLL \"%1!s!\" is in normal or source synchronous mode with output clock \"%2!s!\" set to clk\[%3!d!\] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins" 0 0 "Fitter" 0 -1 1616603762341 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 clk\[0\] AUDIO_I2S_CLOCK~output " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" output port clk\[0\] feeds output pin \"AUDIO_I2S_CLOCK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1000 3408 3584 1016 "AUDIO_I2S_CLOCK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1616603762341 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1 clk\[1\] AUDIO_48K_CLOCK~output " "PLL \"MAIN_PLL:MAIN_PLL\|altpll:altpll_component\|MAIN_PLL_altpll:auto_generated\|pll1\" output port clk\[1\] feeds output pin \"AUDIO_48K_CLOCK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/main_pll_altpll.v" "" { Text "C:/FPGA/db/main_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "MAIN_PLL.v" "" { Text "C:/FPGA/MAIN_PLL.v" 94 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 944 3080 3320 1112 "MAIN_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1016 3408 3584 1032 "AUDIO_48K_CLOCK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1616603762341 ""} -{ "Warning" "WCUT_PLL_INCLK_NOT_FROM_DEDICATED_INPUT" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 0 " "PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" input clock inclk\[0\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" { { "Info" "ICUT_CUT_INPUT_PORT_SIGNAL_SOURCE" "INCLK\[0\] tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1 " "Input port INCLK\[0\] of node \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" is driven by clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|wire_clkctrl1_outclk which is OUTCLK output port of Clock control block type node clock_buffer:SYSCLK_BUFFER\|clock_buffer_altclkctrl_0:altclkctrl_0\|clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component\|clkctrl1" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 45 -1 0 } } { "db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" "" { Text "C:/FPGA/db/ip/clock_buffer/submodules/clock_buffer_altclkctrl_0.v" 112 0 0 } } { "db/ip/clock_buffer/clock_buffer.v" "" { Text "C:/FPGA/db/ip/clock_buffer/clock_buffer.v" 14 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 624 2416 2688 728 "SYSCLK_BUFFER" "" } } } } } 0 15024 "Input port %1!s! of node \"%2!s!\" is %3!s!" 0 0 "Design Software" 0 -1 1616603762348 ""} } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } } 0 15055 "PLL \"%1!s!\" input clock inclk\[%2!d!\] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input" 0 0 "Fitter" 0 -1 1616603762348 ""} -{ "Warning" "WCUT_PLL_CLK_FEEDS_NON_DEDICATED_IO" "tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1 clk\[0\] DAC_CLK~output " "PLL \"tx_pll:TX_PLL\|altpll:altpll_component\|tx_pll_altpll:auto_generated\|pll1\" output port clk\[0\] feeds output pin \"DAC_CLK~output\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" { } { { "db/tx_pll_altpll.v" "" { Text "C:/FPGA/db/tx_pll_altpll.v" 43 -1 0 } } { "altpll.tdf" "" { Text "c:/intelfpga/18.1/quartus/libraries/megafunctions/altpll.tdf" 897 0 0 } } { "tx_pll.v" "" { Text "C:/FPGA/tx_pll.v" 90 0 0 } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 720 3080 3320 872 "TX_PLL" "" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 80 6288 6464 96 "DAC_CLK" "" } } } } } 0 15064 "PLL \"%1!s!\" output port %2!s! feeds output pin \"%3!s!\" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "Fitter" 0 -1 1616603762349 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_START" "speed " "Starting physical synthesis optimizations for speed" { } { } 0 128000 "Starting physical synthesis optimizations for %1!s!" 0 0 "Fitter" 0 -1 1616603762710 ""} -{ "Info" "ICSYN_PHYSICAL_SYNTHESIS_END" "speed 00:00:04 " "Physical synthesis optimizations for speed complete: elapsed time is 00:00:04" { } { } 0 128001 "Physical synthesis optimizations for %1!s! complete: elapsed time is %2!s!" 0 0 "Fitter" 0 -1 1616603766283 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:13 " "Fitter preparation operations ending: elapsed time is 00:00:13" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616603766505 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1616603766551 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1616603768561 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:04 " "Fitter placement preparation operations ending: elapsed time is 00:00:04" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616603772293 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1616603772433 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1616603826007 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:54 " "Fitter placement operations ending: elapsed time is 00:00:54" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616603826007 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1616603828809 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "26 X11_Y0 X22_Y11 " "Router estimated peak interconnect usage is 26% of the available device resources in the region that extends from location X11_Y0 to location X22_Y11" { } { { "loc" "" { Generic "C:/FPGA/" { { 1 { 0 "Router estimated peak interconnect usage is 26% of the available device resources in the region that extends from location X11_Y0 to location X22_Y11"} { { 12 { 0 ""} 11 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1616603838231 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1616603838231 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:21 " "Fitter routing operations ending: elapsed time is 00:00:21" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616603850692 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 18.10 " "Total time spent on timing analysis during the Fitter is 18.10 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1616603851207 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1616603851352 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1616603852650 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1616603852658 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1616603854526 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:07 " "Fitter post-fit operations ending: elapsed time is 00:00:07" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616603858247 ""} -{ "Info" "IFIOMGR_CONFIGURATION_VOLTAGE_IS_AUTOMATICALLY_ENFORCED" "Cyclone IV E Active Serial " "Configuration voltage level is automatically enforced for the device family 'Cyclone IV E' with the configuration scheme 'Active Serial'" { } { } 0 169197 "Configuration voltage level is automatically enforced for the device family '%1!s!' with the configuration scheme '%2!s!'" 0 0 "Fitter" 0 -1 1616603858957 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603858957 ""} -{ "Info" "IFIOMGR_IO_BANK_VCCIO_SET_FOR_CONFIGURATION" "2.5V 1 " "Configuration voltage level of 2.5V is enforced on the I/O bank 1. The VCCIO of the I/O bank 1 is set to 2.5V." { } { } 0 169213 "Configuration voltage level of %1!s! is enforced on the I/O bank %2!s!. The VCCIO of the I/O bank %2!s! is set to %1!s!." 0 0 "Fitter" 0 -1 1616603858957 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 1 0 "Fitter" 0 -1 1616603859129 ""} -{ "Warning" "WFIOMGR_FIOMGR_MUST_USE_EXTERNAL_CLAMPING_DIODE_TOP_LEVEL" "1 " "Following 1 pins must use external clamping diodes." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "FLASH_MISO 2.5 V 13 " "Pin FLASH_MISO uses I/O standard 2.5 V at 13" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { FLASH_MISO } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "FLASH_MISO" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 1000 3744 3920 1016 "FLASH_MISO" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 468 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} } { } 0 169180 "Following %1!d! pins must use external clamping diodes." 1 0 "Fitter" 0 -1 1616603859221 ""} -{ "Warning" "WFIOMGR_FIOMGR_REFER_APPNOTE_447_TOP_LEVEL" "24 Cyclone IV E " "24 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[7\] 3.3-V LVTTL 46 " "Pin STM32_DATA_BUS\[7\] uses I/O standard 3.3-V LVTTL at 46" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[7] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[7\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 441 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[6\] 3.3-V LVTTL 43 " "Pin STM32_DATA_BUS\[6\] uses I/O standard 3.3-V LVTTL at 43" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[6] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[6\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 442 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[5\] 3.3-V LVTTL 42 " "Pin STM32_DATA_BUS\[5\] uses I/O standard 3.3-V LVTTL at 42" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[5] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[5\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 443 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[4\] 3.3-V LVTTL 39 " "Pin STM32_DATA_BUS\[4\] uses I/O standard 3.3-V LVTTL at 39" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[4] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[4\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 444 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[3\] 3.3-V LVTTL 38 " "Pin STM32_DATA_BUS\[3\] uses I/O standard 3.3-V LVTTL at 38" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[3] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[3\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 445 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[2\] 3.3-V LVTTL 51 " "Pin STM32_DATA_BUS\[2\] uses I/O standard 3.3-V LVTTL at 51" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[2] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[2\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 446 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[1\] 3.3-V LVTTL 50 " "Pin STM32_DATA_BUS\[1\] uses I/O standard 3.3-V LVTTL at 50" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[1] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[1\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 447 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_DATA_BUS\[0\] 3.3-V LVTTL 49 " "Pin STM32_DATA_BUS\[0\] uses I/O standard 3.3-V LVTTL at 49" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_DATA_BUS[0] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_DATA_BUS\[0\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 3456 3632 184 "STM32_DATA_BUS" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 448 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_CLK 3.3-V LVTTL 33 " "Pin STM32_CLK uses I/O standard 3.3-V LVTTL at 33" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_CLK } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_CLK" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 168 2840 3016 184 "STM32_CLK" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 464 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "STM32_SYNC 3.3-V LVTTL 32 " "Pin STM32_SYNC uses I/O standard 3.3-V LVTTL at 32" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { STM32_SYNC } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "STM32_SYNC" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 216 2840 3016 232 "STM32_SYNC" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 465 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "clk_sys 3.3-V LVTTL 89 " "Pin clk_sys uses I/O standard 3.3-V LVTTL at 89" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { clk_sys } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk_sys" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 688 2216 2392 704 "clk_sys" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 467 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[0\] 3.3-V LVTTL 68 " "Pin ADC_INPUT\[0\] uses I/O standard 3.3-V LVTTL at 68" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[0] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[0\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 440 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[1\] 3.3-V LVTTL 67 " "Pin ADC_INPUT\[1\] uses I/O standard 3.3-V LVTTL at 67" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[1] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[1\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 439 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_OTR 3.3-V LVTTL 44 " "Pin ADC_OTR uses I/O standard 3.3-V LVTTL at 44" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_OTR } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_OTR" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { 232 2840 3016 248 "ADC_OTR" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 466 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[2\] 3.3-V LVTTL 66 " "Pin ADC_INPUT\[2\] uses I/O standard 3.3-V LVTTL at 66" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[2] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[2\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 438 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[3\] 3.3-V LVTTL 65 " "Pin ADC_INPUT\[3\] uses I/O standard 3.3-V LVTTL at 65" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[3] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[3\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 437 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[7\] 3.3-V LVTTL 58 " "Pin ADC_INPUT\[7\] uses I/O standard 3.3-V LVTTL at 58" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[7] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[7\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 433 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[6\] 3.3-V LVTTL 59 " "Pin ADC_INPUT\[6\] uses I/O standard 3.3-V LVTTL at 59" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[6] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[6\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 434 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[5\] 3.3-V LVTTL 60 " "Pin ADC_INPUT\[5\] uses I/O standard 3.3-V LVTTL at 60" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[5] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[5\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 435 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[4\] 3.3-V LVTTL 64 " "Pin ADC_INPUT\[4\] uses I/O standard 3.3-V LVTTL at 64" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[4] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[4\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 436 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[11\] 3.3-V LVTTL 52 " "Pin ADC_INPUT\[11\] uses I/O standard 3.3-V LVTTL at 52" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[11] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[11\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 429 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[10\] 3.3-V LVTTL 53 " "Pin ADC_INPUT\[10\] uses I/O standard 3.3-V LVTTL at 53" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[10] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[10\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 430 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[9\] 3.3-V LVTTL 54 " "Pin ADC_INPUT\[9\] uses I/O standard 3.3-V LVTTL at 54" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[9] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[9\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 431 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ADC_INPUT\[8\] 3.3-V LVTTL 55 " "Pin ADC_INPUT\[8\] uses I/O standard 3.3-V LVTTL at 55" { } { { "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga/18.1/quartus/bin64/pin_planner.ppl" { ADC_INPUT[8] } } } { "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga/18.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "ADC_INPUT\[8\]" } } } } { "WOLF-LITE.bdf" "" { Schematic "C:/FPGA/WOLF-LITE.bdf" { { -56 -56 120 -40 "ADC_INPUT" "" } } } } { "temporary_test_loc" "" { Generic "C:/FPGA/" { { 0 { 0 ""} 0 432 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1616603859221 ""} } { } 0 169177 "%1!d! pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing %2!s! Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." 1 0 "Fitter" 0 -1 1616603859221 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.fit.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1616603860299 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 27 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 27 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "6156 " "Peak virtual memory: 6156 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603865252 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:37:45 2021 " "Processing ended: Wed Mar 24 19:37:45 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603865252 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:55 " "Elapsed time: 00:01:55" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603865252 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:05:22 " "Total CPU time (on all processors): 00:05:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603865252 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1616603865252 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1616603867802 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603867823 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:37:46 2021 " "Processing started: Wed Mar 24 19:37:46 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603867823 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1616603867823 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE " "Command: quartus_asm --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1616603867824 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1616603873645 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1616603873690 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4721 " "Peak virtual memory: 4721 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603874605 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:37:54 2021 " "Processing ended: Wed Mar 24 19:37:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603874605 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603874605 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603874605 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1616603874605 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1616603876749 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Power Analyzer Quartus Prime " "Running Quartus Prime Power Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603876774 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:37:55 2021 " "Processing started: Wed Mar 24 19:37:55 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603876774 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Power Analyzer" 0 -1 1616603876774 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE " "Command: quartus_pow --read_settings_files=off --write_settings_files=off WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Power Analyzer" 0 -1 1616603876774 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Power Analyzer" 0 -1 1616603877655 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Power Analyzer" 0 -1 1616603877655 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_hub " "Entity sld_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1616603879111 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1616603879111 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Design Software" 0 -1 1616603879111 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Power Analyzer" 0 -1 1616603879111 ""} -{ "Info" "ISTA_SDC_FOUND" "SDC.sdc " "Reading SDC File: 'SDC.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Power Analyzer" 0 -1 1616603879238 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 5 rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid port or pin or register or keeper or net or combinational node or node " "Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879241 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "create_clock SDC.sdc 5 Argument is not an object ID " "Ignored create_clock at SDC.sdc(5): Argument is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\} " "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\}" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879243 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879243 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 clock_sys clock " "Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879243 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 iq_valid clock " "Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879243 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_CALL_IS_DELAYED" "" "Clock uncertainty is not calculated until you update the timing netlist." { } { } 0 332151 "Clock uncertainty is not calculated until you update the timing netlist." 0 0 "Power Analyzer" 0 -1 1616603879244 ""} -{ "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "Deriving PLL clocks " "Deriving PLL clocks" { { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603879247 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1340 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1340 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603879247 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603879247 ""} } { } 0 332110 "%1!s!" 0 0 "Power Analyzer" 0 -1 1616603879247 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 13 clock_crystal clock " "Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879247 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 13 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879247 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879247 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 14 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879248 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879248 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 18 clock_adc clock " "Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879248 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 18 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879249 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879249 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 19 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879249 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879249 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 20 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879249 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879249 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 21 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879250 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879250 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 27 iq_valid clock " "Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879250 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 27 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879251 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879251 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 28 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879251 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879251 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 29 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879251 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879251 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 30 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879252 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879252 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 31 clock_sys clock " "Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879252 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 31 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879252 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879252 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 32 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603879253 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Power Analyzer" 0 -1 1616603879253 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1616603879306 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Power Analyzer" 0 -1 1616603879306 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Power Analyzer" 0 -1 1616603879435 ""} -{ "Info" "IPVA_PVA_START_CALCULATION" "" "Starting Vectorless Power Activity Estimation" { } { } 0 223000 "Starting Vectorless Power Activity Estimation" 0 0 "Power Analyzer" 0 -1 1616603879731 ""} -{ "Info" "IPVA_PVA_END_CALCULATION" "" "Completed Vectorless Power Activity Estimation" { } { } 0 223001 "Completed Vectorless Power Activity Estimation" 0 0 "Power Analyzer" 0 -1 1616603880187 ""} -{ "Info" "IPUTIL_EXTERNAL_PUTIL_SAF_WRITTEN" "output_files/signal_activity.saf " "Created Signal Activity File output_files/signal_activity.saf" { } { } 0 221012 "Created Signal Activity File %1!s!" 0 0 "Power Analyzer" 0 -1 1616603880535 ""} -{ "Info" "IPATFAM_USING_ADVANCED_IO_POWER" "" "Using Advanced I/O Power to simulate I/O buffers with the specified board trace model" { } { } 0 218000 "Using Advanced I/O Power to simulate I/O buffers with the specified board trace model" 0 0 "Power Analyzer" 0 -1 1616603880721 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Power Analyzer" 0 -1 1616603880930 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Power Analyzer" 0 -1 1616603882807 ""} -{ "Info" "IPAN_AVG_TOGGLE_RATE_PER_DESIGN" "9.826 millions of transitions / sec " "Average toggle rate for this design is 9.826 millions of transitions / sec" { } { } 0 215049 "Average toggle rate for this design is %1!s!" 0 0 "Power Analyzer" 0 -1 1616603888898 ""} -{ "Info" "IPAN_PAN_TOTAL_POWER_ESTIMATION" "301.03 mW " "Total thermal power estimate for the design is 301.03 mW" { } { { "c:/intelfpga/18.1/quartus/bin64/Report_Window_01.qrpt" "" { Report "c:/intelfpga/18.1/quartus/bin64/Report_Window_01.qrpt" "Compiler" "" "" "" "" { } "PowerPlay Power Analyzer Summary" } } } 0 215031 "Total thermal power estimate for the design is %1!s!" 0 0 "Power Analyzer" 0 -1 1616603889942 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.pow.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.pow.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Power Analyzer" 0 -1 1616603890082 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Power Analyzer 0 s 20 s Quartus Prime " "Quartus Prime Power Analyzer was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4929 " "Peak virtual memory: 4929 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603890935 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:38:10 2021 " "Processing ended: Wed Mar 24 19:38:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603890935 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:15 " "Elapsed time: 00:00:15" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603890935 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:17 " "Total CPU time (on all processors): 00:00:17" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603890935 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Power Analyzer" 0 -1 1616603890935 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Power Analyzer" 0 -1 1616603894387 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603894407 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:38:12 2021 " "Processing started: Wed Mar 24 19:38:12 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603894407 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1616603894407 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta WOLF-LITE -c WOLF-LITE " "Command: quartus_sta WOLF-LITE -c WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1616603894407 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1616603894696 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1616603897243 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603897312 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603897313 ""} -{ "Info" "ISTA_SDC_STATEMENT_PARENT" "" "Evaluating HDL-embedded SDC commands" { { "Info" "ISTA_SDC_STATEMENT_ENTITY" "sld_hub " "Entity sld_hub" { { "Info" "ISTA_SDC_STATEMENT_EVAL" "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " "create_clock -name altera_reserved_tck \[get_ports \{altera_reserved_tck\}\] -period 10MHz " { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1616603898363 ""} { "Info" "ISTA_SDC_STATEMENT_EVAL" "set_clock_groups -asynchronous -group \{altera_reserved_tck\} " "set_clock_groups -asynchronous -group \{altera_reserved_tck\}" { } { } 0 332166 "%1!s!" 0 0 "Design Software" 0 -1 1616603898363 ""} } { } 0 332165 "Entity %1!s!" 0 0 "Design Software" 0 -1 1616603898363 ""} } { } 0 332164 "Evaluating HDL-embedded SDC commands" 0 0 "Timing Analyzer" 0 -1 1616603898363 ""} -{ "Info" "ISTA_SDC_FOUND" "SDC.sdc " "Reading SDC File: 'SDC.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1616603898482 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 5 rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid port or pin or register or keeper or net or combinational node or node " "Ignored filter at SDC.sdc(5): rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid could not be matched with a port or pin or register or keeper or net or combinational node or node" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898485 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "create_clock SDC.sdc 5 Argument is not an object ID " "Ignored create_clock at SDC.sdc(5): Argument is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\} " "create_clock -name \"iq_valid\" -period 48KHz \{rx_ciccomp:RX1_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid\}" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898486 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 5 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898486 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 clock_sys clock " "Ignored filter at SDC.sdc(7): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898487 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 7 iq_valid clock " "Ignored filter at SDC.sdc(7): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 7 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898487 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_CALL_IS_DELAYED" "" "Clock uncertainty is not calculated until you update the timing netlist." { } { } 0 332151 "Clock uncertainty is not calculated until you update the timing netlist." 0 0 "Timing Analyzer" 0 -1 1616603898487 ""} -{ "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "Deriving PLL clocks " "Deriving PLL clocks" { { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 335 -multiply_by 64 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603898490 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1340 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} " "create_generated_clock -source \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 1340 -duty_cycle 50.00 -name \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\} \{MAIN_PLL\|altpll_component\|auto_generated\|pll1\|clk\[1\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603898490 ""} { "Info" "ISTA_DERIVE_PLL_CLOCKS_INFO" "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} " "create_generated_clock -source \{TX_PLL\|altpll_component\|auto_generated\|pll1\|inclk\[0\]\} -divide_by 2 -multiply_by 5 -duty_cycle 50.00 -name \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\} \{TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\]\}" { } { } 0 332110 "%1!s!" 0 0 "Design Software" 0 -1 1616603898490 ""} } { } 0 332110 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1616603898490 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 13 clock_crystal clock " "Ignored filter at SDC.sdc(13): clock_crystal could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898491 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 13 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(13): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -max 36ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898491 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 13 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898491 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_output_delay SDC.sdc 14 Argument -clock is not an object ID " "Ignored set_output_delay at SDC.sdc(14): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\] " "set_output_delay -clock clock_crystal -min 0ps \[get_ports \{DAC_OUTPUT\[*\]\}\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898492 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 14 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898492 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 18 clock_adc clock " "Ignored filter at SDC.sdc(18): clock_adc could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898492 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 18 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(18): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898492 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 18 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898492 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 19 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(19): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_INPUT\[*\]\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898493 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 19 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898493 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 20 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(20): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -max 36ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898493 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 20 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898493 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_input_delay SDC.sdc 21 Argument -clock is not an object ID " "Ignored set_input_delay at SDC.sdc(21): Argument -clock is not an object ID" { { "Info" "ISTA_SDC_COMMAND" "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\] " "set_input_delay -clock clock_adc -min 0ps \[get_ports ADC_OTR\]" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898493 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 21 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898493 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 27 iq_valid clock " "Ignored filter at SDC.sdc(27): iq_valid could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898494 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 27 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(27): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898494 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 27 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898494 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 28 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(28): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{iq_valid\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898495 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 28 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898495 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 29 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(29): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898495 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 29 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898495 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 30 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(30): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{iq_valid\}\] -to \[get_clocks \{clock_stm32\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898495 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 30 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898495 ""} -{ "Warning" "WSTA_FILTER_COULD_NOT_BE_MATCHED_WITH_LOCATION" "SDC.sdc 31 clock_sys clock " "Ignored filter at SDC.sdc(31): clock_sys could not be matched with a clock" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332174 "Ignored filter at %1!s!(%2!d!): %3!s! could not be matched with a %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898496 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 31 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(31): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -setup -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898496 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 31 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898496 ""} -{ "Warning" "WSTA_IGNORED_ASSIGNMENT_WITH_REASON_AND_LOCATION" "set_multicycle_path SDC.sdc 32 Argument is an empty collection " "Ignored set_multicycle_path at SDC.sdc(32): Argument is an empty collection" { { "Info" "ISTA_SDC_COMMAND" "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2 " "set_multicycle_path -from \[get_clocks \{clock_stm32\}\] -to \[get_clocks \{clock_sys\}\] -hold -end 2" { } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332050 "%1!s!" 0 0 "Design Software" 0 -1 1616603898497 ""} } { { "C:/FPGA/SDC.sdc" "" { Text "C:/FPGA/SDC.sdc" 32 -1 0 } } } 0 332049 "Ignored %1!s! at %2!s!(%3!d!): %4!s!" 0 0 "Timing Analyzer" 0 -1 1616603898497 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1616603898561 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Timing Analyzer" 0 -1 1616603898561 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1616603898652 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF" 0 0 "Timing Analyzer" 0 0 1616603898658 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1616603898762 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1616603899272 ""} } { } 1 332148 "Timing requirements not met" 1 0 "Timing Analyzer" 0 -1 1616603899272 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -4.426 " "Worst-case setup slack is -4.426" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.426 -419.911 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " -4.426 -419.911 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.734 0.000 clk_sys " " 1.734 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 30.279 0.000 clock_stm32 " " 30.279 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899285 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 44.707 0.000 altera_reserved_tck " " 44.707 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899285 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603899285 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.322 " "Worst-case hold slack is 0.322" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899369 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899369 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.322 0.000 clk_sys " " 0.322 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899369 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.406 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 0.406 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899369 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.452 0.000 altera_reserved_tck " " 0.452 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899369 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.485 0.000 clock_stm32 " " 0.485 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899369 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603899369 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 3.480 " "Worst-case recovery slack is 3.480" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899397 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899397 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.480 0.000 clk_sys " " 3.480 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899397 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 93.581 0.000 altera_reserved_tck " " 93.581 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899397 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603899397 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.135 " "Worst-case removal slack is 1.135" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899421 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899421 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.135 0.000 altera_reserved_tck " " 1.135 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899421 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 11.201 0.000 clk_sys " " 11.201 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899421 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603899421 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 1.218 " "Worst-case minimum pulse width slack is 1.218" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899437 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899437 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.218 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 1.218 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899437 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.227 0.000 clk_sys " " 7.227 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899437 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.693 0.000 clock_stm32 " " 19.693 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899437 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 49.521 0.000 altera_reserved_tck " " 49.521 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603899437 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603899437 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 33 synchronizer chains. " "Report Metastability: Found 33 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603899994 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 33 " "Number of Synchronizer Chains Found: 33" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603899994 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 3 Registers " "Shortest Synchronizer Chain: 3 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603899994 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603899994 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 13.386 ns " "Worst Case Available Settling Time: 13.386 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603899994 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603899994 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1616603899994 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1616603900008 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1616603900071 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1616603902164 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1616603902821 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Timing Analyzer" 0 -1 1616603902821 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1616603902859 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1616603903073 ""} } { } 1 332148 "Timing requirements not met" 1 0 "Timing Analyzer" 0 -1 1616603903073 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.444 " "Worst-case setup slack is -3.444" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903084 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903084 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.444 -182.304 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " -3.444 -182.304 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903084 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.039 0.000 clk_sys " " 2.039 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903084 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 30.926 0.000 clock_stm32 " " 30.926 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903084 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 45.217 0.000 altera_reserved_tck " " 45.217 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903084 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603903084 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.312 " "Worst-case hold slack is 0.312" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903163 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903163 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.312 0.000 clk_sys " " 0.312 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903163 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.390 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 0.390 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903163 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.400 0.000 altera_reserved_tck " " 0.400 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903163 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.430 0.000 clock_stm32 " " 0.430 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903163 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603903163 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 3.798 " "Worst-case recovery slack is 3.798" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903185 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903185 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.798 0.000 clk_sys " " 3.798 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903185 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 93.981 0.000 altera_reserved_tck " " 93.981 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903185 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603903185 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.035 " "Worst-case removal slack is 1.035" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903206 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903206 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.035 0.000 altera_reserved_tck " " 1.035 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903206 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 10.850 0.000 clk_sys " " 10.850 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903206 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603903206 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 1.218 " "Worst-case minimum pulse width slack is 1.218" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903225 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903225 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.218 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 1.218 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903225 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.257 0.000 clk_sys " " 7.257 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903225 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.583 0.000 clock_stm32 " " 19.583 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903225 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 49.397 0.000 altera_reserved_tck " " 49.397 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603903225 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603903225 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 33 synchronizer chains. " "Report Metastability: Found 33 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603903782 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 33 " "Number of Synchronizer Chains Found: 33" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603903782 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 3 Registers " "Shortest Synchronizer Chain: 3 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603903782 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603903782 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 13.754 ns " "Worst Case Available Settling Time: 13.754 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603903782 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603903782 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1616603903782 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1616603903800 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Node: rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid was determined to be a clock but was found without an associated clock assignment." { { "Info" "ISTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT_DETAILS" "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid " "Register stm32_interface:STM32_INTERFACE\|BUFFER_RX_head\[2\] is being clocked by rx_ciccomp:RX_CICOMP_Q\|rx_ciccomp_0002:rx_ciccomp_inst\|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst\|auk_dspip_avalon_streaming_source_hpfir:source\|data_valid" { } { } 0 13166 "%1!s! %2!s! is being clocked by %3!s!" 0 0 "Design Software" 0 -1 1616603904303 ""} } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 1 0 "Timing Analyzer" 0 -1 1616603904303 "|WOLF-LITE|rx_ciccomp:RX_CICOMP_Q|rx_ciccomp_0002:rx_ciccomp_inst|rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst|auk_dspip_avalon_streaming_source_hpfir:source|data_valid"} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1616603904335 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 1.433 " "Worst-case setup slack is 1.433" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.433 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 1.433 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.415 0.000 clk_sys " " 4.415 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.976 0.000 clock_stm32 " " 34.976 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 47.929 0.000 altera_reserved_tck " " 47.929 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904425 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603904425 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.098 " "Worst-case hold slack is 0.098" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904511 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904511 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.098 0.000 clk_sys " " 0.098 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904511 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.140 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 0.140 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904511 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.186 0.000 altera_reserved_tck " " 0.186 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904511 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.201 0.000 clock_stm32 " " 0.201 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904511 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603904511 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 5.276 " "Worst-case recovery slack is 5.276" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904538 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904538 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.276 0.000 clk_sys " " 5.276 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904538 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 97.081 0.000 altera_reserved_tck " " 97.081 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904538 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603904538 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 0.495 " "Worst-case removal slack is 0.495" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904564 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904564 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.495 0.000 altera_reserved_tck " " 0.495 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904564 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 9.802 0.000 clk_sys " " 9.802 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904564 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603904564 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 2.841 " "Worst-case minimum pulse width slack is 2.841" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904586 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904586 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.841 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " " 2.841 0.000 TX_PLL\|altpll_component\|auto_generated\|pll1\|clk\[0\] " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904586 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.972 0.000 clk_sys " " 6.972 0.000 clk_sys " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904586 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.169 0.000 clock_stm32 " " 19.169 0.000 clock_stm32 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904586 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 49.468 0.000 altera_reserved_tck " " 49.468 0.000 altera_reserved_tck " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1616603904586 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1616603904586 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 33 synchronizer chains. " "Report Metastability: Found 33 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603905191 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 33 " "Number of Synchronizer Chains Found: 33" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603905191 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 3 Registers " "Shortest Synchronizer Chain: 3 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603905191 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603905191 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 16.346 ns " "Worst Case Available Settling Time: 16.346 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603905191 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1616603905191 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1616603905191 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1616603905765 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1616603905767 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/FPGA/output_files/WOLF-LITE.sta.smsg " "Generated suppressed messages file C:/FPGA/output_files/WOLF-LITE.sta.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Timing Analyzer" 0 -1 1616603905908 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 20 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4950 " "Peak virtual memory: 4950 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603906189 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:38:26 2021 " "Processing ended: Wed Mar 24 19:38:26 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603906189 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603906189 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603906189 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1616603906189 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1616603908269 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Shell Quartus Prime " "Running Quartus Prime Shell" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1616603908288 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 24 19:38:27 2021 " "Processing started: Wed Mar 24 19:38:27 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1616603908288 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Shell" 0 -1 1616603908288 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sh -t auto_convert.tcl compile WOLF-LITE WOLF-LITE " "Command: quartus_sh -t auto_convert.tcl compile WOLF-LITE WOLF-LITE" { } { } 0 0 "Command: %1!s!" 0 0 "Shell" 0 -1 1616603908288 ""} -{ "Info" "IQEXE_START_BANNER_TCL_ARGS" "compile WOLF-LITE WOLF-LITE " "Quartus(args): compile WOLF-LITE WOLF-LITE" { } { } 0 0 "Quartus(args): %1!s!" 0 0 "Shell" 0 -1 1616603908288 ""} -{ "Info" "IQEXE_TCL_SCRIPT_STATUS" "auto_convert.tcl " "Evaluation of Tcl script auto_convert.tcl was successful" { } { } 0 23030 "Evaluation of Tcl script %1!s! was successful" 0 0 "Shell" 0 -1 1616603910106 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Shell 0 s 0 s Quartus Prime " "Quartus Prime Shell was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4616 " "Peak virtual memory: 4616 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1616603910106 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 24 19:38:30 2021 " "Processing ended: Wed Mar 24 19:38:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1616603910106 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1616603910106 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1616603910106 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Shell" 0 -1 1616603910106 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 88 s " "Quartus Prime Full Compilation was successful. 0 errors, 88 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Shell" 0 -1 1616603911365 ""} diff --git a/FPGA_61.440/db/scfifo_ai71.tdf b/FPGA_61.440/db/scfifo_ai71.tdf deleted file mode 100644 index 0ceb37c..0000000 --- a/FPGA_61.440/db/scfifo_ai71.tdf +++ /dev/null @@ -1,75 +0,0 @@ ---scfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" ALMOST_EMPTY_VALUE=0 ALMOST_FULL_VALUE=13 DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=21 LPM_SHOWAHEAD="OFF" LPM_WIDTH=33 LPM_WIDTHU=5 OPTIMIZE_FOR_SPEED=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" USE_EAB="ON" almost_full clock data empty q rdreq sclr wrreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION a_dpfifo_7qv (clock, data[32..0], rreq, sclr, wreq) -RETURNS ( empty, q[32..0], usedw[4..0]); - ---synthesis_resources = M9K 1 reg 12 -SUBDESIGN scfifo_ai71 -( - almost_full : output; - clock : input; - data[32..0] : input; - empty : output; - q[32..0] : output; - rdreq : input; - sclr : input; - wrreq : input; -) -VARIABLE - dpfifo : a_dpfifo_7qv; - dffe_af : dffe; - comparison_af0 : WIRE; - comparison_af1 : WIRE; - comparison_af2 : WIRE; - comparison_af3 : WIRE; - comparison_af4 : WIRE; - comparison_pre_af0 : WIRE; - comparison_pre_af1 : WIRE; - comparison_pre_af2 : WIRE; - comparison_pre_af3 : WIRE; - comparison_pre_af4 : WIRE; - wire_af[4..0] : WIRE; - wire_pre_af[4..0] : WIRE; - -BEGIN - dpfifo.clock = clock; - dpfifo.data[] = data[]; - dpfifo.rreq = rdreq; - dpfifo.sclr = sclr; - dpfifo.wreq = wrreq; - dffe_af.clk = clock; - dffe_af.d = ((dffe_af.q & (dffe_af.q $ (sclr # ((comparison_af4 & (! wrreq)) & rdreq)))) # ((! dffe_af.q) & ((((! sclr) & comparison_pre_af4) & wrreq) & (! rdreq)))); - almost_full = dffe_af.q; - comparison_af0 = (dpfifo.usedw[0..0] $ wire_af[0..0]); - comparison_af1 = ((dpfifo.usedw[1..1] $ wire_af[1..1]) & comparison_af0); - comparison_af2 = ((dpfifo.usedw[2..2] $ wire_af[2..2]) & comparison_af1); - comparison_af3 = ((dpfifo.usedw[3..3] $ wire_af[3..3]) & comparison_af2); - comparison_af4 = ((dpfifo.usedw[4..4] $ wire_af[4..4]) & comparison_af3); - comparison_pre_af0 = (dpfifo.usedw[0..0] $ wire_pre_af[0..0]); - comparison_pre_af1 = ((dpfifo.usedw[1..1] $ wire_pre_af[1..1]) & comparison_pre_af0); - comparison_pre_af2 = ((dpfifo.usedw[2..2] $ wire_pre_af[2..2]) & comparison_pre_af1); - comparison_pre_af3 = ((dpfifo.usedw[3..3] $ wire_pre_af[3..3]) & comparison_pre_af2); - comparison_pre_af4 = ((dpfifo.usedw[4..4] $ wire_pre_af[4..4]) & comparison_pre_af3); - empty = dpfifo.empty; - q[] = dpfifo.q[]; - wire_af[] = ( B"1", B"0", B"0", B"1", B"0"); - wire_pre_af[] = ( B"1", B"0", B"0", B"1", B"1"); -END; ---VALID FILE diff --git a/FPGA_61.440/db/scfifo_ci71.tdf b/FPGA_61.440/db/scfifo_ci71.tdf deleted file mode 100644 index 389e14a..0000000 --- a/FPGA_61.440/db/scfifo_ci71.tdf +++ /dev/null @@ -1,75 +0,0 @@ ---scfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" ALMOST_EMPTY_VALUE=0 ALMOST_FULL_VALUE=13 DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=21 LPM_SHOWAHEAD="OFF" LPM_WIDTH=17 LPM_WIDTHU=5 OPTIMIZE_FOR_SPEED=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" USE_EAB="ON" almost_full clock data empty q rdreq sclr wrreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION a_dpfifo_9qv (clock, data[16..0], rreq, sclr, wreq) -RETURNS ( empty, q[16..0], usedw[4..0]); - ---synthesis_resources = lut 14 M9K 1 reg 26 -SUBDESIGN scfifo_ci71 -( - almost_full : output; - clock : input; - data[16..0] : input; - empty : output; - q[16..0] : output; - rdreq : input; - sclr : input; - wrreq : input; -) -VARIABLE - dpfifo : a_dpfifo_9qv; - dffe_af : dffe; - comparison_af0 : WIRE; - comparison_af1 : WIRE; - comparison_af2 : WIRE; - comparison_af3 : WIRE; - comparison_af4 : WIRE; - comparison_pre_af0 : WIRE; - comparison_pre_af1 : WIRE; - comparison_pre_af2 : WIRE; - comparison_pre_af3 : WIRE; - comparison_pre_af4 : WIRE; - wire_af[4..0] : WIRE; - wire_pre_af[4..0] : WIRE; - -BEGIN - dpfifo.clock = clock; - dpfifo.data[] = data[]; - dpfifo.rreq = rdreq; - dpfifo.sclr = sclr; - dpfifo.wreq = wrreq; - dffe_af.clk = clock; - dffe_af.d = ((dffe_af.q & (dffe_af.q $ (sclr # ((comparison_af4 & (! wrreq)) & rdreq)))) # ((! dffe_af.q) & ((((! sclr) & comparison_pre_af4) & wrreq) & (! rdreq)))); - almost_full = dffe_af.q; - comparison_af0 = (dpfifo.usedw[0..0] $ wire_af[0..0]); - comparison_af1 = ((dpfifo.usedw[1..1] $ wire_af[1..1]) & comparison_af0); - comparison_af2 = ((dpfifo.usedw[2..2] $ wire_af[2..2]) & comparison_af1); - comparison_af3 = ((dpfifo.usedw[3..3] $ wire_af[3..3]) & comparison_af2); - comparison_af4 = ((dpfifo.usedw[4..4] $ wire_af[4..4]) & comparison_af3); - comparison_pre_af0 = (dpfifo.usedw[0..0] $ wire_pre_af[0..0]); - comparison_pre_af1 = ((dpfifo.usedw[1..1] $ wire_pre_af[1..1]) & comparison_pre_af0); - comparison_pre_af2 = ((dpfifo.usedw[2..2] $ wire_pre_af[2..2]) & comparison_pre_af1); - comparison_pre_af3 = ((dpfifo.usedw[3..3] $ wire_pre_af[3..3]) & comparison_pre_af2); - comparison_pre_af4 = ((dpfifo.usedw[4..4] $ wire_pre_af[4..4]) & comparison_pre_af3); - empty = dpfifo.empty; - q[] = dpfifo.q[]; - wire_af[] = ( B"1", B"0", B"0", B"1", B"0"); - wire_pre_af[] = ( B"1", B"0", B"0", B"1", B"1"); -END; ---VALID FILE diff --git a/FPGA_61.440/db/scfifo_ef71.tdf b/FPGA_61.440/db/scfifo_ef71.tdf deleted file mode 100644 index 56db6c5..0000000 --- a/FPGA_61.440/db/scfifo_ef71.tdf +++ /dev/null @@ -1,67 +0,0 @@ ---scfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" ALMOST_EMPTY_VALUE=4 ALMOST_FULL_VALUE=0 DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=8 LPM_SHOWAHEAD="OFF" LPM_WIDTH=25 LPM_WIDTHU=3 OPTIMIZE_FOR_SPEED=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" USE_EAB="ON" almost_empty clock data full q rdreq sclr wrreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION a_dpfifo_vkv (clock, data[24..0], rreq, sclr, wreq) -RETURNS ( full, q[24..0], usedw[2..0]); - ---synthesis_resources = M9K 1 reg 10 -SUBDESIGN scfifo_ef71 -( - almost_empty : output; - clock : input; - data[24..0] : input; - full : output; - q[24..0] : output; - rdreq : input; - sclr : input; - wrreq : input; -) -VARIABLE - dpfifo : a_dpfifo_vkv; - dffe_nae : dffe; - comparison_ae0 : WIRE; - comparison_ae1 : WIRE; - comparison_ae2 : WIRE; - comparison_pre_ae0 : WIRE; - comparison_pre_ae1 : WIRE; - comparison_pre_ae2 : WIRE; - wire_ae[2..0] : WIRE; - wire_pre_ae[2..0] : WIRE; - -BEGIN - dpfifo.clock = clock; - dpfifo.data[] = data[]; - dpfifo.rreq = rdreq; - dpfifo.sclr = sclr; - dpfifo.wreq = wrreq; - dffe_nae.clk = clock; - dffe_nae.d = ((dffe_nae.q & (dffe_nae.q $ (sclr # ((comparison_ae2 & (! wrreq)) & rdreq)))) # ((! dffe_nae.q) & ((((! sclr) & comparison_pre_ae2) & wrreq) & (! rdreq)))); - almost_empty = (! dffe_nae.q); - comparison_ae0 = (dpfifo.usedw[0..0] $ wire_ae[0..0]); - comparison_ae1 = ((dpfifo.usedw[1..1] $ wire_ae[1..1]) & comparison_ae0); - comparison_ae2 = ((dpfifo.usedw[2..2] $ wire_ae[2..2]) & comparison_ae1); - comparison_pre_ae0 = (dpfifo.usedw[0..0] $ wire_pre_ae[0..0]); - comparison_pre_ae1 = ((dpfifo.usedw[1..1] $ wire_pre_ae[1..1]) & comparison_pre_ae0); - comparison_pre_ae2 = ((dpfifo.usedw[2..2] $ wire_pre_ae[2..2]) & comparison_pre_ae1); - full = dpfifo.full; - q[] = dpfifo.q[]; - wire_ae[] = ( B"0", B"1", B"1"); - wire_pre_ae[] = ( B"1", B"0", B"0"); -END; ---VALID FILE diff --git a/FPGA_61.440/db/scfifo_gf71.tdf b/FPGA_61.440/db/scfifo_gf71.tdf deleted file mode 100644 index 6a926c1..0000000 --- a/FPGA_61.440/db/scfifo_gf71.tdf +++ /dev/null @@ -1,67 +0,0 @@ ---scfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" ALMOST_EMPTY_VALUE=4 ALMOST_FULL_VALUE=0 DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=8 LPM_SHOWAHEAD="OFF" LPM_WIDTH=18 LPM_WIDTHU=3 OPTIMIZE_FOR_SPEED=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" USE_EAB="ON" almost_empty clock data full q rdreq sclr wrreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION a_dpfifo_1lv (clock, data[17..0], rreq, sclr, wreq) -RETURNS ( full, q[17..0], usedw[2..0]); - ---synthesis_resources = lut 8 M9K 1 reg 18 -SUBDESIGN scfifo_gf71 -( - almost_empty : output; - clock : input; - data[17..0] : input; - full : output; - q[17..0] : output; - rdreq : input; - sclr : input; - wrreq : input; -) -VARIABLE - dpfifo : a_dpfifo_1lv; - dffe_nae : dffe; - comparison_ae0 : WIRE; - comparison_ae1 : WIRE; - comparison_ae2 : WIRE; - comparison_pre_ae0 : WIRE; - comparison_pre_ae1 : WIRE; - comparison_pre_ae2 : WIRE; - wire_ae[2..0] : WIRE; - wire_pre_ae[2..0] : WIRE; - -BEGIN - dpfifo.clock = clock; - dpfifo.data[] = data[]; - dpfifo.rreq = rdreq; - dpfifo.sclr = sclr; - dpfifo.wreq = wrreq; - dffe_nae.clk = clock; - dffe_nae.d = ((dffe_nae.q & (dffe_nae.q $ (sclr # ((comparison_ae2 & (! wrreq)) & rdreq)))) # ((! dffe_nae.q) & ((((! sclr) & comparison_pre_ae2) & wrreq) & (! rdreq)))); - almost_empty = (! dffe_nae.q); - comparison_ae0 = (dpfifo.usedw[0..0] $ wire_ae[0..0]); - comparison_ae1 = ((dpfifo.usedw[1..1] $ wire_ae[1..1]) & comparison_ae0); - comparison_ae2 = ((dpfifo.usedw[2..2] $ wire_ae[2..2]) & comparison_ae1); - comparison_pre_ae0 = (dpfifo.usedw[0..0] $ wire_pre_ae[0..0]); - comparison_pre_ae1 = ((dpfifo.usedw[1..1] $ wire_pre_ae[1..1]) & comparison_pre_ae0); - comparison_pre_ae2 = ((dpfifo.usedw[2..2] $ wire_pre_ae[2..2]) & comparison_pre_ae1); - full = dpfifo.full; - q[] = dpfifo.q[]; - wire_ae[] = ( B"0", B"1", B"1"); - wire_pre_ae[] = ( B"1", B"0", B"0"); -END; ---VALID FILE diff --git a/FPGA_61.440/db/scfifo_pm51.tdf b/FPGA_61.440/db/scfifo_pm51.tdf deleted file mode 100644 index 77fcf38..0000000 --- a/FPGA_61.440/db/scfifo_pm51.tdf +++ /dev/null @@ -1,44 +0,0 @@ ---scfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" ALMOST_EMPTY_VALUE=0 ALMOST_FULL_VALUE=0 DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=3 LPM_SHOWAHEAD="OFF" LPM_WIDTH=85 LPM_WIDTHU=2 OPTIMIZE_FOR_SPEED=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" USE_EAB="ON" clock data q rdreq sclr wrreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION a_dpfifo_4ku (clock, data[84..0], rreq, sclr, wreq) -RETURNS ( q[84..0]); - ---synthesis_resources = M9K 3 reg 8 -SUBDESIGN scfifo_pm51 -( - clock : input; - data[84..0] : input; - q[84..0] : output; - rdreq : input; - sclr : input; - wrreq : input; -) -VARIABLE - dpfifo : a_dpfifo_4ku; - -BEGIN - dpfifo.clock = clock; - dpfifo.data[] = data[]; - dpfifo.rreq = rdreq; - dpfifo.sclr = sclr; - dpfifo.wreq = wrreq; - q[] = dpfifo.q[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/scfifo_qm51.tdf b/FPGA_61.440/db/scfifo_qm51.tdf deleted file mode 100644 index fece717..0000000 --- a/FPGA_61.440/db/scfifo_qm51.tdf +++ /dev/null @@ -1,44 +0,0 @@ ---scfifo ADD_RAM_OUTPUT_REGISTER="ON" ALLOW_RWCYCLE_WHEN_FULL="OFF" ALMOST_EMPTY_VALUE=0 ALMOST_FULL_VALUE=0 DEVICE_FAMILY="Cyclone IV E" LPM_NUMWORDS=3 LPM_SHOWAHEAD="OFF" LPM_WIDTH=86 LPM_WIDTHU=2 OPTIMIZE_FOR_SPEED=5 OVERFLOW_CHECKING="ON" UNDERFLOW_CHECKING="ON" USE_EAB="ON" clock data q rdreq sclr wrreq CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 CYCLONEII_M4K_COMPATIBILITY="ON" LOW_POWER_MODE="AUTO" ---VERSION_BEGIN 18.1 cbx_altdpram 2018:09:12:13:04:24:SJ cbx_altera_counter 2018:09:12:13:04:24:SJ cbx_altera_syncram 2018:09:12:13:04:24:SJ cbx_altera_syncram_nd_impl 2018:09:12:13:04:24:SJ cbx_altsyncram 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_fifo_common 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_scfifo 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END - - --- Copyright (C) 2018 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details. - - -FUNCTION a_dpfifo_5ku (clock, data[85..0], rreq, sclr, wreq) -RETURNS ( q[85..0]); - ---synthesis_resources = lut 3 M9K 3 reg 11 -SUBDESIGN scfifo_qm51 -( - clock : input; - data[85..0] : input; - q[85..0] : output; - rdreq : input; - sclr : input; - wrreq : input; -) -VARIABLE - dpfifo : a_dpfifo_5ku; - -BEGIN - dpfifo.clock = clock; - dpfifo.data[] = data[]; - dpfifo.rreq = rdreq; - dpfifo.sclr = sclr; - dpfifo.wreq = wrreq; - q[] = dpfifo.q[]; -END; ---VALID FILE diff --git a/FPGA_61.440/db/tx_pll_altpll.v b/FPGA_61.440/db/tx_pll_altpll.v deleted file mode 100644 index 007270e..0000000 --- a/FPGA_61.440/db/tx_pll_altpll.v +++ /dev/null @@ -1,92 +0,0 @@ -//altpll bandwidth_type="AUTO" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" clk0_divide_by=2 clk0_duty_cycle=50 clk0_multiply_by=5 clk0_phase_shift="0" compensate_clock="CLK0" device_family="Cyclone IV E" inclk0_input_frequency=16276 intended_device_family="Cyclone IV E" lpm_hint="CBX_MODULE_PREFIX=tx_pll" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_UNUSED" port_clk2="PORT_UNUSED" port_clk3="PORT_UNUSED" port_clk4="PORT_UNUSED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 clk inclk CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 -//VERSION_BEGIN 18.1 cbx_altclkbuf 2018:09:12:13:04:24:SJ cbx_altiobuf_bidir 2018:09:12:13:04:24:SJ cbx_altiobuf_in 2018:09:12:13:04:24:SJ cbx_altiobuf_out 2018:09:12:13:04:24:SJ cbx_altpll 2018:09:12:13:04:24:SJ cbx_cycloneii 2018:09:12:13:04:24:SJ cbx_lpm_add_sub 2018:09:12:13:04:24:SJ cbx_lpm_compare 2018:09:12:13:04:24:SJ cbx_lpm_counter 2018:09:12:13:04:24:SJ cbx_lpm_decode 2018:09:12:13:04:24:SJ cbx_lpm_mux 2018:09:12:13:04:24:SJ cbx_mgl 2018:09:12:13:10:36:SJ cbx_nadder 2018:09:12:13:04:24:SJ cbx_stratix 2018:09:12:13:04:24:SJ cbx_stratixii 2018:09:12:13:04:24:SJ cbx_stratixiii 2018:09:12:13:04:24:SJ cbx_stratixv 2018:09:12:13:04:24:SJ cbx_util_mgl 2018:09:12:13:04:24:SJ VERSION_END -//CBXI_INSTANCE_NAME="WOLF_LITE_tx_pll_TX_PLL_altpll_altpll_component" -// synthesis VERILOG_INPUT_VERSION VERILOG_2001 -// altera message_off 10463 - - - -// Copyright (C) 2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details. - - - -//synthesis_resources = cycloneive_pll 1 -//synopsys translate_off -`timescale 1 ps / 1 ps -//synopsys translate_on -module tx_pll_altpll - ( - clk, - inclk) /* synthesis synthesis_clearbox=1 */; - output [4:0] clk; - input [1:0] inclk; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri0 [1:0] inclk; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - - wire [4:0] wire_pll1_clk; - wire wire_pll1_fbout; - - cycloneive_pll pll1 - ( - .activeclock(), - .clk(wire_pll1_clk), - .clkbad(), - .fbin(wire_pll1_fbout), - .fbout(wire_pll1_fbout), - .inclk(inclk), - .locked(), - .phasedone(), - .scandataout(), - .scandone(), - .vcooverrange(), - .vcounderrange() - `ifndef FORMAL_VERIFICATION - // synopsys translate_off - `endif - , - .areset(1'b0), - .clkswitch(1'b0), - .configupdate(1'b0), - .pfdena(1'b1), - .phasecounterselect({3{1'b0}}), - .phasestep(1'b0), - .phaseupdown(1'b0), - .scanclk(1'b0), - .scanclkena(1'b1), - .scandata(1'b0) - `ifndef FORMAL_VERIFICATION - // synopsys translate_on - `endif - ); - defparam - pll1.bandwidth_type = "auto", - pll1.clk0_divide_by = 2, - pll1.clk0_duty_cycle = 50, - pll1.clk0_multiply_by = 5, - pll1.clk0_phase_shift = "0", - pll1.compensate_clock = "clk0", - pll1.inclk0_input_frequency = 16276, - pll1.operation_mode = "normal", - pll1.pll_type = "auto", - pll1.lpm_type = "cycloneive_pll"; - assign - clk = {wire_pll1_clk[4:0]}; -endmodule //tx_pll_altpll -//VALID FILE diff --git a/FPGA_61.440/greybox_tmp/cbx_args.txt b/FPGA_61.440/greybox_tmp/cbx_args.txt deleted file mode 100644 index cc77e1e..0000000 --- a/FPGA_61.440/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,63 +0,0 @@ -BANDWIDTH_TYPE=AUTO -CLK0_DIVIDE_BY=5 -CLK0_DUTY_CYCLE=50 -CLK0_MULTIPLY_BY=1 -CLK0_PHASE_SHIFT=0 -CLK1_DIVIDE_BY=1280 -CLK1_DUTY_CYCLE=50 -CLK1_MULTIPLY_BY=1 -CLK1_PHASE_SHIFT=0 -COMPENSATE_CLOCK=CLK0 -INCLK0_INPUT_FREQUENCY=16276 -INTENDED_DEVICE_FAMILY="Cyclone IV E" -LPM_TYPE=altpll -OPERATION_MODE=NORMAL -PLL_TYPE=AUTO -PORT_ACTIVECLOCK=PORT_UNUSED -PORT_ARESET=PORT_UNUSED -PORT_CLKBAD0=PORT_UNUSED -PORT_CLKBAD1=PORT_UNUSED -PORT_CLKLOSS=PORT_UNUSED -PORT_CLKSWITCH=PORT_UNUSED -PORT_CONFIGUPDATE=PORT_UNUSED -PORT_FBIN=PORT_UNUSED -PORT_INCLK0=PORT_USED -PORT_INCLK1=PORT_UNUSED -PORT_LOCKED=PORT_UNUSED -PORT_PFDENA=PORT_UNUSED -PORT_PHASECOUNTERSELECT=PORT_UNUSED -PORT_PHASEDONE=PORT_UNUSED -PORT_PHASESTEP=PORT_UNUSED -PORT_PHASEUPDOWN=PORT_UNUSED -PORT_PLLENA=PORT_UNUSED -PORT_SCANACLR=PORT_UNUSED -PORT_SCANCLK=PORT_UNUSED -PORT_SCANCLKENA=PORT_UNUSED -PORT_SCANDATA=PORT_UNUSED -PORT_SCANDATAOUT=PORT_UNUSED -PORT_SCANDONE=PORT_UNUSED -PORT_SCANREAD=PORT_UNUSED -PORT_SCANWRITE=PORT_UNUSED -PORT_clk0=PORT_USED -PORT_clk1=PORT_USED -PORT_clk2=PORT_UNUSED -PORT_clk3=PORT_UNUSED -PORT_clk4=PORT_UNUSED -PORT_clk5=PORT_UNUSED -PORT_clkena0=PORT_UNUSED -PORT_clkena1=PORT_UNUSED -PORT_clkena2=PORT_UNUSED -PORT_clkena3=PORT_UNUSED -PORT_clkena4=PORT_UNUSED -PORT_clkena5=PORT_UNUSED -PORT_extclk0=PORT_UNUSED -PORT_extclk1=PORT_UNUSED -PORT_extclk2=PORT_UNUSED -PORT_extclk3=PORT_UNUSED -WIDTH_CLOCK=5 -DEVICE_FAMILY="Cyclone IV E" -CBX_AUTO_BLACKBOX=ALL -inclk -inclk -clk -clk diff --git a/FPGA_61.440/incremental_db/README b/FPGA_61.440/incremental_db/README deleted file mode 100644 index 9f62dcd..0000000 --- a/FPGA_61.440/incremental_db/README +++ /dev/null @@ -1,11 +0,0 @@ -This folder contains data for incremental compilation. - -The compiled_partitions sub-folder contains previous compilation results for each partition. -As long as this folder is preserved, incremental compilation results from earlier compiles -can be re-used. To perform a clean compilation from source files for all partitions, both -the db and incremental_db folder should be removed. - -The imported_partitions sub-folder contains the last imported QXP for each imported partition. -As long as this folder is preserved, imported partitions will be automatically re-imported -when the db or incremental_db/compiled_partitions folders are removed. - diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.ammdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.ammdb deleted file mode 100644 index b8342da..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.ammdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.cdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.cdb deleted file mode 100644 index 7635784..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.cdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.dfp b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.dfp deleted file mode 100644 index b1c67d6..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.dfp and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.hdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.hdb deleted file mode 100644 index 67bd1e3..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.hdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.logdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.logdb deleted file mode 100644 index 626799f..0000000 --- a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.rcfdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.rcfdb deleted file mode 100644 index 0516708..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.cmp.rcfdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.cdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.cdb deleted file mode 100644 index e961c3b..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.cdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.dpi b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.dpi deleted file mode 100644 index c850805..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.dpi and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.hdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.hdb deleted file mode 100644 index 381262e..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.hdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.kpt b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.kpt deleted file mode 100644 index 139fca6..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.kpt and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.logdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.logdb deleted file mode 100644 index 626799f..0000000 --- a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.autoh_e40e1.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.db_info b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.db_info deleted file mode 100644 index 3c88977..0000000 --- a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition -Version_Index = 486699264 -Creation_Time = Wed Mar 24 19:34:30 2021 diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.ammdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.ammdb deleted file mode 100644 index 986545d..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.ammdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.cdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.cdb deleted file mode 100644 index 9bfcab0..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.cdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.dfp b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.dfp deleted file mode 100644 index b1c67d6..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.dfp and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.hdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.hdb deleted file mode 100644 index 2e1c45b..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.hdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.logdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.logdb deleted file mode 100644 index f672f38..0000000 --- a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.logdb +++ /dev/null @@ -1,3 +0,0 @@ -v1 -PORT_SWAPPING,PORT_SWAP_TYPE_DSPMULT,tx_ciccomp:TX_CICCOMP_Q|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1, -PORT_SWAPPING,PORT_SWAP_TYPE_DSPMULT,tx_ciccomp:TX_CICCOMP_I|tx_ciccomp_0002:tx_ciccomp_inst|tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst|tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core|lpm_mult:u0_m0_wo0_mtree_mult1_0_component|mult_ncu:auto_generated|mac_mult1, diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.rcfdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.rcfdb deleted file mode 100644 index 2301434..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.cmp.rcfdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.cdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.cdb deleted file mode 100644 index 92d5cf8..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.cdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.dpi b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.dpi deleted file mode 100644 index 467755e..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.dpi and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.cdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.cdb deleted file mode 100644 index 16784f9..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.cdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.hb_info b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.hb_info deleted file mode 100644 index f20007c..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.hb_info and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.hdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.hdb deleted file mode 100644 index 185a064..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.hdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.sig b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.sig deleted file mode 100644 index f5fb2e2..0000000 --- a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hbdb.sig +++ /dev/null @@ -1 +0,0 @@ -142a525f215c95aaad0edf8ba7ed1d0f \ No newline at end of file diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hdb deleted file mode 100644 index f6fa97c..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.hdb and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.kpt b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.kpt deleted file mode 100644 index 971f03c..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.root_partition.map.kpt and /dev/null differ diff --git a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.rrp.hdb b/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.rrp.hdb deleted file mode 100644 index f288408..0000000 Binary files a/FPGA_61.440/incremental_db/compiled_partitions/WOLF-LITE.rrp.hdb and /dev/null differ diff --git a/FPGA_61.440/nco.sopcinfo b/FPGA_61.440/nco.sopcinfo index 69f4300..aa3e059 100644 --- a/FPGA_61.440/nco.sopcinfo +++ b/FPGA_61.440/nco.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1617214577 + 1644138389 false true false diff --git a/FPGA_61.440/output_files/WOLF-LITE.done b/FPGA_61.440/output_files/WOLF-LITE.done deleted file mode 100644 index 54f3a7b..0000000 --- a/FPGA_61.440/output_files/WOLF-LITE.done +++ /dev/null @@ -1 +0,0 @@ -Sat Oct 16 15:12:32 2021 diff --git a/FPGA_61.440/output_files/WOLF-LITE.jdi b/FPGA_61.440/output_files/WOLF-LITE.jdi deleted file mode 100644 index 093872a..0000000 --- a/FPGA_61.440/output_files/WOLF-LITE.jdi +++ /dev/null @@ -1,123 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FPGA_61.440/output_files/WOLF-LITE.pin b/FPGA_61.440/output_files/WOLF-LITE.pin deleted file mode 100644 index 6f2c893..0000000 --- a/FPGA_61.440/output_files/WOLF-LITE.pin +++ /dev/null @@ -1,215 +0,0 @@ - -- Copyright (C) 2018 Intel Corporation. All rights reserved. - -- Your use of Intel Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Intel Program License - -- Subscription Agreement, the Intel Quartus Prime License Agreement, - -- the Intel FPGA IP License Agreement, or other applicable license - -- agreement, including, without limitation, that your use is for - -- the sole purpose of programming logic devices manufactured by - -- Intel and sold by Intel or its authorized distributors. Please - -- refer to the applicable agreement for further details. - -- - -- This is a Quartus Prime output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus Prime input file. This file cannot be used - -- to make Quartus Prime pin assignments - for instructions on how to make pin - -- assignments, please see Quartus Prime help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 3.3V - -- Bank 3: 3.3V - -- Bank 4: 3.3V - -- Bank 5: 3.3V - -- Bank 6: 3.3V - -- Bank 7: 3.3V - -- Bank 8: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition -CHIP "WOLF-LITE" ASSIGNED TO AN: EP4CE10E22C8 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND* : 1 : : : : 1 : -GND* : 2 : : : : 1 : -GND* : 3 : : : : 1 : -GND : 4 : gnd : : : : -VCCINT : 5 : power : : 1.2V : : -FLASH_MOSI : 6 : output : 2.5 V : : 1 : Y -GND* : 7 : : : : 1 : -FLASH_S : 8 : output : 2.5 V : : 1 : Y -nSTATUS : 9 : : : : 1 : -GND* : 10 : : : : 1 : -GND* : 11 : : : : 1 : -FLASH_C : 12 : output : 2.5 V : : 1 : Y -FLASH_MISO : 13 : input : 2.5 V : : 1 : Y -nCONFIG : 14 : : : : 1 : -altera_reserved_tdi : 15 : input : 2.5 V : : 1 : N -altera_reserved_tck : 16 : input : 2.5 V : : 1 : N -VCCIO1 : 17 : power : : 2.5V : 1 : -altera_reserved_tms : 18 : input : 2.5 V : : 1 : N -GND : 19 : gnd : : : : -altera_reserved_tdo : 20 : output : 2.5 V : : 1 : N -nCE : 21 : : : : 1 : -GND : 22 : gnd : : : : -GND+ : 23 : : : : 1 : -GND+ : 24 : : : : 2 : -GND+ : 25 : : : : 2 : -VCCIO2 : 26 : power : : 3.3V : 2 : -GND : 27 : gnd : : : : -GND* : 28 : : : : 2 : -VCCINT : 29 : power : : 1.2V : : -GND* : 30 : : : : 2 : -GND* : 31 : : : : 2 : -STM32_SYNC : 32 : input : 3.3-V LVTTL : : 2 : Y -STM32_CLK : 33 : input : 3.3-V LVTTL : : 2 : Y -GND* : 34 : : : : 2 : -VCCA1 : 35 : power : : 2.5V : : -GNDA1 : 36 : gnd : : : : -VCCD_PLL1 : 37 : power : : 1.2V : : -STM32_DATA_BUS[3] : 38 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[4] : 39 : bidir : 3.3-V LVTTL : : 3 : Y -VCCIO3 : 40 : power : : 3.3V : 3 : -GND : 41 : gnd : : : : -STM32_DATA_BUS[5] : 42 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[6] : 43 : bidir : 3.3-V LVTTL : : 3 : Y -ADC_OTR : 44 : input : 3.3-V LVTTL : : 3 : Y -VCCINT : 45 : power : : 1.2V : : -STM32_DATA_BUS[7] : 46 : bidir : 3.3-V LVTTL : : 3 : Y -VCCIO3 : 47 : power : : 3.3V : 3 : -GND : 48 : gnd : : : : -STM32_DATA_BUS[0] : 49 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[1] : 50 : bidir : 3.3-V LVTTL : : 3 : Y -STM32_DATA_BUS[2] : 51 : bidir : 3.3-V LVTTL : : 3 : Y -ADC_INPUT[11] : 52 : input : 3.3-V LVTTL : : 3 : Y -ADC_INPUT[10] : 53 : input : 3.3-V LVTTL : : 3 : Y -ADC_INPUT[9] : 54 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[8] : 55 : input : 3.3-V LVTTL : : 4 : Y -VCCIO4 : 56 : power : : 3.3V : 4 : -GND : 57 : gnd : : : : -ADC_INPUT[7] : 58 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[6] : 59 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[5] : 60 : input : 3.3-V LVTTL : : 4 : Y -VCCINT : 61 : power : : 1.2V : : -VCCIO4 : 62 : power : : 3.3V : 4 : -GND : 63 : gnd : : : : -ADC_INPUT[4] : 64 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[3] : 65 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[2] : 66 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[1] : 67 : input : 3.3-V LVTTL : : 4 : Y -ADC_INPUT[0] : 68 : input : 3.3-V LVTTL : : 4 : Y -PREAMP : 69 : output : 3.3-V LVTTL : : 4 : Y -GND* : 70 : : : : 4 : -GND* : 71 : : : : 4 : -TXRX_OUT : 72 : output : 3.3-V LVTTL : : 4 : Y -GND* : 73 : : : : 5 : -LPF_3 : 74 : output : 3.3-V LVTTL : : 5 : Y -LPF_2 : 75 : output : 3.3-V LVTTL : : 5 : Y -LPF_1 : 76 : output : 3.3-V LVTTL : : 5 : Y -BPF_OE1 : 77 : output : 3.3-V LVTTL : : 5 : Y -VCCINT : 78 : power : : 1.2V : : -GND : 79 : gnd : : : : -BPF_A : 80 : output : 3.3-V LVTTL : : 5 : Y -VCCIO5 : 81 : power : : 3.3V : 5 : -GND : 82 : gnd : : : : -BPF_B : 83 : output : 3.3-V LVTTL : : 5 : Y -BPF_OE2 : 84 : output : 3.3-V LVTTL : : 5 : Y -ATT_16 : 85 : output : 3.3-V LVTTL : : 5 : Y -ATT_05 : 86 : output : 3.3-V LVTTL : : 5 : Y -ATT_1 : 87 : output : 3.3-V LVTTL : : 5 : Y -GND+ : 88 : : : : 5 : -clk_sys : 89 : input : 3.3-V LVTTL : : 5 : Y -GND+ : 90 : : : : 6 : -GND+ : 91 : : : : 6 : -CONF_DONE : 92 : : : : 6 : -VCCIO6 : 93 : power : : 3.3V : 6 : -MSEL0 : 94 : : : : 6 : -GND : 95 : gnd : : : : -MSEL1 : 96 : : : : 6 : -MSEL2 : 97 : : : : 6 : -ATT_2 : 98 : output : 3.3-V LVTTL : : 6 : Y -ATT_4 : 99 : output : 3.3-V LVTTL : : 6 : Y -ATT_8 : 100 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[0] : 101 : output : 3.3-V LVTTL : : 6 : Y -VCCINT : 102 : power : : 1.2V : : -DAC_OUTPUT[1] : 103 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[2] : 104 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[3] : 105 : output : 3.3-V LVTTL : : 6 : Y -DAC_OUTPUT[4] : 106 : output : 3.3-V LVTTL : : 6 : Y -VCCA2 : 107 : power : : 2.5V : : -GNDA2 : 108 : gnd : : : : -VCCD_PLL2 : 109 : power : : 1.2V : : -DAC_OUTPUT[5] : 110 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[6] : 111 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[7] : 112 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[8] : 113 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[9] : 114 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[10] : 115 : output : 3.3-V LVTTL : : 7 : Y -VCCINT : 116 : power : : 1.2V : : -VCCIO7 : 117 : power : : 3.3V : 7 : -GND : 118 : gnd : : : : -DAC_OUTPUT[11] : 119 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[12] : 120 : output : 3.3-V LVTTL : : 7 : Y -DAC_OUTPUT[13] : 121 : output : 3.3-V LVTTL : : 7 : Y -VCCIO7 : 122 : power : : 3.3V : 7 : -GND : 123 : gnd : : : : -DAC_PD : 124 : output : 3.3-V LVTTL : : 7 : Y -DAC_CLK : 125 : output : 3.3-V LVTTL : : 7 : Y -GND* : 126 : : : : 7 : -GND* : 127 : : : : 7 : -GND* : 128 : : : : 8 : -GND* : 129 : : : : 8 : -VCCIO8 : 130 : power : : 3.3V : 8 : -GND : 131 : gnd : : : : -GND* : 132 : : : : 8 : -GND* : 133 : : : : 8 : -VCCINT : 134 : power : : 1.2V : : -AUDIO_48K_CLOCK : 135 : output : 3.3-V LVTTL : : 8 : Y -AUDIO_I2S_CLOCK : 136 : output : 3.3-V LVTTL : : 8 : Y -GND* : 137 : : : : 8 : -GND* : 138 : : : : 8 : -VCCIO8 : 139 : power : : 3.3V : 8 : -GND : 140 : gnd : : : : -GND* : 141 : : : : 8 : -GND* : 142 : : : : 8 : -GND* : 143 : : : : 8 : -GND* : 144 : : : : 8 : -GND : EPAD : : : : : diff --git a/FPGA_61.440/output_files/WOLF-LITE.sld b/FPGA_61.440/output_files/WOLF-LITE.sld deleted file mode 100644 index 11c0032..0000000 --- a/FPGA_61.440/output_files/WOLF-LITE.sld +++ /dev/null @@ -1,49 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/FPGA_61.440/output_files/signal_activity.saf b/FPGA_61.440/output_files/signal_activity.saf deleted file mode 100644 index 5cb1c1c..0000000 --- a/FPGA_61.440/output_files/signal_activity.saf +++ /dev/null @@ -1,22623 +0,0 @@ -# Copyright (C) 2018 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details. - -# Signal Activity File Name: "output_files/signal_activity.saf" -# Created On: "05/11/2021 21:59:03" -# Created By: "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition" -# This file was created by the Quartus Prime Power Analyzer. - -FORMAT_VERSION 1; - -DEFINE_FLAG TOGGLE_RATE_FROM_SIMULATION 0x1; -DEFINE_FLAG STATIC_PROBABILITY_FROM_SIMULATION 0x2; -DEFINE_FLAG TOGGLE_RATE_FROM_USER 0x4; -DEFINE_FLAG STATIC_PROBABILITY_FROM_USER 0x8; -DEFINE_FLAG TOGGLE_RATE_FROM_USER_DEFAULT 0x10; -DEFINE_FLAG STATIC_PROBABILITY_FROM_USER_DEFAULT 0x20; -DEFINE_FLAG TOGGLE_RATE_FROM_VECTORLESS_ESTIMATION 0x40; -DEFINE_FLAG STATIC_PROBABILITY_FROM_VECTORLESS_ESTIMATION 0x80; -DEFINE_FLAG TOGGLE_RATE_ASSUMED_ZERO 0x100; -DEFINE_FLAG TOGGLE_RATE_CLIPPED_TO_MAX 0x200; - -BEGIN_OUTPUT_SIGNAL_INFO; - -# Output Signal Information Line Format Description: - -# []<;> - - ADC_INPUT[0] 0x30 4.91521e+07 0.5; - ADC_INPUT[0]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[1] 0x30 4.91521e+07 0.5; - ADC_INPUT[1]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[2] 0x30 4.91521e+07 0.5; - ADC_INPUT[2]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[3] 0x30 4.91521e+07 0.5; - ADC_INPUT[3]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[4] 0x30 4.91521e+07 0.5; - ADC_INPUT[4]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[5] 0x30 4.91521e+07 0.5; - ADC_INPUT[5]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[6] 0x30 4.91521e+07 0.5; - ADC_INPUT[6]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[7] 0x30 4.91521e+07 0.5; - ADC_INPUT[7]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[8] 0x30 4.91521e+07 0.5; - ADC_INPUT[8]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[9] 0x30 4.91521e+07 0.5; - ADC_INPUT[9]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[10] 0x30 4.91521e+07 0.5; - ADC_INPUT[10]~input 0xc0 4.91521e+07 0.5; - ADC_INPUT[11] 0x30 4.91521e+07 0.5; - ADC_INPUT[11]~input 0xc0 4.91521e+07 0.5; - ADC_Latch:ADC_Latch; - lpm_add_sub:LPM_ADD_SUB_component; - add_sub_b2k:auto_generated; - pipeline_dffe[0] 0xc0 7.68002e+06 0.5; - pipeline_dffe[1] 0xc0 7.68002e+06 0.5; - pipeline_dffe[2] 0xc0 7.68002e+06 0.5; - pipeline_dffe[2]~feeder 0xc0 4.91521e+07 0.5; - pipeline_dffe[3] 0xc0 7.68002e+06 0.5; - pipeline_dffe[3]~feeder 0xc0 4.91521e+07 0.5; - pipeline_dffe[4] 0xc0 7.68002e+06 0.5; - pipeline_dffe[5] 0xc0 7.68002e+06 0.5; - pipeline_dffe[5]~feeder 0xc0 4.91521e+07 0.5; - pipeline_dffe[6] 0xc0 7.68002e+06 0.5; - pipeline_dffe[6]~feeder 0xc0 4.91521e+07 0.5; - pipeline_dffe[7] 0xc0 7.68002e+06 0.5; - pipeline_dffe[8] 0xc0 7.68002e+06 0.5; - pipeline_dffe[8]~feeder 0xc0 4.91521e+07 0.5; - pipeline_dffe[9] 0xc0 7.68002e+06 0.5; - pipeline_dffe[9]~feeder 0xc0 4.91521e+07 0.5; - pipeline_dffe[10] 0xc0 7.68002e+06 0.5; - pipeline_dffe[10]~feeder 0xc0 4.91521e+07 0.5; - pipeline_dffe[11] 0xc0 7.68002e+06 0.5; - ADC_OTR 0x30 2e+07 0.5; - ADC_OTR~input 0xc0 2e+07 0.5; - altera_internal_jtag~TCKUTAP 0xc0 2e+07 0.5; - altera_internal_jtag~TCKUTAPclkctrl 0xc0 2e+07 0.5; - altera_internal_jtag~TDIUTAP 0xc0 8e+06 0.5; - altera_internal_jtag~TDO 0xc0 5e+06 0.5; - altera_internal_jtag~TMSUTAP 0xc0 8e+06 0.5; - altera_reserved_tck 0xc 2e+07 0.5; - altera_reserved_tck~input 0xc0 2e+07 0.5; - altera_reserved_tdi 0x30 8e+06 0.5; - altera_reserved_tdi~input 0xc0 8e+06 0.5; - altera_reserved_tdo 0xc0 5e+06 0.5; - altera_reserved_tdo~output 0xc0 5e+06 0.5; - altera_reserved_tms 0x30 8e+06 0.5; - altera_reserved_tms~input 0xc0 8e+06 0.5; - ATT_1 0xc0 3.125e+06 0.5; - ATT_1~output 0xc0 3.125e+06 0.5; - ATT_2 0xc0 3.125e+06 0.5; - ATT_2~output 0xc0 3.125e+06 0.5; - ATT_4 0xc0 3.125e+06 0.5; - ATT_4~output 0xc0 3.125e+06 0.5; - ATT_05 0xc0 3.125e+06 0.5; - ATT_05~output 0xc0 3.125e+06 0.5; - ATT_8 0xc0 3.125e+06 0.5; - ATT_8~output 0xc0 3.125e+06 0.5; - ATT_16 0xc0 3.125e+06 0.5; - ATT_16~output 0xc0 3.125e+06 0.5; - AUDIO_48K_CLOCK 0xc0 96000.2 0.5; - AUDIO_48K_CLOCK~output 0xc0 96000.2 0.5; - AUDIO_I2S_CLOCK 0xc0 2.45761e+07 0.5; - AUDIO_I2S_CLOCK~output 0xc0 2.45761e+07 0.5; - hard_block:auto_generated_inst; - sld_hub:auto_hub; - alt_sld_fab_with_jtag_input:\instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric; - alt_sld_fab:instrumentation_fabric; - alt_sld_fab_alt_sld_fab:alt_sld_fab; - alt_sld_fab_alt_sld_fab_ident:ident; - Mux0~0 0xc0 567627 0.4375; - Mux0~1 0xc0 509033 0.09375; - Mux1~0 0xc0 478821 0.5625; - Mux1~1 0xc0 605469 0.3125; - Mux1~2 0xc0 424805 0.15625; - Mux1~3 0xc0 703125 0.25; - Mux2~0 0xc0 459595 0.375; - Mux2~1 0xc0 350647 0.1875; - Mux2~2 0xc0 153522 0.09375; - Mux3~0 0xc0 629883 0.5625; - Mux3~1 0xc0 703125 0.25; - Mux3~2 0xc0 344696 0.15625; - alt_sld_fab_alt_sld_fab_sldfabric:sldfabric; - sld_jtag_hub:\jtag_hub_gen:real_sld_jtag_hub; - clr_reg 0xc0 1.25e+06 0.5; - clr_reg_proc~0 0xc0 703125 0.25; - design_hash_proc~0 0xc0 937500 0.75; - design_hash_reg[0] 0xc0 1.25e+06 0.5; - design_hash_reg[0]~0 0xc0 515692 0.296875; - design_hash_reg[1] 0xc0 1.25e+06 0.5; - design_hash_reg[1]~1 0xc0 1.16438e+06 0.359375; - design_hash_reg[2] 0xc0 1.25e+06 0.5; - design_hash_reg[2]~2 0xc0 533266 0.296875; - design_hash_reg[3] 0xc0 1.25e+06 0.5; - design_hash_reg[3]~3 0xc0 571203 0.328125; - design_hash_reg~4 0xc0 590820 0.5; - design_hash_reg~5 0xc0 590820 0.5; - design_hash_reg~6 0xc0 590820 0.5; - design_hash_reg~7 0xc0 1.01709e+06 0.5; - Equal0~0 0xc0 168457 0.0625; - Equal0~1 0xc0 168457 0.0625; - Equal0~2 0xc0 694.469 0.000976563; - Equal1~0 0xc0 694.469 0.000976563; - Equal7~0 0xc0 371094 0.125; - sld_rom_sr:hub_info_reg; - Add0~0 0xc0 1.25e+06 0.5; - Add0~1 0xc0 1.25e+06 0.5; - Add0~2 0xc0 1.25e+06 0.5; - Add0~3 0xc0 703125 0.75; - Add0~4 0xc0 1.13281e+06 0.5; - Add0~5 0xc0 957031 0.125; - Add0~6 0xc0 1.45508e+06 0.5; - Add0~7 0xc0 278320 0.9375; - Add0~8 0xc0 1.24268e+06 0.5; - clear_signal 0xc0 937500 0.25; - Equal0~0 0xc0 153809 0.0625; - Mux0~0 0xc0 568848 0.3125; - Mux1~0 0xc0 615234 0.625; - word_counter[0] 0xc0 1.25e+06 0.5; - word_counter[1] 0xc0 1.25e+06 0.5; - word_counter[2] 0xc0 1.25e+06 0.5; - word_counter[3] 0xc0 1.25e+06 0.5; - word_counter[4] 0xc0 1.25e+06 0.5; - word_counter~0 0xc0 1.17188e+06 0.375; - word_counter~1 0xc0 1.06201e+06 0.34375; - word_counter~2 0xc0 1.08398e+06 0.375; - word_counter~3 0xc0 1.06759e+06 0.375; - word_counter~4 0xc0 975952 0.375; - word_counter~5 0xc0 1.11957e+06 0.375; - WORD_SR[0] 0xc0 1.25e+06 0.5; - WORD_SR[1] 0xc0 1.25e+06 0.5; - WORD_SR[2] 0xc0 1.25e+06 0.5; - WORD_SR[3] 0xc0 1.25e+06 0.5; - WORD_SR~0 0xc0 541992 0.1875; - WORD_SR~1 0xc0 893555 0.53125; - WORD_SR~2 0xc0 607910 0.375; - WORD_SR~3 0xc0 587769 0.28125; - WORD_SR~4 0xc0 194149 0.0703125; - WORD_SR~5 0xc0 1.76795e+06 0.244629; - WORD_SR~6 0xc0 1.2637e+06 0.304688; - hub_info_reg_ena~0 0xc0 703125 0.75; - hub_minor_ver_reg[0] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg[1] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg[2] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg[3] 0xc0 1.25e+06 0.5; - hub_minor_ver_reg~0 0xc0 937500 0.75; - hub_minor_ver_reg~1 0xc0 937500 0.25; - hub_minor_ver_reg~2 0xc0 937500 0.75; - hub_minor_ver_reg~3 0xc0 2.8125e+06 0.25; - hub_mode_reg[0] 0xc0 1.25e+06 0.5; - hub_mode_reg[1] 0xc0 1.25e+06 0.5; - hub_mode_reg[1]~0 0xc0 568848 0.3125; - hub_mode_reg[1]~1 0xc0 737409 0.5; - hub_mode_reg[2] 0xc0 1.25e+06 0.5; - hub_mode_reg[2]~2 0xc0 153809 0.0625; - hub_mode_reg[2]~3 0xc0 465622 0.265625; - hub_mode_reg~4 0xc0 112610 0.0625; - identity_contrib_shift_reg[0] 0xc0 1.25e+06 0.5; - identity_contrib_shift_reg[0]~0 0xc0 153809 0.0625; - identity_contrib_shift_reg[0]~1 0xc0 17767 0.015625; - identity_contrib_shift_reg[1] 0xc0 1.25e+06 0.5; - identity_contrib_shift_reg[2] 0xc0 1.25e+06 0.5; - identity_contrib_shift_reg[3] 0xc0 1.25e+06 0.5; - irf_reg[1][0] 0xc0 1.25e+06 0.5; - irf_reg[1][0]~0 0xc0 455933 0.09375; - irf_reg[1][0]~feeder 0xc0 1.25e+06 0.5; - irf_reg[1][1] 0xc0 1.25e+06 0.5; - irf_reg[1][1]~feeder 0xc0 1.25e+06 0.5; - irf_reg[1][2] 0xc0 1.25e+06 0.5; - irf_reg[1][2]~feeder 0xc0 1.25e+06 0.5; - irf_reg[1][3] 0xc0 1.25e+06 0.5; - irf_reg[1][3]~feeder 0xc0 1.25e+06 0.5; - irsr_reg[0] 0xc0 1.25e+06 0.5; - irsr_reg[1] 0xc0 1.25e+06 0.5; - irsr_reg[2] 0xc0 1.25e+06 0.5; - irsr_reg[2]~3 0xc0 611572 0.25; - irsr_reg[3] 0xc0 1.25e+06 0.5; - irsr_reg[3]~6 0xc0 1.25e+06 0.25; - irsr_reg[3]~7 0xc0 786133 0.46875; - irsr_reg[3]~8 0xc0 861034 0.408203; - irsr_reg[4] 0xc0 1.25e+06 0.5; - irsr_reg~0 0xc0 2.16406e+06 0.5; - irsr_reg~1 0xc0 1.25e+06 0.25; - irsr_reg~2 0xc0 1.13281e+06 0.5; - irsr_reg~4 0xc0 1.25e+06 0.25; - irsr_reg~5 0xc0 1.25e+06 0.25; - jtag_ir_reg[0] 0xc0 1.25e+06 0.5; - jtag_ir_reg[0]~1 0xc0 1.25e+06 0.5; - jtag_ir_reg[1] 0xc0 1.25e+06 0.5; - jtag_ir_reg[1]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[2] 0xc0 1.25e+06 0.5; - jtag_ir_reg[2]~0 0xc0 1.25e+06 0.5; - jtag_ir_reg[3] 0xc0 1.25e+06 0.5; - jtag_ir_reg[3]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[4] 0xc0 1.25e+06 0.5; - jtag_ir_reg[5] 0xc0 1.25e+06 0.5; - jtag_ir_reg[6] 0xc0 1.25e+06 0.5; - jtag_ir_reg[6]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[7] 0xc0 1.25e+06 0.5; - jtag_ir_reg[7]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[8] 0xc0 1.25e+06 0.5; - jtag_ir_reg[8]~feeder 0xc0 1.25e+06 0.5; - jtag_ir_reg[9] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[0] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[0]~5 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[0]~6 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[1] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[1]~9 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[1]~10 0xc0 703125 0.25; - mixer_addr_reg_internal[2] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[2]~11 0xc0 1.13281e+06 0.5; - mixer_addr_reg_internal[2]~12 0xc0 332031 0.875; - mixer_addr_reg_internal[3] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[3]~13 0xc0 1.14258e+06 0.5; - mixer_addr_reg_internal[3]~14 0xc0 1.05957e+06 0.0625; - mixer_addr_reg_internal[4] 0xc0 1.25e+06 0.5; - mixer_addr_reg_internal[4]~15 0xc0 1.6333e+06 0.5; - mixer_addr_reg_internal~7 0xc0 1.32259e+06 0.273438; - mixer_addr_reg_internal~8 0xc0 820313 0.4375; - node_ena_proc~0 0xc0 2.8125e+06 0.25; - node_ena_proc~1 0xc0 4.3125e+06 0.25; - node_ena~0 0xc0 2.2168e+06 0.5; - node_ena~1 0xc0 2.79591e+06 0.1875; - node_ena~2 0xc0 2.14355e+06 0.40625; - node_ena~3 0xc0 1.5828e+06 0.298828; - reset_ena_reg 0xc0 1.25e+06 0.5; - reset_ena_reg_proc~0 0xc0 1.31836e+06 0.1875; - sld_shadow_jsm:shadow_jsm; - state[0] 0xc0 1.25e+06 0.5; - state[0]~_wirecell 0xc0 1.25e+06 0.5; - state[1] 0xc0 1.25e+06 0.5; - state[2] 0xc0 1.25e+06 0.5; - state[3] 0xc0 1.25e+06 0.5; - state[4] 0xc0 1.25e+06 0.5; - state[5] 0xc0 1.25e+06 0.5; - state[6] 0xc0 1.25e+06 0.5; - state[7] 0xc0 1.25e+06 0.5; - state[8] 0xc0 1.25e+06 0.5; - state[9] 0xc0 1.25e+06 0.5; - state[10] 0xc0 1.25e+06 0.5; - state[11] 0xc0 1.25e+06 0.5; - state[12] 0xc0 1.25e+06 0.5; - state[13] 0xc0 1.25e+06 0.5; - state[14] 0xc0 1.25e+06 0.5; - state[15] 0xc0 1.25e+06 0.5; - state[15]~feeder 0xc0 3.46875e+06 0.375; - state~0 0xc0 4.51172e+06 0.5625; - state~1 0xc0 112610 0.9375; - state~2 0xc0 2.49023e+06 0.4375; - state~3 0xc0 2.625e+06 0.25; - state~4 0xc0 546875 0.875; - state~5 0xc0 2.51953e+06 0.375; - state~6 0xc0 703125 0.75; - state~7 0xc0 4.3125e+06 0.25; - state~8 0xc0 3.46875e+06 0.375; - state~9 0xc0 4.3125e+06 0.25; - state~10 0xc0 356445 0.875; - state~11 0xc0 3.98438e+06 0.375; - state~12 0xc0 703125 0.75; - state~13 0xc0 4.3125e+06 0.25; - tms_cnt[0] 0xc0 1.25e+06 0.5; - tms_cnt[1] 0xc0 1.25e+06 0.5; - tms_cnt[2] 0xc0 1.25e+06 0.5; - tms_cnt~0 0xc0 751953 0.5; - tms_cnt~1 0xc0 4.3125e+06 0.25; - tms_cnt~2 0xc0 1.25e+06 0.5; - tdo 0xc0 1.25e+06 0.5; - tdo_bypass_reg 0xc0 1.25e+06 0.5; - tdo_bypass_reg~0 0xc0 2.16406e+06 0.5; - tdo_mux_out~0 0xc0 1.02539e+06 0.5625; - tdo_mux_out~1 0xc0 352892 0.375; - tdo_mux_out~2 0xc0 534973 0.3125; - tdo_mux_out~3 0xc0 413086 0.359375; - tdo_mux_out~4 0xc0 863980 0.68042; - tdo_mux_out~5 0xc0 297852 0.125; - tdo_mux_out~6 0xc0 311279 0.25; - tdo_mux_out~7 0xc0 746819 0.34375; - tdo_mux_out~8 0xc0 1.01563e+06 0.5; - tdo_mux_out~9 0xc0 366991 0.721616; - virtual_dr_scan_reg 0xc0 1.25e+06 0.5; - virtual_ir_dr_scan_proc~0 0xc0 3.46875e+06 0.375; - virtual_ir_scan_reg 0xc0 1.25e+06 0.5; - virtual_ir_tdo_sel_reg[0] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[0] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[0]~0 0xc0 5857.35 0.0078125; - sldfabric_ident_writedata[1] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[2] 0xc0 1.25e+06 0.5; - sldfabric_ident_writedata[3] 0xc0 1.25e+06 0.5; - splitter_nodes_receive_0[3] 0xc0 1.25e+06 0.5; - sld_jtag_interface_mod:\jtag_interface_mod_gen:device_family_mod_inst; - BPF_A 0xc0 3.125e+06 0.5; - BPF_A~output 0xc0 3.125e+06 0.5; - BPF_B 0xc0 3.125e+06 0.5; - BPF_B~output 0xc0 3.125e+06 0.5; - BPF_OE1 0xc0 3.125e+06 0.5; - BPF_OE1~output 0xc0 3.125e+06 0.5; - BPF_OE2 0xc0 3.125e+06 0.5; - BPF_OE2~output 0xc0 3.125e+06 0.5; - clk_sys 0xc 1.2288e+08 0.5; - clk_sys~input 0xc0 1.2288e+08 0.5; - DAC_CLK 0xc0 3.0722e+08 0.5; - DAC_CLK~output 0xc0 3.0722e+08 0.5; - DAC_corrector:DAC_CORRECTOR; - DATA_OUT[0] 0xc0 1.92012e+07 0.5; - DATA_OUT[0]~13 0xc0 4.78141e+06 0.294922; - DATA_OUT[1] 0xc0 1.92012e+07 0.5; - DATA_OUT[1]~12 0xc0 4.63974e+06 0.30957; - DATA_OUT[2] 0xc0 1.92012e+07 0.5; - DATA_OUT[2]~11 0xc0 4.57117e+06 0.324219; - DATA_OUT[3] 0xc0 1.92012e+07 0.5; - DATA_OUT[3]~10 0xc0 4.2516e+06 0.34375; - DATA_OUT[4] 0xc0 1.92012e+07 0.5; - DATA_OUT[4]~9 0xc0 4.01638e+06 0.359375; - DATA_OUT[5] 0xc0 1.92012e+07 0.5; - DATA_OUT[5]~8 0xc0 3.81977e+06 0.375; - DATA_OUT[6] 0xc0 1.92012e+07 0.5; - DATA_OUT[6]~7 0xc0 3.66314e+06 0.390625; - DATA_OUT[7] 0xc0 1.92012e+07 0.5; - DATA_OUT[7]~6 0xc0 3.37183e+06 0.420898; - DATA_OUT[8] 0xc0 1.92012e+07 0.5; - DATA_OUT[8]~5 0xc0 3.22697e+06 0.439453; - DATA_OUT[9] 0xc0 1.92012e+07 0.5; - DATA_OUT[9]~4 0xc0 3.14897e+06 0.458008; - DATA_OUT[10] 0xc0 1.92012e+07 0.5; - DATA_OUT[10]~3 0xc0 3.14408e+06 0.476563; - DATA_OUT[11] 0xc0 1.92012e+07 0.5; - DATA_OUT[11]~2 0xc0 3.10888e+06 0.484375; - DATA_OUT[12] 0xc0 1.92012e+07 0.5; - DATA_OUT[12]~1 0xc0 3.08921e+06 0.490234; - DATA_OUT[13] 0xc0 1.92012e+07 0.5; - DATA_OUT[13]~0 0xc0 3.08372e+06 0.5; - LessThan0~0 0xc0 100183 0.96875; - LessThan0~1 0xc0 705148 0.871094; - Mux0~0 0xc0 6.65668e+06 0.5; - Mux0~1 0xc0 4.51283e+06 0.5; - Mux0~2 0xc0 6.65668e+06 0.5; - Mux0~3 0xc0 4.51283e+06 0.5; - Mux0~4 0xc0 6.65668e+06 0.5; - Mux0~5 0xc0 4.51283e+06 0.5; - Mux0~6 0xc0 3.99805e+06 0.5; - Mux0~7 0xc0 6.65668e+06 0.5; - Mux0~8 0xc0 4.51283e+06 0.5; - Mux0~9 0xc0 2.13405e+06 0.5; - Mux0~10 0xc0 6.65668e+06 0.5; - Mux0~11 0xc0 4.51283e+06 0.5; - Mux0~12 0xc0 6.65668e+06 0.5; - Mux0~13 0xc0 4.51283e+06 0.5; - Mux0~14 0xc0 6.65668e+06 0.5; - Mux0~15 0xc0 4.51283e+06 0.5; - Mux0~16 0xc0 3.99805e+06 0.5; - Mux0~17 0xc0 6.65668e+06 0.5; - Mux0~18 0xc0 4.51283e+06 0.5; - Mux0~19 0xc0 2.13405e+06 0.5; - Mux1~0 0xc0 6.65668e+06 0.5; - Mux1~1 0xc0 4.51283e+06 0.5; - Mux1~2 0xc0 6.65668e+06 0.5; - Mux1~3 0xc0 4.51283e+06 0.5; - Mux1~4 0xc0 4.78156e+06 0.25; - Mux1~5 0xc0 3.59505e+06 0.34375; - Mux1~6 0xc0 3.98145e+06 0.460938; - Mux1~7 0xc0 6.65668e+06 0.5; - Mux1~8 0xc0 4.51283e+06 0.5; - Mux1~9 0xc0 2.14205e+06 0.480469; - Mux1~10 0xc0 6.65668e+06 0.5; - Mux1~11 0xc0 4.51283e+06 0.5; - Mux1~12 0xc0 6.65668e+06 0.5; - Mux1~13 0xc0 4.51283e+06 0.5; - Mux1~14 0xc0 6.65668e+06 0.5; - Mux1~15 0xc0 4.51283e+06 0.5; - Mux1~16 0xc0 3.99805e+06 0.5; - Mux1~17 0xc0 6.65668e+06 0.5; - Mux1~18 0xc0 4.51283e+06 0.5; - Mux1~19 0xc0 2.13405e+06 0.5; - Mux2~0 0xc0 1.75781e+06 0.25; - Mux2~1 0xc0 6.65668e+06 0.5; - Mux2~2 0xc0 4.51283e+06 0.5; - Mux2~3 0xc0 6.65668e+06 0.5; - Mux2~4 0xc0 4.51283e+06 0.5; - Mux2~5 0xc0 5.48473e+06 0.25; - Mux2~6 0xc0 4.50852e+06 0.4375; - Mux2~7 0xc0 6.65668e+06 0.5; - Mux2~8 0xc0 4.51283e+06 0.5; - Mux2~9 0xc0 2.22233e+06 0.46875; - Mux2~10 0xc0 6.65668e+06 0.5; - Mux2~11 0xc0 4.51283e+06 0.5; - Mux2~12 0xc0 6.65668e+06 0.5; - Mux2~13 0xc0 4.51283e+06 0.5; - Mux2~14 0xc0 6.65668e+06 0.5; - Mux2~15 0xc0 4.51283e+06 0.5; - Mux2~16 0xc0 3.99805e+06 0.5; - Mux2~17 0xc0 6.65668e+06 0.5; - Mux2~18 0xc0 4.51283e+06 0.5; - Mux2~19 0xc0 2.13405e+06 0.5; - Mux3~0 0xc0 6.65668e+06 0.5; - Mux3~1 0xc0 4.51283e+06 0.5; - Mux3~2 0xc0 6.65668e+06 0.5; - Mux3~3 0xc0 4.51283e+06 0.5; - Mux3~4 0xc0 4.57529e+06 0.125; - Mux3~5 0xc0 5.33314e+06 0.40625; - Mux3~6 0xc0 6.65668e+06 0.5; - Mux3~7 0xc0 4.51283e+06 0.5; - Mux3~8 0xc0 2.35554e+06 0.453125; - Mux3~9 0xc0 6.65668e+06 0.5; - Mux3~10 0xc0 4.51283e+06 0.5; - Mux3~11 0xc0 6.65668e+06 0.5; - Mux3~12 0xc0 4.51283e+06 0.5; - Mux3~13 0xc0 6.65668e+06 0.5; - Mux3~14 0xc0 4.51283e+06 0.5; - Mux3~15 0xc0 3.99805e+06 0.5; - Mux3~16 0xc0 6.65668e+06 0.5; - Mux3~17 0xc0 4.51283e+06 0.5; - Mux3~18 0xc0 2.13405e+06 0.5; - Mux4~0 0xc0 9.75062e+06 0.5; - Mux4~1 0xc0 4.58687e+06 0.375; - Mux4~2 0xc0 4.57529e+06 0.125; - Mux4~3 0xc0 5.33314e+06 0.40625; - Mux4~4 0xc0 2.06169e+06 0.416016; - Mux4~5 0xc0 3.99805e+06 0.5; - Mux4~6 0xc0 2.13405e+06 0.5; - Mux5~0 0xc0 4.78156e+06 0.25; - Mux5~1 0xc0 4.57529e+06 0.125; - Mux5~2 0xc0 5.33314e+06 0.40625; - Mux5~3 0xc0 2.0299e+06 0.378906; - Mux5~4 0xc0 3.99805e+06 0.5; - Mux5~5 0xc0 2.13405e+06 0.5; - Mux6~0 0xc0 4.57529e+06 0.125; - Mux6~1 0xc0 4.57529e+06 0.125; - Mux6~2 0xc0 5.33314e+06 0.40625; - Mux6~3 0xc0 2.22206e+06 0.341797; - Mux6~4 0xc0 3.99805e+06 0.5; - Mux6~5 0xc0 2.13405e+06 0.5; - Mux7~0 0xc0 3.30444e+06 0.3125; - Mux7~1 0xc0 2.47655e+06 0.28125; - Mux7~2 0xc0 3.99805e+06 0.5; - Mux7~3 0xc0 2.13405e+06 0.5; - Mux8~0 0xc0 2.58463e+06 0.25; - Mux8~1 0xc0 2.45494e+06 0.25; - Mux8~2 0xc0 3.99805e+06 0.5; - Mux8~3 0xc0 2.13405e+06 0.5; - Mux9~0 0xc0 2.21435e+06 0.1875; - Mux9~1 0xc0 2.5469e+06 0.21875; - Mux9~2 0xc0 3.99805e+06 0.5; - Mux9~3 0xc0 2.13405e+06 0.5; - Mux10~0 0xc0 2.11888e+06 0.125; - Mux10~1 0xc0 2.74394e+06 0.1875; - Mux10~2 0xc0 3.99805e+06 0.5; - Mux10~3 0xc0 2.13405e+06 0.5; - Mux11~0 0xc0 1.7298e+06 0.0625; - Mux11~1 0xc0 2.963e+06 0.148438; - Mux11~2 0xc0 3.99805e+06 0.5; - Mux11~3 0xc0 2.13405e+06 0.5; - Mux12~0 0xc0 1.7298e+06 0.0625; - Mux12~1 0xc0 1.95082e+06 0.119141; - Mux12~2 0xc0 3.99805e+06 0.5; - Mux12~3 0xc0 2.13405e+06 0.5; - Mux13~0 0xc0 1.7298e+06 0.0625; - Mux13~1 0xc0 1.26984e+06 0.0898438; - Mux13~2 0xc0 3.99805e+06 0.5; - Mux13~3 0xc0 2.13405e+06 0.5; - dac_null:DAC_IDLE; - mux14:DAC_MUX; - lpm_mux:LPM_MUX_component; - mux_rsc:auto_generated; - result_node[0]~12 0xc0 1.08007e+07 0.25; - result_node[1]~11 0xc0 1.08007e+07 0.25; - result_node[2]~10 0xc0 1.08007e+07 0.25; - result_node[3]~9 0xc0 1.08007e+07 0.25; - result_node[4]~8 0xc0 1.08007e+07 0.25; - result_node[5]~7 0xc0 1.08007e+07 0.25; - result_node[6]~6 0xc0 1.08007e+07 0.25; - result_node[7]~5 0xc0 1.08007e+07 0.25; - result_node[8]~4 0xc0 1.08007e+07 0.25; - result_node[9]~3 0xc0 1.08007e+07 0.25; - result_node[10]~2 0xc0 1.08007e+07 0.25; - result_node[11]~1 0xc0 1.08007e+07 0.25; - result_node[12]~0 0xc0 1.08007e+07 0.25; - result_node[13] 0xc0 1.08007e+07 0.75; - DAC_OUTPUT[0] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[0]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[1] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[1]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[2] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[2]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[3] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[3]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[4] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[4]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[5] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[5]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[6] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[6]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[7] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[7]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[8] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[8]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[9] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[9]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[10] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[10]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[11] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[11]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[12] 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[12]~output 0xc0 1.08007e+07 0.25; - DAC_OUTPUT[13] 0xc0 1.08007e+07 0.75; - DAC_OUTPUT[13]~output 0xc0 1.08007e+07 0.75; - DAC_PD 0xc0 3.125e+06 0.5; - DAC_PD~output 0xc0 3.125e+06 0.5; - DEBUG:DBG_ADC; - altsource_probe_top:in_system_sources_probes_0; - altsource_probe:issp_impl; - altsource_probe_body:altsource_probe_body_inst; - altsource_probe_impl:\wider_probe_gen:wider_probe_inst; - sld_rom_sr:\instance_id_gen:rom_info_inst; - Add0~0 0xc0 703125 0.25; - Add0~1 0xc0 297852 0.125; - Equal0~0 0xc0 112610 0.0625; - Mux1~0 0xc0 348206 0.6875; - Mux2~0 0xc0 215454 0.125; - Mux3~0 0xc0 377197 0.75; - word_counter[0] 0xc0 1.25e+06 0.5; - word_counter[1] 0xc0 1.25e+06 0.5; - word_counter[2] 0xc0 1.25e+06 0.5; - word_counter[3] 0xc0 1.25e+06 0.5; - word_counter~0 0xc0 1.05303e+06 0.4375; - word_counter~1 0xc0 979666 0.410156; - word_counter~2 0xc0 252910 0.179688; - word_counter~3 0xc0 904103 0.410156; - word_counter~4 0xc0 918071 0.410156; - WORD_SR[0] 0xc0 1.25e+06 0.5; - WORD_SR[1] 0xc0 1.25e+06 0.5; - WORD_SR[2] 0xc0 1.25e+06 0.5; - WORD_SR[3] 0xc0 1.25e+06 0.5; - WORD_SR~0 0xc0 677816 0.246094; - WORD_SR~1 0xc0 370622 0.289063; - WORD_SR~2 0xc0 605133 0.150391; - WORD_SR~3 0xc0 898383 0.293945; - WORD_SR~4 0xc0 112610 0.0625; - WORD_SR~5 0xc0 4.58439e+06 0.102539; - bypass_reg 0xc0 1.25e+06 0.5; - hold_reg[0] 0xc0 1.25e+06 0.5; - hold_reg[0]~0 0xc0 234375 0.0625; - hold_reg[0]~1 0xc0 917435 0.5; - shift_reg[0] 0xc0 1.25e+06 0.5; - shift_reg[1] 0xc0 1.25e+06 0.5; - shift_reg[2] 0xc0 1.25e+06 0.5; - shift_reg[3] 0xc0 1.25e+06 0.5; - shift_reg[4] 0xc0 1.25e+06 0.5; - shift_reg[5] 0xc0 1.25e+06 0.5; - shift_reg[6] 0xc0 1.25e+06 0.5; - shift_reg[7] 0xc0 1.25e+06 0.5; - shift_reg[8] 0xc0 1.25e+06 0.5; - shift_reg[9] 0xc0 1.25e+06 0.5; - shift_reg[10] 0xc0 1.25e+06 0.5; - shift_reg[11] 0xc0 1.25e+06 0.5; - shift_reg~0 0xc0 937500 0.25; - shift_reg~1 0xc0 2.36251e+06 0.375; - shift_reg~2 0xc0 1.84041e+06 0.458984; - shift_reg~3 0xc0 619812 0.171875; - shift_reg~4 0xc0 315018 0.21875; - shift_reg~5 0xc0 918747 0.165039; - shift_reg~6 0xc0 429688 0.125; - shift_reg~7 0xc0 918747 0.165039; - shift_reg~8 0xc0 918747 0.165039; - shift_reg~9 0xc0 918747 0.165039; - shift_reg~10 0xc0 918747 0.165039; - shift_reg~11 0xc0 918747 0.165039; - shift_reg~12 0xc0 918747 0.165039; - shift_reg~13 0xc0 918747 0.165039; - shift_reg~14 0xc0 918747 0.165039; - shift_reg~15 0xc0 918747 0.165039; - shift_reg~16 0xc0 2.12005e+06 0.165039; - tdo~0 0xc0 781250 0.5; - tdo~1 0xc0 361195 0.5; - vjtag_sdr_i~0 0xc0 488281 0.125; - vjtag_sdr_i~1 0xc0 937500 0.25; - vjtag_uir_i~0 0xc0 546875 0.125; - sld_jtag_endpoint_adapter:jtag_signal_adapter; - spi_interface:FLASH; - Add0~0 0xc0 7.68002e+06 0.5; - Add0~1 0xc0 7.68002e+06 0.5; - Add0~2 0xc0 7.68002e+06 0.5; - Add0~3 0xc0 5.76001e+06 0.25; - Add0~4 0xc0 7.68002e+06 0.5; - Add0~5 0xc0 2.40001e+06 0.875; - Add0~6 0xc0 7.20002e+06 0.5; - Add0~7 0xc0 6.60002e+06 0.0625; - Add0~8 0xc0 1.008e+07 0.5; - Add0~9 0xc0 1.71e+06 0.96875; - Add0~10 0xc0 8.07002e+06 0.5; - Add0~11 0xc0 7.64252e+06 0.015625; - Add0~12 0xc0 1.1265e+07 0.5; - Add0~13 0xc0 1.91438e+06 0.992188; - Add0~14 0xc0 8.51815e+06 0.5; - CS_S 0xc0 7.68002e+06 0.5; - CS_S~0 0xc0 3.91023e+06 0.265625; - Decoder0~0 0xc0 246212 0.03125; - Decoder0~1 0xc0 293.055 0.000488281; - Decoder0~2 0xc0 4.32001e+06 0.25; - Decoder0~3 0xc0 4.32001e+06 0.25; - Decoder0~4 0xc0 293.055 0.000488281; - Decoder0~5 0xc0 4.32001e+06 0.25; - Equal0~0 0xc0 1.83e+06 0.125; - Equal0~1 0xc0 691877 0.0625; - Equal1~0 0xc0 691877 0.0625; - Equal1~1 0xc0 1.83e+06 0.125; - Equal5~0 0xc0 5.76001e+06 0.25; - Equal5~1 0xc0 781877 0.0625; - Equal5~2 0xc0 11603.3 0.00390625; - Equal5~3 0xc0 357657 0.03125; - Equal5~4 0xc0 4.32001e+06 0.25; - MOSI_DQ0 0xc0 7.68002e+06 0.5; - MOSI_DQ0~0 0xc0 2.4575e+06 0.5; - MOSI_DQ0~1 0xc0 2.10066e+06 0.25; - Mux0~0 0xc0 2.66251e+06 0.5; - Mux0~1 0xc0 1.80502e+06 0.5; - Mux0~2 0xc0 2.66251e+06 0.5; - Mux0~3 0xc0 1.80502e+06 0.5; - SCK_C 0xc0 7.68002e+06 0.5; - SCK_C~0 0xc0 1.83e+06 0.875; - SCK_C~1 0xc0 2.93168e+06 0.753906; - SCK_C~2 0xc0 4.32001e+06 0.25; - always0~0 0xc0 4.32001e+06 0.25; - busy 0xc0 7.68002e+06 0.5; - busy~0 0xc0 5.28435e+06 0.671509; - continue_read_prev 0xc0 7.68002e+06 0.5; - continue_read_prev~0 0xc0 4.32001e+06 0.25; - continue_read_prev~1 0xc0 2.45297e+06 0.257813; - data_out[0] 0xc0 7.68002e+06 0.5; - data_out[0]~11 0xc0 9.34802e+06 0.5; - data_out[1] 0xc0 7.68002e+06 0.5; - data_out[1]~10 0xc0 1.21023e+07 0.5; - data_out[2] 0xc0 7.68002e+06 0.5; - data_out[2]~8 0xc0 7.19402e+06 0.5; - data_out[2]~9 0xc0 4.39983e+06 0.5; - data_out[3] 0xc0 7.68002e+06 0.5; - data_out[3]~6 0xc0 7.99502e+06 0.5; - data_out[3]~7 0xc0 5.35203e+06 0.5; - data_out[4] 0xc0 7.68002e+06 0.5; - data_out[4]~5 0xc0 1.21023e+07 0.5; - data_out[5] 0xc0 7.68002e+06 0.5; - data_out[5]~3 0xc0 7.99502e+06 0.5; - data_out[5]~4 0xc0 4.48924e+06 0.5; - data_out[6] 0xc0 7.68002e+06 0.5; - data_out[6]~1 0xc0 8.32802e+06 0.5; - data_out[6]~2 0xc0 1.82717e+07 0.5; - data_out[7] 0xc0 7.68002e+06 0.5; - data_out[7]~0 0xc0 1.21023e+07 0.5; - enabled_prev 0xc0 7.68002e+06 0.5; - enabled_prev~feeder 0xc0 3.125e+06 0.5; - spi_bit_position[0] 0xc0 7.68002e+06 0.5; - spi_bit_position[1] 0xc0 7.68002e+06 0.5; - spi_bit_position[2] 0xc0 7.68002e+06 0.5; - spi_bit_position[3] 0xc0 7.68002e+06 0.5; - spi_bit_position[4] 0xc0 7.68002e+06 0.5; - spi_bit_position[5] 0xc0 7.68002e+06 0.5; - spi_bit_position[6] 0xc0 7.68002e+06 0.5; - spi_bit_position[7] 0xc0 7.68002e+06 0.5; - spi_bit_position~0 0xc0 2.54692e+06 0.175781; - spi_bit_position~1 0xc0 2.99011e+06 0.351563; - spi_bit_position~2 0xc0 6.91764e+06 0.670324; - spi_bit_position~3 0xc0 2.54692e+06 0.175781; - spi_bit_position~4 0xc0 2.54692e+06 0.175781; - spi_bit_position~5 0xc0 2.46762e+06 0.175781; - spi_bit_position~6 0xc0 2.94341e+06 0.175781; - spi_bit_position~7 0xc0 2.68538e+06 0.175781; - spi_bit_position~8 0xc0 2.61135e+06 0.175781; - spi_bit_position~9 0xc0 3.13917e+06 0.175781; - spi_stage[0] 0xc0 7.68002e+06 0.5; - spi_stage[1] 0xc0 7.68002e+06 0.5; - spi_stage[2] 0xc0 7.68002e+06 0.5; - spi_stage[5] 0xc0 7.68002e+06 0.5; - spi_stage~0 0xc0 2.88563e+06 0.4375; - spi_stage~1 0xc0 1.68181e+06 0.292969; - spi_stage~2 0xc0 2.33626e+06 0.5; - spi_stage~3 0xc0 849326 0.09375; - spi_stage~4 0xc0 1.53563e+06 0.1875; - spi_stage~5 0xc0 1.83e+06 0.125; - spi_stage~6 0xc0 771682 0.0937958; - spi_stage~7 0xc0 382.029 0.000488281; - spi_stage~8 0xc0 631699 0.0937958; - FLASH_C 0xc0 7.68002e+06 0.5; - FLASH_C~output 0xc0 7.68002e+06 0.5; - FLASH_MISO 0x30 4.91521e+07 0.5; - FLASH_MISO~input 0xc0 4.91521e+07 0.5; - FLASH_MOSI 0xc0 7.68002e+06 0.5; - FLASH_MOSI~output 0xc0 7.68002e+06 0.5; - FLASH_S 0xc0 7.68002e+06 0.5; - FLASH_S~output 0xc0 7.68002e+06 0.5; - LPF_1 0xc0 3.125e+06 0.5; - LPF_1~output 0xc0 3.125e+06 0.5; - LPF_2 0xc0 3.125e+06 0.5; - LPF_2~output 0xc0 3.125e+06 0.5; - LPF_3 0xc0 3.125e+06 0.5; - LPF_3~output 0xc0 3.125e+06 0.5; - MAIN_PLL:MAIN_PLL; - altpll:altpll_component; - MAIN_PLL_altpll:auto_generated; - wire_pll1_clk[0] 0xc 2.45761e+07 0.5; - wire_pll1_clk[0]~clkctrl 0xc0 2.45761e+07 0.5; - wire_pll1_clk[1] 0xc 96000.2 0.5; - wire_pll1_clk[1]~clkctrl 0xc0 96000.2 0.5; - wire_pll1_fbout 0xc0 0 0; - PREAMP 0xc0 3.125e+06 0.5; - PREAMP~output 0xc0 3.125e+06 0.5; - rx_cic:RX_CIC_I; - rx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Decoder0~0 0xc0 381380 0.0512695; - Decoder0~1 0xc0 381380 0.0512695; - Decoder0~2 0xc0 381380 0.0512695; - Equal2~0 0xc0 2.28001e+06 0.125; - Equal3~0 0xc0 2.28001e+06 0.125; - fifo_array[0][0] 0xc0 7.68002e+06 0.5; - fifo_array[1][0] 0xc0 7.68002e+06 0.5; - fifo_array[2][0] 0xc0 7.68002e+06 0.5; - fifo_array[3][0] 0xc0 7.68002e+06 0.5; - fifo_array[4][0] 0xc0 7.68002e+06 0.5; - fifo_array[5][0] 0xc0 7.68002e+06 0.5; - fifo_array~0 0xc0 4.45098e+06 0.512817; - fifo_array~1 0xc0 4.45098e+06 0.512817; - fifo_array~2 0xc0 4.38394e+06 0.512817; - fifo_array~3 0xc0 4.52249e+06 0.512817; - fifo_array~4 0xc0 4.38394e+06 0.512817; - fifo_array~5 0xc0 4.52249e+06 0.512817; - fifo_usedw[0] 0xc0 7.68002e+06 0.5; - fifo_usedw[1] 0xc0 7.68002e+06 0.5; - fifo_usedw[2] 0xc0 7.68002e+06 0.5; - fifo_usedw~0 0xc0 5.74689e+06 0.28125; - fifo_usedw~1 0xc0 5.51361e+06 0.437378; - fifo_usedw~2 0xc0 3.65549e+06 0.5; - fifo_usedw~3 0xc0 1.57283e+06 0.123047; - fifo_usedw~4 0xc0 6.46394e+06 0.5; - Mux0~0 0xc0 2.66251e+06 0.5; - Mux0~1 0xc0 3.65603e+06 0.5; - rd_addr_ptr[0] 0xc0 7.68002e+06 0.5; - rd_addr_ptr[1] 0xc0 7.68002e+06 0.5; - rd_addr_ptr[2] 0xc0 7.68002e+06 0.5; - rd_addr_ptr~0 0xc0 2.62152e+06 0.472656; - rd_addr_ptr~1 0xc0 3.7789e+06 0.5; - rd_addr_ptr~2 0xc0 3.82782e+06 0.472656; - usedw_process~0 0xc0 1.47068e+06 0.109375; - usedw_process~1 0xc0 655312 0.794922; - wr_addr_ptr[0] 0xc0 7.68002e+06 0.5; - wr_addr_ptr[1] 0xc0 7.68002e+06 0.5; - wr_addr_ptr[2] 0xc0 7.68002e+06 0.5; - wr_addr_ptr~0 0xc0 6.23347e+06 0.5; - wr_addr_ptr~1 0xc0 2.1416e+06 0.474365; - wr_addr_ptr~2 0xc0 2.1416e+06 0.474365; - ready_fifo_rdreq~0 0xc0 1.1761e+06 0.78125; - ready_fifo_wrreq~0 0xc0 2.21546e+06 0.234375; - sink_ready_ctrl~0 0xc0 4.32001e+06 0.25; - sink_ready_ctrl~1 0xc0 3.90001e+06 0.5; - sink_ready_ctrl~2 0xc0 1.94926e+06 0.234375; - sink_ready_ctrl~3 0xc0 573034 0.106445; - stall_reg 0xc0 7.68002e+06 0.5; - stall_reg~0 0xc0 1.83e+06 0.875; - alt_cic_dec_siso:dec_one; - Equal2~0 0xc0 804377 0.0625; - Equal2~1 0xc0 4.32001e+06 0.25; - comb~0 0xc0 8.82612e+06 0.53125; - auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 7.06288e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.75; - dout_valid~1 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 5.62287e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 5.62287e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 5.62287e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 7.06288e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~33 0xc0 4.32001e+06 0.75; - dout[53]~35 0xc0 3.57751e+06 0.375; - dout[53]~37 0xc0 4.2122e+06 0.5625; - dout[53]~39 0xc0 5.69779e+06 0.46875; - dout[53]~41 0xc0 5.02005e+06 0.515625; - dout[53]~43 0xc0 5.32697e+06 0.492188; - dout[53]~45 0xc0 5.1595e+06 0.503906; - dout[53]~47 0xc0 5.23898e+06 0.498047; - dout[53]~49 0xc0 5.19808e+06 0.500977; - dout[53]~51 0xc0 5.21823e+06 0.499512; - dout[53]~53 0xc0 5.20808e+06 0.500244; - dout[53]~55 0xc0 5.21313e+06 0.499878; - dout[53]~57 0xc0 5.2106e+06 0.500061; - dout[53]~59 0xc0 5.21186e+06 0.499969; - dout[53]~61 0xc0 5.21123e+06 0.500015; - dout[53]~63 0xc0 5.21155e+06 0.499992; - dout[53]~65 0xc0 5.21139e+06 0.500004; - dout[53]~67 0xc0 5.21147e+06 0.499998; - dout[53]~69 0xc0 5.21143e+06 0.500001; - dout[53]~71 0xc0 5.21145e+06 0.5; - dout[53]~73 0xc0 5.21144e+06 0.5; - dout[53]~75 0xc0 5.21144e+06 0.5; - dout[53]~77 0xc0 5.21144e+06 0.5; - dout[53]~79 0xc0 5.21144e+06 0.5; - dout[53]~81 0xc0 5.21144e+06 0.5; - dout[53]~83 0xc0 5.21144e+06 0.5; - dout[53]~85 0xc0 5.21144e+06 0.5; - dout[53]~87 0xc0 5.21144e+06 0.5; - dout[53]~89 0xc0 5.21144e+06 0.5; - dout[53]~91 0xc0 5.21144e+06 0.5; - dout[53]~93 0xc0 5.21144e+06 0.5; - dout[53]~95 0xc0 5.21144e+06 0.5; - dout[53]~97 0xc0 5.21144e+06 0.5; - dout[53]~99 0xc0 5.21144e+06 0.5; - dout[53]~101 0xc0 5.21144e+06 0.5; - dout[53]~103 0xc0 5.21144e+06 0.5; - dout[53]~105 0xc0 5.21144e+06 0.5; - dout[53]~107 0xc0 5.21144e+06 0.5; - dout[53]~109 0xc0 5.21144e+06 0.5; - dout[53]~111 0xc0 5.21144e+06 0.5; - dout[53]~113 0xc0 5.21144e+06 0.5; - dout[53]~115 0xc0 5.21144e+06 0.5; - dout[53]~117 0xc0 5.21144e+06 0.5; - dout[53]~119 0xc0 5.21144e+06 0.5; - dout[53]~121 0xc0 5.21144e+06 0.5; - dout[53]~123 0xc0 5.21144e+06 0.5; - dout[53]~125 0xc0 5.21144e+06 0.5; - dout[53]~127 0xc0 5.21144e+06 0.5; - dout[53]~129 0xc0 5.21144e+06 0.5; - dout[53]~131 0xc0 5.21144e+06 0.5; - dout[53]~133 0xc0 5.21144e+06 0.5; - dout[53]~135 0xc0 5.21144e+06 0.5; - dout[53]~137 0xc0 5.21144e+06 0.5; - dout[53]~138 0xc0 5.62287e+06 0.5; - dout[53]~139 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~141 0xc0 5.62287e+06 0.5; - dout[54]~142 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~143 0xc0 5.62287e+06 0.5; - dout[55]~144 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~145 0xc0 5.62287e+06 0.5; - dout[56]~146 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~147 0xc0 5.62287e+06 0.5; - dout[57]~148 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~149 0xc0 5.62287e+06 0.5; - dout[58]~150 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~151 0xc0 5.62287e+06 0.5; - dout[59]~152 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~153 0xc0 5.62287e+06 0.5; - dout[60]~154 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~155 0xc0 5.62287e+06 0.5; - dout[61]~156 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~157 0xc0 5.62287e+06 0.5; - dout[62]~158 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~159 0xc0 5.62287e+06 0.5; - dout[63]~160 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~161 0xc0 5.62287e+06 0.5; - dout[64]~162 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~163 0xc0 5.62287e+06 0.5; - dout[65]~164 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~165 0xc0 5.62287e+06 0.5; - dout[66]~166 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~167 0xc0 5.62287e+06 0.5; - dout[67]~168 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~169 0xc0 5.62287e+06 0.5; - dout[68]~170 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~171 0xc0 5.62287e+06 0.5; - dout[69]~172 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~173 0xc0 5.62287e+06 0.5; - dout[70]~174 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~175 0xc0 5.62287e+06 0.5; - dout[71]~176 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~177 0xc0 5.62287e+06 0.5; - dout[72]~178 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~179 0xc0 5.62287e+06 0.5; - dout[73]~180 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~181 0xc0 5.62287e+06 0.5; - dout[74]~182 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~183 0xc0 5.62287e+06 0.5; - dout[75]~184 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~185 0xc0 5.62287e+06 0.5; - dout[76]~186 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~187 0xc0 5.62287e+06 0.5; - dout[77]~188 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~189 0xc0 5.62287e+06 0.5; - dout[78]~190 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~191 0xc0 5.62287e+06 0.5; - dout[79]~192 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~193 0xc0 5.62287e+06 0.5; - dout[80]~194 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~195 0xc0 5.62287e+06 0.5; - dout[81]~196 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~197 0xc0 5.62287e+06 0.5; - dout[82]~198 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~199 0xc0 5.62287e+06 0.5; - dout[83]~200 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~201 0xc0 5.62287e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~140 0xc0 3.33001e+06 0.625; - dout~203 0xc0 5.76001e+06 0.25; - ena_diff_s[0] 0xc0 7.68002e+06 0.5; - ena_diff_s[1] 0xc0 7.68002e+06 0.5; - ena_diff_s[1]~feeder 0xc0 5.76001e+06 0.25; - ena_diff_s~0 0xc0 6.11854e+06 0.375076; - fifo_rdreq 0xc0 7.68002e+06 0.5; - fifo_rdreq~0 0xc0 5.76001e+06 0.25; - auk_dspip_channel_buffer:fifo_regulator; - scfifo:buffer_FIFO; - scfifo_pm51:auto_generated; - a_dpfifo_4ku:dpfifo; - _~0 0xc0 1.01376e+07 0.125046; - _~1 0xc0 3.47166e+06 0.0624962; - _~2 0xc0 4.32001e+06 0.25; - _~3 0xc0 8.28646e+06 0.437513; - empty_dff 0xc0 7.68002e+06 0.5; - empty_dff~0 0xc0 4.5449e+06 0.263684; - empty_dff~1 0xc0 3.26047e+06 0.26368; - altsyncram_j7h1:FIFOram; - altsyncram:ram_block1a0; - altsyncram_lci3:auto_generated; - decode_msa:address_decoder; - w_anode19w[2]~0 0xc0 1.83e+06 0.125; - w_anode32w[2]~0 0xc0 1.83e+06 0.125; - w_anode40w[2]~0 0xc0 1.83e+06 0.125; - w_anode48w[2]~0 0xc0 1.83e+06 0.125; - address_reg[0] 0xc0 7.68002e+06 0.5; - address_reg[1] 0xc0 7.68002e+06 0.5; - address_reg[1]~feeder 0xc0 7.68002e+06 0.5; - data_reg[0] 0xc0 7.68002e+06 0.5; - data_reg[0]~feeder 0xc0 7.68002e+06 0.5; - data_reg[1] 0xc0 7.68002e+06 0.5; - data_reg[2] 0xc0 7.68002e+06 0.5; - data_reg[2]~feeder 0xc0 7.68002e+06 0.5; - data_reg[3] 0xc0 7.68002e+06 0.5; - data_reg[4] 0xc0 7.68002e+06 0.5; - data_reg[5] 0xc0 7.68002e+06 0.5; - data_reg[5]~feeder 0xc0 7.68002e+06 0.5; - data_reg[6] 0xc0 7.68002e+06 0.5; - data_reg[6]~feeder 0xc0 7.68002e+06 0.5; - data_reg[7] 0xc0 7.68002e+06 0.5; - data_reg[7]~feeder 0xc0 7.68002e+06 0.5; - data_reg[8] 0xc0 7.68002e+06 0.5; - data_reg[8]~feeder 0xc0 7.68002e+06 0.5; - data_reg[9] 0xc0 7.68002e+06 0.5; - data_reg[9]~feeder 0xc0 7.68002e+06 0.5; - data_reg[10] 0xc0 7.68002e+06 0.5; - data_reg[11] 0xc0 7.68002e+06 0.5; - data_reg[11]~feeder 0xc0 7.68002e+06 0.5; - data_reg[12] 0xc0 7.68002e+06 0.5; - data_reg[13] 0xc0 7.68002e+06 0.5; - data_reg[13]~feeder 0xc0 7.68002e+06 0.5; - data_reg[14] 0xc0 7.68002e+06 0.5; - data_reg[14]~feeder 0xc0 7.68002e+06 0.5; - data_reg[15] 0xc0 7.68002e+06 0.5; - data_reg[15]~feeder 0xc0 7.68002e+06 0.5; - data_reg[16] 0xc0 7.68002e+06 0.5; - data_reg[17] 0xc0 7.68002e+06 0.5; - data_reg[17]~feeder 0xc0 7.68002e+06 0.5; - data_reg[18] 0xc0 7.68002e+06 0.5; - data_reg[19] 0xc0 7.68002e+06 0.5; - data_reg[19]~feeder 0xc0 7.68002e+06 0.5; - data_reg[20] 0xc0 7.68002e+06 0.5; - data_reg[20]~feeder 0xc0 7.68002e+06 0.5; - data_reg[21] 0xc0 7.68002e+06 0.5; - data_reg[22] 0xc0 7.68002e+06 0.5; - data_reg[22]~feeder 0xc0 7.68002e+06 0.5; - data_reg[23] 0xc0 7.68002e+06 0.5; - data_reg[23]~feeder 0xc0 7.68002e+06 0.5; - data_reg[24] 0xc0 7.68002e+06 0.5; - data_reg[24]~feeder 0xc0 7.68002e+06 0.5; - data_reg[25] 0xc0 7.68002e+06 0.5; - data_reg[25]~feeder 0xc0 7.68002e+06 0.5; - data_reg[26] 0xc0 7.68002e+06 0.5; - data_reg[26]~feeder 0xc0 7.68002e+06 0.5; - data_reg[27] 0xc0 7.68002e+06 0.5; - data_reg[27]~feeder 0xc0 7.68002e+06 0.5; - data_reg[28] 0xc0 7.68002e+06 0.5; - data_reg[29] 0xc0 7.68002e+06 0.5; - data_reg[29]~feeder 0xc0 7.68002e+06 0.5; - data_reg[30] 0xc0 7.68002e+06 0.5; - data_reg[30]~feeder 0xc0 7.68002e+06 0.5; - data_reg[31] 0xc0 7.68002e+06 0.5; - data_reg[32] 0xc0 7.68002e+06 0.5; - data_reg[32]~feeder 0xc0 7.68002e+06 0.5; - data_reg[33] 0xc0 7.68002e+06 0.5; - data_reg[33]~feeder 0xc0 7.68002e+06 0.5; - data_reg[34] 0xc0 7.68002e+06 0.5; - data_reg[35] 0xc0 7.68002e+06 0.5; - data_reg[36] 0xc0 7.68002e+06 0.5; - data_reg[37] 0xc0 7.68002e+06 0.5; - data_reg[38] 0xc0 7.68002e+06 0.5; - data_reg[38]~feeder 0xc0 7.68002e+06 0.5; - data_reg[39] 0xc0 7.68002e+06 0.5; - data_reg[39]~feeder 0xc0 7.68002e+06 0.5; - data_reg[40] 0xc0 7.68002e+06 0.5; - data_reg[40]~feeder 0xc0 7.68002e+06 0.5; - data_reg[41] 0xc0 7.68002e+06 0.5; - data_reg[41]~feeder 0xc0 7.68002e+06 0.5; - data_reg[42] 0xc0 7.68002e+06 0.5; - data_reg[42]~feeder 0xc0 7.68002e+06 0.5; - data_reg[43] 0xc0 7.68002e+06 0.5; - data_reg[44] 0xc0 7.68002e+06 0.5; - data_reg[45] 0xc0 7.68002e+06 0.5; - data_reg[46] 0xc0 7.68002e+06 0.5; - data_reg[47] 0xc0 7.68002e+06 0.5; - data_reg[48] 0xc0 7.68002e+06 0.5; - data_reg[48]~feeder 0xc0 7.68002e+06 0.5; - data_reg[49] 0xc0 7.68002e+06 0.5; - data_reg[49]~feeder 0xc0 7.68002e+06 0.5; - data_reg[50] 0xc0 7.68002e+06 0.5; - data_reg[50]~feeder 0xc0 7.68002e+06 0.5; - data_reg[51] 0xc0 7.68002e+06 0.5; - data_reg[51]~feeder 0xc0 7.68002e+06 0.5; - data_reg[52] 0xc0 7.68002e+06 0.5; - data_reg[52]~feeder 0xc0 7.68002e+06 0.5; - data_reg[53] 0xc0 7.68002e+06 0.5; - data_reg[53]~feeder 0xc0 7.68002e+06 0.5; - data_reg[54] 0xc0 7.68002e+06 0.5; - data_reg[54]~feeder 0xc0 7.68002e+06 0.5; - data_reg[55] 0xc0 7.68002e+06 0.5; - data_reg[55]~feeder 0xc0 7.68002e+06 0.5; - data_reg[56] 0xc0 7.68002e+06 0.5; - data_reg[56]~feeder 0xc0 7.68002e+06 0.5; - data_reg[57] 0xc0 7.68002e+06 0.5; - data_reg[57]~feeder 0xc0 7.68002e+06 0.5; - data_reg[58] 0xc0 7.68002e+06 0.5; - data_reg[59] 0xc0 7.68002e+06 0.5; - data_reg[59]~feeder 0xc0 7.68002e+06 0.5; - data_reg[60] 0xc0 7.68002e+06 0.5; - data_reg[60]~feeder 0xc0 7.68002e+06 0.5; - data_reg[61] 0xc0 7.68002e+06 0.5; - data_reg[61]~feeder 0xc0 7.68002e+06 0.5; - data_reg[62] 0xc0 7.68002e+06 0.5; - data_reg[62]~feeder 0xc0 7.68002e+06 0.5; - data_reg[63] 0xc0 7.68002e+06 0.5; - data_reg[63]~feeder 0xc0 7.68002e+06 0.5; - data_reg[64] 0xc0 7.68002e+06 0.5; - data_reg[64]~feeder 0xc0 7.68002e+06 0.5; - data_reg[65] 0xc0 7.68002e+06 0.5; - data_reg[66] 0xc0 7.68002e+06 0.5; - data_reg[67] 0xc0 7.68002e+06 0.5; - data_reg[68] 0xc0 7.68002e+06 0.5; - data_reg[69] 0xc0 7.68002e+06 0.5; - data_reg[69]~feeder 0xc0 7.68002e+06 0.5; - data_reg[70] 0xc0 7.68002e+06 0.5; - data_reg[71] 0xc0 7.68002e+06 0.5; - data_reg[71]~feeder 0xc0 7.68002e+06 0.5; - data_reg[72] 0xc0 7.68002e+06 0.5; - data_reg[72]~feeder 0xc0 7.68002e+06 0.5; - data_reg[73] 0xc0 7.68002e+06 0.5; - data_reg[73]~feeder 0xc0 7.68002e+06 0.5; - data_reg[74] 0xc0 7.68002e+06 0.5; - data_reg[75] 0xc0 7.68002e+06 0.5; - data_reg[76] 0xc0 7.68002e+06 0.5; - data_reg[76]~feeder 0xc0 7.68002e+06 0.5; - data_reg[77] 0xc0 7.68002e+06 0.5; - data_reg[77]~feeder 0xc0 7.68002e+06 0.5; - data_reg[78] 0xc0 7.68002e+06 0.5; - data_reg[79] 0xc0 7.68002e+06 0.5; - data_reg[79]~feeder 0xc0 7.68002e+06 0.5; - data_reg[80] 0xc0 7.68002e+06 0.5; - data_reg[81] 0xc0 7.68002e+06 0.5; - data_reg[82] 0xc0 7.68002e+06 0.5; - data_reg[82]~feeder 0xc0 7.68002e+06 0.5; - data_reg[83] 0xc0 7.68002e+06 0.5; - data_reg[83]~feeder 0xc0 7.68002e+06 0.5; - data_reg[84] 0xc0 7.68002e+06 0.5; - outdata_reg[0] 0xc0 7.68002e+06 0.5; - outdata_reg[0]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[1] 0xc0 7.68002e+06 0.5; - outdata_reg[2] 0xc0 7.68002e+06 0.5; - outdata_reg[3] 0xc0 7.68002e+06 0.5; - outdata_reg[3]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[4] 0xc0 7.68002e+06 0.5; - outdata_reg[4]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[5] 0xc0 7.68002e+06 0.5; - outdata_reg[5]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[6] 0xc0 7.68002e+06 0.5; - outdata_reg[6]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[7] 0xc0 7.68002e+06 0.5; - outdata_reg[7]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[8] 0xc0 7.68002e+06 0.5; - outdata_reg[9] 0xc0 7.68002e+06 0.5; - outdata_reg[9]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[10] 0xc0 7.68002e+06 0.5; - outdata_reg[10]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[11] 0xc0 7.68002e+06 0.5; - outdata_reg[12] 0xc0 7.68002e+06 0.5; - outdata_reg[12]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[13] 0xc0 7.68002e+06 0.5; - outdata_reg[14] 0xc0 7.68002e+06 0.5; - outdata_reg[15] 0xc0 7.68002e+06 0.5; - outdata_reg[15]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[16] 0xc0 7.68002e+06 0.5; - outdata_reg[17] 0xc0 7.68002e+06 0.5; - outdata_reg[18] 0xc0 7.68002e+06 0.5; - outdata_reg[18]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[19] 0xc0 7.68002e+06 0.5; - outdata_reg[19]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[20] 0xc0 7.68002e+06 0.5; - outdata_reg[20]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[21] 0xc0 7.68002e+06 0.5; - outdata_reg[21]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[22] 0xc0 7.68002e+06 0.5; - outdata_reg[22]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[23] 0xc0 7.68002e+06 0.5; - outdata_reg[23]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[24] 0xc0 7.68002e+06 0.5; - outdata_reg[24]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[25] 0xc0 7.68002e+06 0.5; - outdata_reg[26] 0xc0 7.68002e+06 0.5; - outdata_reg[26]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[27] 0xc0 7.68002e+06 0.5; - outdata_reg[27]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[28] 0xc0 7.68002e+06 0.5; - outdata_reg[28]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[29] 0xc0 7.68002e+06 0.5; - outdata_reg[30] 0xc0 7.68002e+06 0.5; - outdata_reg[30]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[31] 0xc0 7.68002e+06 0.5; - outdata_reg[32] 0xc0 7.68002e+06 0.5; - outdata_reg[33] 0xc0 7.68002e+06 0.5; - outdata_reg[33]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[34] 0xc0 7.68002e+06 0.5; - outdata_reg[34]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[35] 0xc0 7.68002e+06 0.5; - outdata_reg[35]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[36] 0xc0 7.68002e+06 0.5; - outdata_reg[36]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[37] 0xc0 7.68002e+06 0.5; - outdata_reg[37]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[38] 0xc0 7.68002e+06 0.5; - outdata_reg[38]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[39] 0xc0 7.68002e+06 0.5; - outdata_reg[39]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[40] 0xc0 7.68002e+06 0.5; - outdata_reg[40]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[41] 0xc0 7.68002e+06 0.5; - outdata_reg[41]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[42] 0xc0 7.68002e+06 0.5; - outdata_reg[43] 0xc0 7.68002e+06 0.5; - outdata_reg[43]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[44] 0xc0 7.68002e+06 0.5; - outdata_reg[44]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[45] 0xc0 7.68002e+06 0.5; - outdata_reg[45]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[46] 0xc0 7.68002e+06 0.5; - outdata_reg[46]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[47] 0xc0 7.68002e+06 0.5; - outdata_reg[47]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[48] 0xc0 7.68002e+06 0.5; - outdata_reg[48]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[49] 0xc0 7.68002e+06 0.5; - outdata_reg[50] 0xc0 7.68002e+06 0.5; - outdata_reg[51] 0xc0 7.68002e+06 0.5; - outdata_reg[51]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[52] 0xc0 7.68002e+06 0.5; - outdata_reg[53] 0xc0 7.68002e+06 0.5; - outdata_reg[53]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[54] 0xc0 7.68002e+06 0.5; - outdata_reg[54]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[55] 0xc0 7.68002e+06 0.5; - outdata_reg[55]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[56] 0xc0 7.68002e+06 0.5; - outdata_reg[56]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[57] 0xc0 7.68002e+06 0.5; - outdata_reg[58] 0xc0 7.68002e+06 0.5; - outdata_reg[58]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[59] 0xc0 7.68002e+06 0.5; - outdata_reg[59]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[60] 0xc0 7.68002e+06 0.5; - outdata_reg[60]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[61] 0xc0 7.68002e+06 0.5; - outdata_reg[61]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[62] 0xc0 7.68002e+06 0.5; - outdata_reg[62]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[63] 0xc0 7.68002e+06 0.5; - outdata_reg[63]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[64] 0xc0 7.68002e+06 0.5; - outdata_reg[64]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[65] 0xc0 7.68002e+06 0.5; - outdata_reg[65]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[66] 0xc0 7.68002e+06 0.5; - outdata_reg[66]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[67] 0xc0 7.68002e+06 0.5; - outdata_reg[67]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[68] 0xc0 7.68002e+06 0.5; - outdata_reg[68]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[69] 0xc0 7.68002e+06 0.5; - outdata_reg[70] 0xc0 7.68002e+06 0.5; - outdata_reg[70]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[71] 0xc0 7.68002e+06 0.5; - outdata_reg[71]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[72] 0xc0 7.68002e+06 0.5; - outdata_reg[72]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[73] 0xc0 7.68002e+06 0.5; - outdata_reg[73]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[74] 0xc0 7.68002e+06 0.5; - outdata_reg[75] 0xc0 7.68002e+06 0.5; - outdata_reg[75]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[76] 0xc0 7.68002e+06 0.5; - outdata_reg[77] 0xc0 7.68002e+06 0.5; - outdata_reg[77]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[78] 0xc0 7.68002e+06 0.5; - outdata_reg[78]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[79] 0xc0 7.68002e+06 0.5; - outdata_reg[79]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[80] 0xc0 7.68002e+06 0.5; - outdata_reg[80]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[81] 0xc0 7.68002e+06 0.5; - outdata_reg[81]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[82] 0xc0 7.68002e+06 0.5; - outdata_reg[82]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[83] 0xc0 7.68002e+06 0.5; - outdata_reg[83]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[84] 0xc0 7.68002e+06 0.5; - mux_rob:output_mux; - result_node[0]~106 0xc0 3.27355e+06 0.5; - result_node[0]~107 0xc0 2.67375e+06 0.5; - result_node[1]~104 0xc0 3.27355e+06 0.5; - result_node[1]~105 0xc0 2.67375e+06 0.5; - result_node[2]~102 0xc0 3.27355e+06 0.5; - result_node[2]~103 0xc0 2.90392e+06 0.5; - result_node[3]~100 0xc0 3.27355e+06 0.5; - result_node[3]~101 0xc0 3.42181e+06 0.5; - result_node[4]~98 0xc0 4.85893e+06 0.5; - result_node[4]~99 0xc0 5.1743e+06 0.5; - result_node[5]~96 0xc0 4.31803e+06 0.5; - result_node[5]~97 0xc0 4.30675e+06 0.5; - result_node[6]~94 0xc0 4.13106e+06 0.5; - result_node[6]~95 0xc0 3.93463e+06 0.5; - result_node[7]~92 0xc0 4.88893e+06 0.5; - result_node[7]~93 0xc0 3.80455e+06 0.5; - result_node[8]~90 0xc0 4.13106e+06 0.5; - result_node[8]~91 0xc0 4.57596e+06 0.5; - result_node[9]~88 0xc0 4.13106e+06 0.5; - result_node[9]~89 0xc0 5.31799e+06 0.5; - result_node[10]~86 0xc0 3.27355e+06 0.5; - result_node[10]~87 0xc0 2.67375e+06 0.5; - result_node[11]~84 0xc0 4.13106e+06 0.5; - result_node[11]~85 0xc0 3.93463e+06 0.5; - result_node[12]~82 0xc0 3.08711e+06 0.5; - result_node[12]~83 0xc0 3.71445e+06 0.5; - result_node[13]~80 0xc0 4.13106e+06 0.5; - result_node[13]~81 0xc0 3.60192e+06 0.5; - result_node[14]~78 0xc0 4.84179e+06 0.5; - result_node[14]~79 0xc0 4.0099e+06 0.5; - result_node[15]~76 0xc0 3.08711e+06 0.5; - result_node[15]~77 0xc0 2.82575e+06 0.5; - result_node[16]~74 0xc0 3.28961e+06 0.5; - result_node[16]~75 0xc0 4.37606e+06 0.5; - result_node[17]~72 0xc0 3.08711e+06 0.5; - result_node[17]~73 0xc0 4.24973e+06 0.5; - result_node[18]~70 0xc0 3.27355e+06 0.5; - result_node[18]~71 0xc0 4.40227e+06 0.5; - result_node[19]~68 0xc0 4.88893e+06 0.5; - result_node[19]~69 0xc0 5.02809e+06 0.5; - result_node[20]~66 0xc0 4.85893e+06 0.5; - result_node[20]~67 0xc0 5.1743e+06 0.5; - result_node[21]~64 0xc0 3.27355e+06 0.5; - result_node[21]~65 0xc0 4.40227e+06 0.5; - result_node[22]~62 0xc0 4.31803e+06 0.5; - result_node[22]~63 0xc0 4.72867e+06 0.5; - result_node[23]~60 0xc0 3.27355e+06 0.5; - result_node[23]~61 0xc0 2.90392e+06 0.5; - result_node[24]~58 0xc0 4.13106e+06 0.5; - result_node[24]~59 0xc0 3.60192e+06 0.5; - result_node[25]~56 0xc0 3.27355e+06 0.5; - result_node[25]~57 0xc0 2.67375e+06 0.5; - result_node[26]~54 0xc0 3.27355e+06 0.5; - result_node[26]~55 0xc0 2.67375e+06 0.5; - result_node[27]~52 0xc0 4.84179e+06 0.5; - result_node[27]~53 0xc0 5.33582e+06 0.5; - result_node[28]~50 0xc0 3.28961e+06 0.5; - result_node[28]~51 0xc0 3.8237e+06 0.5; - result_node[29]~48 0xc0 3.27355e+06 0.5; - result_node[29]~49 0xc0 4.40227e+06 0.5; - result_node[30]~46 0xc0 4.31803e+06 0.5; - result_node[30]~47 0xc0 4.30675e+06 0.5; - result_node[31]~44 0xc0 4.85893e+06 0.5; - result_node[31]~45 0xc0 5.1743e+06 0.5; - result_node[32]~42 0xc0 4.85893e+06 0.5; - result_node[32]~43 0xc0 4.99092e+06 0.5; - result_node[33]~40 0xc0 4.13106e+06 0.5; - result_node[33]~41 0xc0 4.76347e+06 0.5; - result_node[34]~38 0xc0 4.31803e+06 0.5; - result_node[34]~39 0xc0 4.09588e+06 0.5; - result_node[35]~36 0xc0 4.84179e+06 0.5; - result_node[35]~37 0xc0 4.0099e+06 0.5; - result_node[36]~34 0xc0 3.28961e+06 0.5; - result_node[36]~35 0xc0 4.02851e+06 0.5; - result_node[37]~32 0xc0 4.85893e+06 0.5; - result_node[37]~33 0xc0 5.1743e+06 0.5; - result_node[38]~30 0xc0 4.31803e+06 0.5; - result_node[38]~31 0xc0 4.57805e+06 0.5; - result_node[39]~28 0xc0 3.08711e+06 0.5; - result_node[39]~29 0xc0 4.43723e+06 0.5; - result_node[40]~26 0xc0 3.28961e+06 0.5; - result_node[40]~27 0xc0 4.02851e+06 0.5; - result_node[41]~24 0xc0 4.13106e+06 0.5; - result_node[41]~25 0xc0 4.76347e+06 0.5; - result_node[42]~22 0xc0 4.88893e+06 0.5; - result_node[42]~23 0xc0 5.0003e+06 0.5; - result_node[43]~20 0xc0 3.5773e+06 0.5; - result_node[43]~21 0xc0 4.49719e+06 0.5; - result_node[44]~18 0xc0 3.08711e+06 0.5; - result_node[44]~19 0xc0 3.39222e+06 0.5; - result_node[45]~16 0xc0 4.13106e+06 0.5; - result_node[45]~17 0xc0 4.57596e+06 0.5; - result_node[46]~14 0xc0 3.08711e+06 0.5; - result_node[46]~15 0xc0 3.09958e+06 0.5; - result_node[47]~12 0xc0 4.31803e+06 0.5; - result_node[47]~13 0xc0 5.25398e+06 0.5; - result_node[48]~10 0xc0 4.13106e+06 0.5; - result_node[48]~11 0xc0 4.55442e+06 0.5; - result_node[49]~8 0xc0 3.5773e+06 0.5; - result_node[49]~9 0xc0 4.20035e+06 0.5; - result_node[50]~6 0xc0 4.88893e+06 0.5; - result_node[50]~7 0xc0 5.02809e+06 0.5; - result_node[51]~4 0xc0 3.28961e+06 0.5; - result_node[51]~5 0xc0 5.01223e+06 0.5; - result_node[52]~2 0xc0 4.31803e+06 0.5; - result_node[52]~3 0xc0 3.90386e+06 0.5; - result_node[53]~0 0xc0 4.88893e+06 0.5; - result_node[53]~1 0xc0 4.03455e+06 0.5; - result_node[54]~108 0xc0 3.27355e+06 0.5; - result_node[54]~109 0xc0 2.67849e+06 0.5; - result_node[55]~110 0xc0 3.27355e+06 0.5; - result_node[55]~111 0xc0 2.90392e+06 0.5; - result_node[56]~112 0xc0 3.08711e+06 0.5; - result_node[56]~113 0xc0 4.43723e+06 0.5; - result_node[57]~114 0xc0 4.13106e+06 0.5; - result_node[57]~115 0xc0 4.36692e+06 0.5; - result_node[58]~116 0xc0 3.28961e+06 0.5; - result_node[58]~117 0xc0 4.37606e+06 0.5; - result_node[59]~118 0xc0 3.08711e+06 0.5; - result_node[59]~119 0xc0 3.60928e+06 0.5; - result_node[60]~120 0xc0 3.5773e+06 0.5; - result_node[60]~121 0xc0 3.53571e+06 0.5; - result_node[61]~122 0xc0 3.5773e+06 0.5; - result_node[61]~123 0xc0 4.20035e+06 0.5; - result_node[62]~124 0xc0 4.85893e+06 0.5; - result_node[62]~125 0xc0 3.79216e+06 0.5; - result_node[63]~126 0xc0 4.80429e+06 0.5; - result_node[63]~127 0xc0 4.39979e+06 0.5; - result_node[64]~128 0xc0 4.85893e+06 0.5; - result_node[64]~129 0xc0 5.1743e+06 0.5; - result_node[65]~130 0xc0 4.88893e+06 0.5; - result_node[65]~131 0xc0 5.02809e+06 0.5; - result_node[66]~132 0xc0 3.08711e+06 0.5; - result_node[66]~133 0xc0 2.72619e+06 0.5; - result_node[67]~134 0xc0 4.88893e+06 0.5; - result_node[67]~135 0xc0 5.1774e+06 0.5; - result_node[68]~136 0xc0 3.08711e+06 0.5; - result_node[68]~137 0xc0 2.88251e+06 0.5; - result_node[69]~138 0xc0 4.85893e+06 0.5; - result_node[69]~139 0xc0 4.28859e+06 0.5; - result_node[70]~140 0xc0 4.85893e+06 0.5; - result_node[70]~141 0xc0 3.79216e+06 0.5; - result_node[71]~142 0xc0 4.88893e+06 0.5; - result_node[71]~143 0xc0 4.03455e+06 0.5; - result_node[72]~144 0xc0 4.85893e+06 0.5; - result_node[72]~145 0xc0 4.99092e+06 0.5; - result_node[73]~146 0xc0 4.80429e+06 0.5; - result_node[73]~147 0xc0 4.0617e+06 0.5; - result_node[74]~148 0xc0 4.85893e+06 0.5; - result_node[74]~149 0xc0 5.00934e+06 0.5; - result_node[75]~150 0xc0 4.85893e+06 0.5; - result_node[75]~151 0xc0 5.1743e+06 0.5; - result_node[76]~152 0xc0 4.88893e+06 0.5; - result_node[76]~153 0xc0 5.02809e+06 0.5; - result_node[77]~154 0xc0 4.31803e+06 0.5; - result_node[77]~155 0xc0 5.18338e+06 0.5; - result_node[78]~156 0xc0 4.85893e+06 0.5; - result_node[78]~157 0xc0 4.28859e+06 0.5; - result_node[79]~158 0xc0 4.88893e+06 0.5; - result_node[79]~159 0xc0 3.78955e+06 0.5; - result_node[80]~160 0xc0 4.85893e+06 0.5; - result_node[80]~161 0xc0 5.1743e+06 0.5; - result_node[81]~162 0xc0 3.28961e+06 0.5; - result_node[81]~163 0xc0 3.82601e+06 0.5; - result_node[82]~164 0xc0 3.08711e+06 0.5; - result_node[82]~165 0xc0 2.72619e+06 0.5; - result_node[83]~166 0xc0 3.28961e+06 0.5; - result_node[83]~167 0xc0 4.31301e+06 0.5; - result_node[84]~168 0xc0 4.31803e+06 0.5; - result_node[84]~169 0xc0 4.44795e+06 0.5; - ram_block[0] 0xc0 7.68002e+06 0.5; - ram_block[1] 0xc0 7.68002e+06 0.5; - ram_block[2] 0xc0 7.68002e+06 0.5; - ram_block[3] 0xc0 7.68002e+06 0.5; - ram_block[4] 0xc0 7.68002e+06 0.5; - ram_block[4]~feeder 0xc0 7.68002e+06 0.5; - ram_block[5] 0xc0 7.68002e+06 0.5; - ram_block[5]~feeder 0xc0 7.68002e+06 0.5; - ram_block[6] 0xc0 7.68002e+06 0.5; - ram_block[6]~feeder 0xc0 7.68002e+06 0.5; - ram_block[7] 0xc0 7.68002e+06 0.5; - ram_block[8] 0xc0 7.68002e+06 0.5; - ram_block[9] 0xc0 7.68002e+06 0.5; - ram_block[9]~feeder 0xc0 7.68002e+06 0.5; - ram_block[10] 0xc0 7.68002e+06 0.5; - ram_block[11] 0xc0 7.68002e+06 0.5; - ram_block[11]~feeder 0xc0 7.68002e+06 0.5; - ram_block[12] 0xc0 7.68002e+06 0.5; - ram_block[13] 0xc0 7.68002e+06 0.5; - ram_block[13]~feeder 0xc0 7.68002e+06 0.5; - ram_block[14] 0xc0 7.68002e+06 0.5; - ram_block[15] 0xc0 7.68002e+06 0.5; - ram_block[15]~feeder 0xc0 7.68002e+06 0.5; - ram_block[16] 0xc0 7.68002e+06 0.5; - ram_block[16]~feeder 0xc0 7.68002e+06 0.5; - ram_block[17] 0xc0 7.68002e+06 0.5; - ram_block[17]~feeder 0xc0 7.68002e+06 0.5; - ram_block[18] 0xc0 7.68002e+06 0.5; - ram_block[19] 0xc0 7.68002e+06 0.5; - ram_block[19]~feeder 0xc0 7.68002e+06 0.5; - ram_block[20] 0xc0 7.68002e+06 0.5; - ram_block[20]~feeder 0xc0 7.68002e+06 0.5; - ram_block[21] 0xc0 7.68002e+06 0.5; - ram_block[22] 0xc0 7.68002e+06 0.5; - ram_block[23] 0xc0 7.68002e+06 0.5; - ram_block[24] 0xc0 7.68002e+06 0.5; - ram_block[24]~feeder 0xc0 7.68002e+06 0.5; - ram_block[25] 0xc0 7.68002e+06 0.5; - ram_block[26] 0xc0 7.68002e+06 0.5; - ram_block[27] 0xc0 7.68002e+06 0.5; - ram_block[28] 0xc0 7.68002e+06 0.5; - ram_block[28]~feeder 0xc0 7.68002e+06 0.5; - ram_block[29] 0xc0 7.68002e+06 0.5; - ram_block[30] 0xc0 7.68002e+06 0.5; - ram_block[31] 0xc0 7.68002e+06 0.5; - ram_block[31]~feeder 0xc0 7.68002e+06 0.5; - ram_block[32] 0xc0 7.68002e+06 0.5; - ram_block[32]~feeder 0xc0 7.68002e+06 0.5; - ram_block[33] 0xc0 7.68002e+06 0.5; - ram_block[34] 0xc0 7.68002e+06 0.5; - ram_block[35] 0xc0 7.68002e+06 0.5; - ram_block[36] 0xc0 7.68002e+06 0.5; - ram_block[36]~feeder 0xc0 7.68002e+06 0.5; - ram_block[37] 0xc0 7.68002e+06 0.5; - ram_block[37]~feeder 0xc0 7.68002e+06 0.5; - ram_block[38] 0xc0 7.68002e+06 0.5; - ram_block[39] 0xc0 7.68002e+06 0.5; - ram_block[39]~feeder 0xc0 7.68002e+06 0.5; - ram_block[40] 0xc0 7.68002e+06 0.5; - ram_block[40]~feeder 0xc0 7.68002e+06 0.5; - ram_block[41] 0xc0 7.68002e+06 0.5; - ram_block[42] 0xc0 7.68002e+06 0.5; - ram_block[42]~feeder 0xc0 7.68002e+06 0.5; - ram_block[43] 0xc0 7.68002e+06 0.5; - ram_block[44] 0xc0 7.68002e+06 0.5; - ram_block[44]~feeder 0xc0 7.68002e+06 0.5; - ram_block[45] 0xc0 7.68002e+06 0.5; - ram_block[45]~feeder 0xc0 7.68002e+06 0.5; - ram_block[46] 0xc0 7.68002e+06 0.5; - ram_block[46]~feeder 0xc0 7.68002e+06 0.5; - ram_block[47] 0xc0 7.68002e+06 0.5; - ram_block[48] 0xc0 7.68002e+06 0.5; - ram_block[48]~feeder 0xc0 7.68002e+06 0.5; - ram_block[49] 0xc0 7.68002e+06 0.5; - ram_block[50] 0xc0 7.68002e+06 0.5; - ram_block[50]~feeder 0xc0 7.68002e+06 0.5; - ram_block[51] 0xc0 7.68002e+06 0.5; - ram_block[51]~feeder 0xc0 7.68002e+06 0.5; - ram_block[52] 0xc0 7.68002e+06 0.5; - ram_block[53] 0xc0 7.68002e+06 0.5; - ram_block[53]~feeder 0xc0 7.68002e+06 0.5; - ram_block[54] 0xc0 7.68002e+06 0.5; - ram_block[55] 0xc0 7.68002e+06 0.5; - ram_block[56] 0xc0 7.68002e+06 0.5; - ram_block[56]~feeder 0xc0 7.68002e+06 0.5; - ram_block[57] 0xc0 7.68002e+06 0.5; - ram_block[57]~feeder 0xc0 7.68002e+06 0.5; - ram_block[58] 0xc0 7.68002e+06 0.5; - ram_block[58]~feeder 0xc0 7.68002e+06 0.5; - ram_block[59] 0xc0 7.68002e+06 0.5; - ram_block[59]~feeder 0xc0 7.68002e+06 0.5; - ram_block[60] 0xc0 7.68002e+06 0.5; - ram_block[61] 0xc0 7.68002e+06 0.5; - ram_block[62] 0xc0 7.68002e+06 0.5; - ram_block[62]~feeder 0xc0 7.68002e+06 0.5; - ram_block[63] 0xc0 7.68002e+06 0.5; - ram_block[64] 0xc0 7.68002e+06 0.5; - ram_block[64]~feeder 0xc0 7.68002e+06 0.5; - ram_block[65] 0xc0 7.68002e+06 0.5; - ram_block[66] 0xc0 7.68002e+06 0.5; - ram_block[66]~feeder 0xc0 7.68002e+06 0.5; - ram_block[67] 0xc0 7.68002e+06 0.5; - ram_block[67]~feeder 0xc0 7.68002e+06 0.5; - ram_block[68] 0xc0 7.68002e+06 0.5; - ram_block[68]~feeder 0xc0 7.68002e+06 0.5; - ram_block[69] 0xc0 7.68002e+06 0.5; - ram_block[69]~feeder 0xc0 7.68002e+06 0.5; - ram_block[70] 0xc0 7.68002e+06 0.5; - ram_block[70]~feeder 0xc0 7.68002e+06 0.5; - ram_block[71] 0xc0 7.68002e+06 0.5; - ram_block[71]~feeder 0xc0 7.68002e+06 0.5; - ram_block[72] 0xc0 7.68002e+06 0.5; - ram_block[73] 0xc0 7.68002e+06 0.5; - ram_block[74] 0xc0 7.68002e+06 0.5; - ram_block[75] 0xc0 7.68002e+06 0.5; - ram_block[75]~feeder 0xc0 7.68002e+06 0.5; - ram_block[76] 0xc0 7.68002e+06 0.5; - ram_block[76]~feeder 0xc0 7.68002e+06 0.5; - ram_block[77] 0xc0 7.68002e+06 0.5; - ram_block[78] 0xc0 7.68002e+06 0.5; - ram_block[78]~feeder 0xc0 7.68002e+06 0.5; - ram_block[79] 0xc0 7.68002e+06 0.5; - ram_block[79]~feeder 0xc0 7.68002e+06 0.5; - ram_block[80] 0xc0 7.68002e+06 0.5; - ram_block[80]~feeder 0xc0 7.68002e+06 0.5; - ram_block[81] 0xc0 7.68002e+06 0.5; - ram_block[82] 0xc0 7.68002e+06 0.5; - ram_block[82]~feeder 0xc0 7.68002e+06 0.5; - ram_block[83] 0xc0 7.68002e+06 0.5; - ram_block[84] 0xc0 7.68002e+06 0.5; - ram_block[85] 0xc0 7.68002e+06 0.5; - ram_block[85]~feeder 0xc0 7.68002e+06 0.5; - ram_block[86] 0xc0 7.68002e+06 0.5; - ram_block[86]~feeder 0xc0 7.68002e+06 0.5; - ram_block[87] 0xc0 7.68002e+06 0.5; - ram_block[87]~feeder 0xc0 7.68002e+06 0.5; - ram_block[88] 0xc0 7.68002e+06 0.5; - ram_block[89] 0xc0 7.68002e+06 0.5; - ram_block[89]~feeder 0xc0 7.68002e+06 0.5; - ram_block[90] 0xc0 7.68002e+06 0.5; - ram_block[90]~feeder 0xc0 7.68002e+06 0.5; - ram_block[91] 0xc0 7.68002e+06 0.5; - ram_block[91]~feeder 0xc0 7.68002e+06 0.5; - ram_block[92] 0xc0 7.68002e+06 0.5; - ram_block[92]~feeder 0xc0 7.68002e+06 0.5; - ram_block[93] 0xc0 7.68002e+06 0.5; - ram_block[93]~feeder 0xc0 7.68002e+06 0.5; - ram_block[94] 0xc0 7.68002e+06 0.5; - ram_block[94]~feeder 0xc0 7.68002e+06 0.5; - ram_block[95] 0xc0 7.68002e+06 0.5; - ram_block[95]~feeder 0xc0 7.68002e+06 0.5; - ram_block[96] 0xc0 7.68002e+06 0.5; - ram_block[96]~feeder 0xc0 7.68002e+06 0.5; - ram_block[97] 0xc0 7.68002e+06 0.5; - ram_block[97]~feeder 0xc0 7.68002e+06 0.5; - ram_block[98] 0xc0 7.68002e+06 0.5; - ram_block[99] 0xc0 7.68002e+06 0.5; - ram_block[99]~feeder 0xc0 7.68002e+06 0.5; - ram_block[100] 0xc0 7.68002e+06 0.5; - ram_block[100]~feeder 0xc0 7.68002e+06 0.5; - ram_block[101] 0xc0 7.68002e+06 0.5; - ram_block[101]~feeder 0xc0 7.68002e+06 0.5; - ram_block[102] 0xc0 7.68002e+06 0.5; - ram_block[102]~feeder 0xc0 7.68002e+06 0.5; - ram_block[103] 0xc0 7.68002e+06 0.5; - ram_block[103]~feeder 0xc0 7.68002e+06 0.5; - ram_block[104] 0xc0 7.68002e+06 0.5; - ram_block[104]~feeder 0xc0 7.68002e+06 0.5; - ram_block[105] 0xc0 7.68002e+06 0.5; - ram_block[106] 0xc0 7.68002e+06 0.5; - ram_block[106]~feeder 0xc0 7.68002e+06 0.5; - ram_block[107] 0xc0 7.68002e+06 0.5; - ram_block[107]~feeder 0xc0 7.68002e+06 0.5; - ram_block[108] 0xc0 7.68002e+06 0.5; - ram_block[108]~feeder 0xc0 7.68002e+06 0.5; - ram_block[109] 0xc0 7.68002e+06 0.5; - ram_block[109]~feeder 0xc0 7.68002e+06 0.5; - ram_block[110] 0xc0 7.68002e+06 0.5; - ram_block[110]~feeder 0xc0 7.68002e+06 0.5; - ram_block[111] 0xc0 7.68002e+06 0.5; - ram_block[111]~feeder 0xc0 7.68002e+06 0.5; - ram_block[112] 0xc0 7.68002e+06 0.5; - ram_block[112]~feeder 0xc0 7.68002e+06 0.5; - ram_block[113] 0xc0 7.68002e+06 0.5; - ram_block[113]~feeder 0xc0 7.68002e+06 0.5; - ram_block[114] 0xc0 7.68002e+06 0.5; - ram_block[114]~feeder 0xc0 7.68002e+06 0.5; - ram_block[115] 0xc0 7.68002e+06 0.5; - ram_block[115]~feeder 0xc0 7.68002e+06 0.5; - ram_block[116] 0xc0 7.68002e+06 0.5; - ram_block[116]~feeder 0xc0 7.68002e+06 0.5; - ram_block[117] 0xc0 7.68002e+06 0.5; - ram_block[117]~feeder 0xc0 7.68002e+06 0.5; - ram_block[118] 0xc0 7.68002e+06 0.5; - ram_block[118]~feeder 0xc0 7.68002e+06 0.5; - ram_block[119] 0xc0 7.68002e+06 0.5; - ram_block[120] 0xc0 7.68002e+06 0.5; - ram_block[121] 0xc0 7.68002e+06 0.5; - ram_block[121]~feeder 0xc0 7.68002e+06 0.5; - ram_block[122] 0xc0 7.68002e+06 0.5; - ram_block[123] 0xc0 7.68002e+06 0.5; - ram_block[123]~feeder 0xc0 7.68002e+06 0.5; - ram_block[124] 0xc0 7.68002e+06 0.5; - ram_block[124]~feeder 0xc0 7.68002e+06 0.5; - ram_block[125] 0xc0 7.68002e+06 0.5; - ram_block[125]~feeder 0xc0 7.68002e+06 0.5; - ram_block[126] 0xc0 7.68002e+06 0.5; - ram_block[127] 0xc0 7.68002e+06 0.5; - ram_block[127]~feeder 0xc0 7.68002e+06 0.5; - ram_block[128] 0xc0 7.68002e+06 0.5; - ram_block[128]~feeder 0xc0 7.68002e+06 0.5; - ram_block[129] 0xc0 7.68002e+06 0.5; - ram_block[129]~feeder 0xc0 7.68002e+06 0.5; - ram_block[130] 0xc0 7.68002e+06 0.5; - ram_block[131] 0xc0 7.68002e+06 0.5; - ram_block[131]~feeder 0xc0 7.68002e+06 0.5; - ram_block[132] 0xc0 7.68002e+06 0.5; - ram_block[133] 0xc0 7.68002e+06 0.5; - ram_block[133]~feeder 0xc0 7.68002e+06 0.5; - ram_block[134] 0xc0 7.68002e+06 0.5; - ram_block[134]~feeder 0xc0 7.68002e+06 0.5; - ram_block[135] 0xc0 7.68002e+06 0.5; - ram_block[136] 0xc0 7.68002e+06 0.5; - ram_block[137] 0xc0 7.68002e+06 0.5; - ram_block[137]~feeder 0xc0 7.68002e+06 0.5; - ram_block[138] 0xc0 7.68002e+06 0.5; - ram_block[138]~feeder 0xc0 7.68002e+06 0.5; - ram_block[139] 0xc0 7.68002e+06 0.5; - ram_block[139]~feeder 0xc0 7.68002e+06 0.5; - ram_block[140] 0xc0 7.68002e+06 0.5; - ram_block[140]~feeder 0xc0 7.68002e+06 0.5; - ram_block[141] 0xc0 7.68002e+06 0.5; - ram_block[142] 0xc0 7.68002e+06 0.5; - ram_block[143] 0xc0 7.68002e+06 0.5; - ram_block[144] 0xc0 7.68002e+06 0.5; - ram_block[144]~feeder 0xc0 7.68002e+06 0.5; - ram_block[145] 0xc0 7.68002e+06 0.5; - ram_block[145]~feeder 0xc0 7.68002e+06 0.5; - ram_block[146] 0xc0 7.68002e+06 0.5; - ram_block[146]~feeder 0xc0 7.68002e+06 0.5; - ram_block[147] 0xc0 7.68002e+06 0.5; - ram_block[148] 0xc0 7.68002e+06 0.5; - ram_block[148]~feeder 0xc0 7.68002e+06 0.5; - ram_block[149] 0xc0 7.68002e+06 0.5; - ram_block[150] 0xc0 7.68002e+06 0.5; - ram_block[150]~feeder 0xc0 7.68002e+06 0.5; - ram_block[151] 0xc0 7.68002e+06 0.5; - ram_block[151]~feeder 0xc0 7.68002e+06 0.5; - ram_block[152] 0xc0 7.68002e+06 0.5; - ram_block[153] 0xc0 7.68002e+06 0.5; - ram_block[153]~feeder 0xc0 7.68002e+06 0.5; - ram_block[154] 0xc0 7.68002e+06 0.5; - ram_block[155] 0xc0 7.68002e+06 0.5; - ram_block[156] 0xc0 7.68002e+06 0.5; - ram_block[156]~feeder 0xc0 7.68002e+06 0.5; - ram_block[157] 0xc0 7.68002e+06 0.5; - ram_block[157]~feeder 0xc0 7.68002e+06 0.5; - ram_block[158] 0xc0 7.68002e+06 0.5; - ram_block[158]~feeder 0xc0 7.68002e+06 0.5; - ram_block[159] 0xc0 7.68002e+06 0.5; - ram_block[159]~feeder 0xc0 7.68002e+06 0.5; - ram_block[160] 0xc0 7.68002e+06 0.5; - ram_block[161] 0xc0 7.68002e+06 0.5; - ram_block[161]~feeder 0xc0 7.68002e+06 0.5; - ram_block[162] 0xc0 7.68002e+06 0.5; - ram_block[163] 0xc0 7.68002e+06 0.5; - ram_block[163]~feeder 0xc0 7.68002e+06 0.5; - ram_block[164] 0xc0 7.68002e+06 0.5; - ram_block[164]~feeder 0xc0 7.68002e+06 0.5; - ram_block[165] 0xc0 7.68002e+06 0.5; - ram_block[165]~feeder 0xc0 7.68002e+06 0.5; - ram_block[166] 0xc0 7.68002e+06 0.5; - ram_block[166]~feeder 0xc0 7.68002e+06 0.5; - ram_block[167] 0xc0 7.68002e+06 0.5; - ram_block[167]~feeder 0xc0 7.68002e+06 0.5; - ram_block[168] 0xc0 7.68002e+06 0.5; - ram_block[169] 0xc0 7.68002e+06 0.5; - ram_block[169]~feeder 0xc0 7.68002e+06 0.5; - ram_block[170] 0xc0 7.68002e+06 0.5; - ram_block[170]~feeder 0xc0 7.68002e+06 0.5; - ram_block[171] 0xc0 7.68002e+06 0.5; - ram_block[171]~feeder 0xc0 7.68002e+06 0.5; - ram_block[172] 0xc0 7.68002e+06 0.5; - ram_block[172]~feeder 0xc0 7.68002e+06 0.5; - ram_block[173] 0xc0 7.68002e+06 0.5; - ram_block[174] 0xc0 7.68002e+06 0.5; - ram_block[175] 0xc0 7.68002e+06 0.5; - ram_block[176] 0xc0 7.68002e+06 0.5; - ram_block[177] 0xc0 7.68002e+06 0.5; - ram_block[178] 0xc0 7.68002e+06 0.5; - ram_block[178]~feeder 0xc0 7.68002e+06 0.5; - ram_block[179] 0xc0 7.68002e+06 0.5; - ram_block[180] 0xc0 7.68002e+06 0.5; - ram_block[180]~feeder 0xc0 7.68002e+06 0.5; - ram_block[181] 0xc0 7.68002e+06 0.5; - ram_block[182] 0xc0 7.68002e+06 0.5; - ram_block[182]~feeder 0xc0 7.68002e+06 0.5; - ram_block[183] 0xc0 7.68002e+06 0.5; - ram_block[183]~feeder 0xc0 7.68002e+06 0.5; - ram_block[184] 0xc0 7.68002e+06 0.5; - ram_block[184]~feeder 0xc0 7.68002e+06 0.5; - ram_block[185] 0xc0 7.68002e+06 0.5; - ram_block[186] 0xc0 7.68002e+06 0.5; - ram_block[187] 0xc0 7.68002e+06 0.5; - ram_block[188] 0xc0 7.68002e+06 0.5; - ram_block[188]~feeder 0xc0 7.68002e+06 0.5; - ram_block[189] 0xc0 7.68002e+06 0.5; - ram_block[189]~feeder 0xc0 7.68002e+06 0.5; - ram_block[190] 0xc0 7.68002e+06 0.5; - ram_block[190]~feeder 0xc0 7.68002e+06 0.5; - ram_block[191] 0xc0 7.68002e+06 0.5; - ram_block[192] 0xc0 7.68002e+06 0.5; - ram_block[192]~feeder 0xc0 7.68002e+06 0.5; - ram_block[193] 0xc0 7.68002e+06 0.5; - ram_block[193]~feeder 0xc0 7.68002e+06 0.5; - ram_block[194] 0xc0 7.68002e+06 0.5; - ram_block[194]~feeder 0xc0 7.68002e+06 0.5; - ram_block[195] 0xc0 7.68002e+06 0.5; - ram_block[195]~feeder 0xc0 7.68002e+06 0.5; - ram_block[196] 0xc0 7.68002e+06 0.5; - ram_block[196]~feeder 0xc0 7.68002e+06 0.5; - ram_block[197] 0xc0 7.68002e+06 0.5; - ram_block[197]~feeder 0xc0 7.68002e+06 0.5; - ram_block[198] 0xc0 7.68002e+06 0.5; - ram_block[199] 0xc0 7.68002e+06 0.5; - ram_block[199]~feeder 0xc0 7.68002e+06 0.5; - ram_block[200] 0xc0 7.68002e+06 0.5; - ram_block[200]~feeder 0xc0 7.68002e+06 0.5; - ram_block[201] 0xc0 7.68002e+06 0.5; - ram_block[202] 0xc0 7.68002e+06 0.5; - ram_block[203] 0xc0 7.68002e+06 0.5; - ram_block[203]~feeder 0xc0 7.68002e+06 0.5; - ram_block[204] 0xc0 7.68002e+06 0.5; - ram_block[204]~feeder 0xc0 7.68002e+06 0.5; - ram_block[205] 0xc0 7.68002e+06 0.5; - ram_block[205]~feeder 0xc0 7.68002e+06 0.5; - ram_block[206] 0xc0 7.68002e+06 0.5; - ram_block[207] 0xc0 7.68002e+06 0.5; - ram_block[207]~feeder 0xc0 7.68002e+06 0.5; - ram_block[208] 0xc0 7.68002e+06 0.5; - ram_block[208]~feeder 0xc0 7.68002e+06 0.5; - ram_block[209] 0xc0 7.68002e+06 0.5; - ram_block[209]~feeder 0xc0 7.68002e+06 0.5; - ram_block[210] 0xc0 7.68002e+06 0.5; - ram_block[211] 0xc0 7.68002e+06 0.5; - ram_block[211]~feeder 0xc0 7.68002e+06 0.5; - ram_block[212] 0xc0 7.68002e+06 0.5; - ram_block[213] 0xc0 7.68002e+06 0.5; - ram_block[213]~feeder 0xc0 7.68002e+06 0.5; - ram_block[214] 0xc0 7.68002e+06 0.5; - ram_block[214]~feeder 0xc0 7.68002e+06 0.5; - ram_block[215] 0xc0 7.68002e+06 0.5; - ram_block[216] 0xc0 7.68002e+06 0.5; - ram_block[217] 0xc0 7.68002e+06 0.5; - ram_block[218] 0xc0 7.68002e+06 0.5; - ram_block[219] 0xc0 7.68002e+06 0.5; - ram_block[219]~feeder 0xc0 7.68002e+06 0.5; - ram_block[220] 0xc0 7.68002e+06 0.5; - ram_block[221] 0xc0 7.68002e+06 0.5; - ram_block[221]~feeder 0xc0 7.68002e+06 0.5; - ram_block[222] 0xc0 7.68002e+06 0.5; - ram_block[223] 0xc0 7.68002e+06 0.5; - ram_block[224] 0xc0 7.68002e+06 0.5; - ram_block[225] 0xc0 7.68002e+06 0.5; - ram_block[225]~feeder 0xc0 7.68002e+06 0.5; - ram_block[226] 0xc0 7.68002e+06 0.5; - ram_block[226]~feeder 0xc0 7.68002e+06 0.5; - ram_block[227] 0xc0 7.68002e+06 0.5; - ram_block[228] 0xc0 7.68002e+06 0.5; - ram_block[228]~feeder 0xc0 7.68002e+06 0.5; - ram_block[229] 0xc0 7.68002e+06 0.5; - ram_block[229]~feeder 0xc0 7.68002e+06 0.5; - ram_block[230] 0xc0 7.68002e+06 0.5; - ram_block[230]~feeder 0xc0 7.68002e+06 0.5; - ram_block[231] 0xc0 7.68002e+06 0.5; - ram_block[231]~feeder 0xc0 7.68002e+06 0.5; - ram_block[232] 0xc0 7.68002e+06 0.5; - ram_block[232]~feeder 0xc0 7.68002e+06 0.5; - ram_block[233] 0xc0 7.68002e+06 0.5; - ram_block[233]~feeder 0xc0 7.68002e+06 0.5; - ram_block[234] 0xc0 7.68002e+06 0.5; - ram_block[234]~feeder 0xc0 7.68002e+06 0.5; - ram_block[235] 0xc0 7.68002e+06 0.5; - ram_block[236] 0xc0 7.68002e+06 0.5; - ram_block[236]~feeder 0xc0 7.68002e+06 0.5; - ram_block[237] 0xc0 7.68002e+06 0.5; - ram_block[237]~feeder 0xc0 7.68002e+06 0.5; - ram_block[238] 0xc0 7.68002e+06 0.5; - ram_block[239] 0xc0 7.68002e+06 0.5; - ram_block[239]~feeder 0xc0 7.68002e+06 0.5; - ram_block[240] 0xc0 7.68002e+06 0.5; - ram_block[240]~feeder 0xc0 7.68002e+06 0.5; - ram_block[241] 0xc0 7.68002e+06 0.5; - ram_block[242] 0xc0 7.68002e+06 0.5; - ram_block[242]~feeder 0xc0 7.68002e+06 0.5; - ram_block[243] 0xc0 7.68002e+06 0.5; - ram_block[243]~feeder 0xc0 7.68002e+06 0.5; - ram_block[244] 0xc0 7.68002e+06 0.5; - ram_block[244]~feeder 0xc0 7.68002e+06 0.5; - ram_block[245] 0xc0 7.68002e+06 0.5; - ram_block[245]~feeder 0xc0 7.68002e+06 0.5; - ram_block[246] 0xc0 7.68002e+06 0.5; - ram_block[246]~feeder 0xc0 7.68002e+06 0.5; - ram_block[247] 0xc0 7.68002e+06 0.5; - ram_block[247]~feeder 0xc0 7.68002e+06 0.5; - ram_block[248] 0xc0 7.68002e+06 0.5; - ram_block[249] 0xc0 7.68002e+06 0.5; - ram_block[249]~feeder 0xc0 7.68002e+06 0.5; - ram_block[250] 0xc0 7.68002e+06 0.5; - ram_block[251] 0xc0 7.68002e+06 0.5; - ram_block[252] 0xc0 7.68002e+06 0.5; - ram_block[252]~feeder 0xc0 7.68002e+06 0.5; - ram_block[253] 0xc0 7.68002e+06 0.5; - ram_block[253]~feeder 0xc0 7.68002e+06 0.5; - ram_block[254] 0xc0 7.68002e+06 0.5; - ram_block[254]~feeder 0xc0 7.68002e+06 0.5; - ram_block[255] 0xc0 7.68002e+06 0.5; - ram_block[255]~feeder 0xc0 7.68002e+06 0.5; - ram_block[256] 0xc0 7.68002e+06 0.5; - ram_block[256]~feeder 0xc0 7.68002e+06 0.5; - ram_block[257] 0xc0 7.68002e+06 0.5; - ram_block[257]~feeder 0xc0 7.68002e+06 0.5; - ram_block[258] 0xc0 7.68002e+06 0.5; - ram_block[259] 0xc0 7.68002e+06 0.5; - ram_block[259]~feeder 0xc0 7.68002e+06 0.5; - ram_block[260] 0xc0 7.68002e+06 0.5; - ram_block[260]~feeder 0xc0 7.68002e+06 0.5; - ram_block[261] 0xc0 7.68002e+06 0.5; - ram_block[261]~feeder 0xc0 7.68002e+06 0.5; - ram_block[262] 0xc0 7.68002e+06 0.5; - ram_block[262]~feeder 0xc0 7.68002e+06 0.5; - ram_block[263] 0xc0 7.68002e+06 0.5; - ram_block[263]~feeder 0xc0 7.68002e+06 0.5; - ram_block[264] 0xc0 7.68002e+06 0.5; - ram_block[264]~feeder 0xc0 7.68002e+06 0.5; - ram_block[265] 0xc0 7.68002e+06 0.5; - ram_block[265]~feeder 0xc0 7.68002e+06 0.5; - ram_block[266] 0xc0 7.68002e+06 0.5; - ram_block[266]~feeder 0xc0 7.68002e+06 0.5; - ram_block[267] 0xc0 7.68002e+06 0.5; - ram_block[268] 0xc0 7.68002e+06 0.5; - ram_block[269] 0xc0 7.68002e+06 0.5; - ram_block[269]~feeder 0xc0 7.68002e+06 0.5; - ram_block[270] 0xc0 7.68002e+06 0.5; - ram_block[270]~feeder 0xc0 7.68002e+06 0.5; - ram_block[271] 0xc0 7.68002e+06 0.5; - ram_block[271]~feeder 0xc0 7.68002e+06 0.5; - ram_block[272] 0xc0 7.68002e+06 0.5; - ram_block[272]~feeder 0xc0 7.68002e+06 0.5; - ram_block[273] 0xc0 7.68002e+06 0.5; - ram_block[273]~feeder 0xc0 7.68002e+06 0.5; - ram_block[274] 0xc0 7.68002e+06 0.5; - ram_block[275] 0xc0 7.68002e+06 0.5; - ram_block[275]~feeder 0xc0 7.68002e+06 0.5; - ram_block[276] 0xc0 7.68002e+06 0.5; - ram_block[276]~feeder 0xc0 7.68002e+06 0.5; - ram_block[277] 0xc0 7.68002e+06 0.5; - ram_block[277]~feeder 0xc0 7.68002e+06 0.5; - ram_block[278] 0xc0 7.68002e+06 0.5; - ram_block[278]~feeder 0xc0 7.68002e+06 0.5; - ram_block[279] 0xc0 7.68002e+06 0.5; - ram_block[280] 0xc0 7.68002e+06 0.5; - ram_block[280]~feeder 0xc0 7.68002e+06 0.5; - ram_block[281] 0xc0 7.68002e+06 0.5; - ram_block[281]~feeder 0xc0 7.68002e+06 0.5; - ram_block[282] 0xc0 7.68002e+06 0.5; - ram_block[282]~feeder 0xc0 7.68002e+06 0.5; - ram_block[283] 0xc0 7.68002e+06 0.5; - ram_block[283]~feeder 0xc0 7.68002e+06 0.5; - ram_block[284] 0xc0 7.68002e+06 0.5; - ram_block[284]~feeder 0xc0 7.68002e+06 0.5; - ram_block[285] 0xc0 7.68002e+06 0.5; - ram_block[285]~feeder 0xc0 7.68002e+06 0.5; - ram_block[286] 0xc0 7.68002e+06 0.5; - ram_block[286]~feeder 0xc0 7.68002e+06 0.5; - ram_block[287] 0xc0 7.68002e+06 0.5; - ram_block[287]~feeder 0xc0 7.68002e+06 0.5; - ram_block[288] 0xc0 7.68002e+06 0.5; - ram_block[288]~feeder 0xc0 7.68002e+06 0.5; - ram_block[289] 0xc0 7.68002e+06 0.5; - ram_block[289]~feeder 0xc0 7.68002e+06 0.5; - ram_block[290] 0xc0 7.68002e+06 0.5; - ram_block[290]~feeder 0xc0 7.68002e+06 0.5; - ram_block[291] 0xc0 7.68002e+06 0.5; - ram_block[291]~feeder 0xc0 7.68002e+06 0.5; - ram_block[292] 0xc0 7.68002e+06 0.5; - ram_block[292]~feeder 0xc0 7.68002e+06 0.5; - ram_block[293] 0xc0 7.68002e+06 0.5; - ram_block[293]~feeder 0xc0 7.68002e+06 0.5; - ram_block[294] 0xc0 7.68002e+06 0.5; - ram_block[295] 0xc0 7.68002e+06 0.5; - ram_block[295]~feeder 0xc0 7.68002e+06 0.5; - ram_block[296] 0xc0 7.68002e+06 0.5; - ram_block[296]~feeder 0xc0 7.68002e+06 0.5; - ram_block[297] 0xc0 7.68002e+06 0.5; - ram_block[297]~feeder 0xc0 7.68002e+06 0.5; - ram_block[298] 0xc0 7.68002e+06 0.5; - ram_block[298]~feeder 0xc0 7.68002e+06 0.5; - ram_block[299] 0xc0 7.68002e+06 0.5; - ram_block[300] 0xc0 7.68002e+06 0.5; - ram_block[300]~feeder 0xc0 7.68002e+06 0.5; - ram_block[301] 0xc0 7.68002e+06 0.5; - ram_block[302] 0xc0 7.68002e+06 0.5; - ram_block[302]~feeder 0xc0 7.68002e+06 0.5; - ram_block[303] 0xc0 7.68002e+06 0.5; - ram_block[303]~feeder 0xc0 7.68002e+06 0.5; - ram_block[304] 0xc0 7.68002e+06 0.5; - ram_block[304]~feeder 0xc0 7.68002e+06 0.5; - ram_block[305] 0xc0 7.68002e+06 0.5; - ram_block[306] 0xc0 7.68002e+06 0.5; - ram_block[306]~feeder 0xc0 7.68002e+06 0.5; - ram_block[307] 0xc0 7.68002e+06 0.5; - ram_block[307]~feeder 0xc0 7.68002e+06 0.5; - ram_block[308] 0xc0 7.68002e+06 0.5; - ram_block[308]~feeder 0xc0 7.68002e+06 0.5; - ram_block[309] 0xc0 7.68002e+06 0.5; - ram_block[309]~feeder 0xc0 7.68002e+06 0.5; - ram_block[310] 0xc0 7.68002e+06 0.5; - ram_block[310]~feeder 0xc0 7.68002e+06 0.5; - ram_block[311] 0xc0 7.68002e+06 0.5; - ram_block[312] 0xc0 7.68002e+06 0.5; - ram_block[312]~feeder 0xc0 7.68002e+06 0.5; - ram_block[313] 0xc0 7.68002e+06 0.5; - ram_block[313]~feeder 0xc0 7.68002e+06 0.5; - ram_block[314] 0xc0 7.68002e+06 0.5; - ram_block[315] 0xc0 7.68002e+06 0.5; - ram_block[315]~feeder 0xc0 7.68002e+06 0.5; - ram_block[316] 0xc0 7.68002e+06 0.5; - ram_block[316]~feeder 0xc0 7.68002e+06 0.5; - ram_block[317] 0xc0 7.68002e+06 0.5; - ram_block[317]~feeder 0xc0 7.68002e+06 0.5; - ram_block[318] 0xc0 7.68002e+06 0.5; - ram_block[318]~feeder 0xc0 7.68002e+06 0.5; - ram_block[319] 0xc0 7.68002e+06 0.5; - ram_block[319]~feeder 0xc0 7.68002e+06 0.5; - ram_block[320] 0xc0 7.68002e+06 0.5; - ram_block[321] 0xc0 7.68002e+06 0.5; - ram_block[322] 0xc0 7.68002e+06 0.5; - ram_block[323] 0xc0 7.68002e+06 0.5; - ram_block[324] 0xc0 7.68002e+06 0.5; - ram_block[324]~feeder 0xc0 7.68002e+06 0.5; - ram_block[325] 0xc0 7.68002e+06 0.5; - ram_block[325]~feeder 0xc0 7.68002e+06 0.5; - ram_block[326] 0xc0 7.68002e+06 0.5; - ram_block[327] 0xc0 7.68002e+06 0.5; - ram_block[327]~feeder 0xc0 7.68002e+06 0.5; - ram_block[328] 0xc0 7.68002e+06 0.5; - ram_block[328]~feeder 0xc0 7.68002e+06 0.5; - ram_block[329] 0xc0 7.68002e+06 0.5; - ram_block[329]~feeder 0xc0 7.68002e+06 0.5; - ram_block[330] 0xc0 7.68002e+06 0.5; - ram_block[330]~feeder 0xc0 7.68002e+06 0.5; - ram_block[331] 0xc0 7.68002e+06 0.5; - ram_block[332] 0xc0 7.68002e+06 0.5; - ram_block[332]~feeder 0xc0 7.68002e+06 0.5; - ram_block[333] 0xc0 7.68002e+06 0.5; - ram_block[333]~feeder 0xc0 7.68002e+06 0.5; - ram_block[334] 0xc0 7.68002e+06 0.5; - ram_block[335] 0xc0 7.68002e+06 0.5; - ram_block[335]~feeder 0xc0 7.68002e+06 0.5; - ram_block[336] 0xc0 7.68002e+06 0.5; - ram_block[336]~feeder 0xc0 7.68002e+06 0.5; - ram_block[337] 0xc0 7.68002e+06 0.5; - ram_block[338] 0xc0 7.68002e+06 0.5; - ram_block[338]~feeder 0xc0 7.68002e+06 0.5; - ram_block[339] 0xc0 7.68002e+06 0.5; - ram_block[339]~feeder 0xc0 7.68002e+06 0.5; - rd_data_out_latch[0] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[1] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[2] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[3] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[4] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[5] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[6] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[7] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[8] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[9] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[10] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[11] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[12] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[13] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[14] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[15] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[16] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[17] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[18] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[19] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[20] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[21] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[22] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[23] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[24] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[25] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[26] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[27] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[28] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[29] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[30] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[31] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[32] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[33] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[34] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[35] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[36] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[37] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[38] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[39] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[40] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[41] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[42] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[43] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[44] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[45] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[46] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[47] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[48] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[49] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[50] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[51] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[52] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[53] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[54] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[55] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[56] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[57] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[58] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[59] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[60] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[61] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[62] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[63] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[64] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[65] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[66] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[67] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[68] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[69] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[70] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[71] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[72] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[73] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[74] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[75] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[76] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[77] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[78] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[79] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[80] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[81] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[82] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[83] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[84] 0xc0 7.68002e+06 0.5; - wren_reg 0xc0 7.68002e+06 0.5; - wren_reg~feeder 0xc0 32.9248 6.10352e-05; - full_dff 0xc0 7.68002e+06 0.5; - low_addressa[0] 0xc0 7.68002e+06 0.5; - low_addressa[0]~1 0xc0 2.35547e+06 0.25; - low_addressa[1] 0xc0 7.68002e+06 0.5; - low_addressa[1]~0 0xc0 2.35547e+06 0.25; - ram_read_address[0]~1 0xc0 3.18845e+06 0.5; - ram_read_address[1]~0 0xc0 4.68001e+06 0.5; - rd_ptr_lsb 0xc0 7.68002e+06 0.5; - rd_ptr_lsb~0 0xc0 4.32001e+06 0.25; - rd_ptr_lsb~1 0xc0 2.09813e+06 0.5625; - cntr_q9b:rd_ptr_msb; - _~0 0xc0 5.29501e+06 0.53125; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - cntr_7a7:usedw_counter; - _~0 0xc0 8.81789e+06 0.562523; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.6791e+06 0.5; - counter_comb_bita1 0xc0 7.67956e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - usedw_is_0_dff 0xc0 7.68002e+06 0.5; - usedw_is_1_dff 0xc0 7.68002e+06 0.5; - usedw_will_be_1~0 0xc0 3.58458e+06 0.0312748; - usedw_will_be_1~1 0xc0 4.52133e+06 0.227535; - valid_rreq 0xc0 3.36001e+06 0.125; - valid_rreq~0 0xc0 5.76001e+06 0.25; - valid_wreq~0 0xc0 1.125e+06 0.0625; - valid_wreq~1 0xc0 945002 0.0625; - valid_wreq~2 0xc0 945002 0.0625; - valid_wreq~3 0xc0 65.6207 0.00012207; - valid_wreq~4 0xc0 32.9248 6.10352e-05; - cntr_r9b:wr_ptr; - _~0 0xc0 7.67818e+06 0.500031; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - auk_dspip_integrator:integrator[0].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 7.06287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 7.37145e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 7.60288e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 7.64145e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 7.67038e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 7.6752e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 7.67881e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 7.67942e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 7.67987e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 7.67994e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 7.68e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 7.68001e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.68002e+06 0.5; - auk_dspip_integrator:integrator[1].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[2].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[3].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[4].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[5].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - counter_module:latency_cnt_inst; - Add0~0 0xc0 4.32001e+06 0.25; - Add0~1 0xc0 1.83e+06 0.125; - count[0] 0xc0 7.68002e+06 0.5; - count[1] 0xc0 7.68002e+06 0.5; - count[1]~4 0xc0 7.43486e+06 0.46875; - count[2] 0xc0 7.68002e+06 0.5; - count[2]~1 0xc0 3.67936e+06 0.397217; - count[3] 0xc0 7.68002e+06 0.5; - count[3]~0 0xc0 4.50001e+06 0.4375; - count[3]~2 0xc0 4.06435e+06 0.38269; - count~3 0xc0 7.00455e+06 0.46875; - sample_state[0] 0xc0 7.68002e+06 0.5; - sample_state~0 0xc0 3.33001e+06 0.625; - sample_state~1 0xc0 5.04573e+06 0.671875; - state[0] 0xc0 7.68002e+06 0.5; - state~0 0xc0 8.68362e+06 0.515625; - auk_dspip_downsample:vrc_en_0.first_dsample; - counter_module:counter_fs_inst; - Add0~0 0xc0 7.68002e+06 0.5; - Add0~1 0xc0 7.68002e+06 0.5; - Add0~2 0xc0 7.68002e+06 0.5; - Add0~3 0xc0 4.32001e+06 0.75; - Add0~4 0xc0 6.96002e+06 0.5; - Add0~5 0xc0 5.88002e+06 0.125; - Add0~6 0xc0 8.94002e+06 0.5; - Add0~7 0xc0 1.71e+06 0.9375; - Add0~8 0xc0 7.63502e+06 0.5; - Add0~9 0xc0 7.20752e+06 0.03125; - Add0~10 0xc0 1.08188e+07 0.5; - Add0~11 0xc0 1.81688e+06 0.984375; - Add0~12 0xc0 8.35221e+06 0.5; - Add0~13 0xc0 7.89799e+06 0.0078125; - Add0~14 0xc0 1.151e+07 0.5; - Add0~15 0xc0 1.97543e+06 0.996094; - Add0~16 0xc0 8.60797e+06 0.5; - Add0~17 0xc0 8.11411e+06 0.00195313; - Add0~18 0xc0 1.17071e+07 0.5; - Add0~19 0xc0 2.02859e+06 0.999023; - Add0~20 0xc0 8.67933e+06 0.5; - Equal0~0 0xc0 691877 0.0625; - Equal0~1 0xc0 691877 0.0625; - Equal0~2 0xc0 4.32001e+06 0.25; - Equal0~3 0xc0 462.757 0.000488281; - count[0] 0xc0 7.68002e+06 0.5; - count[1] 0xc0 7.68002e+06 0.5; - count[2] 0xc0 7.68002e+06 0.5; - count[3] 0xc0 7.68002e+06 0.5; - count[4] 0xc0 7.68002e+06 0.5; - count[5] 0xc0 7.68002e+06 0.5; - count[6] 0xc0 7.68002e+06 0.5; - count[7] 0xc0 7.68002e+06 0.5; - count[8] 0xc0 7.68002e+06 0.5; - count[9] 0xc0 7.68002e+06 0.5; - count[10] 0xc0 7.68002e+06 0.5; - count~0 0xc0 1.71992e+07 0.499756; - count~1 0xc0 3.33001e+06 0.625; - count~2 0xc0 8.671e+06 0.499756; - auk_dspip_avalon_streaming_sink:input_sink; - scfifo:sink_FIFO; - scfifo_ef71:auto_generated; - dffe_nae 0xc0 7.68002e+06 0.5; - dffe_nae~0 0xc0 1.38e+06 0.125; - dffe_nae~1 0xc0 3.22121e+06 0.524597; - a_dpfifo_vkv:dpfifo; - _~0 0xc0 1.4175e+06 0.0625; - _~1 0xc0 3.70743e+06 0.502975; - _~2 0xc0 3.63189e+06 0.276611; - empty_dff 0xc0 7.68002e+06 0.5; - empty_dff~0 0xc0 2.00438e+06 0.3125; - empty_dff~1 0xc0 4.06256e+06 0.859583; - empty_dff~2 0xc0 2.85165e+06 0.593491; - empty_dff~3 0xc0 2.91536e+06 0.242495; - empty_dff~4 0xc0 3.76901e+06 0.441508; - empty_dff~5 0xc0 4.56251e+06 0.40721; - altsyncram_h7h1:FIFOram; - q_b[0] 0xc0 7.68002e+06 0.5; - q_b[1] 0xc0 7.68002e+06 0.5; - q_b[2] 0xc0 7.68002e+06 0.5; - q_b[3] 0xc0 7.68002e+06 0.5; - q_b[4] 0xc0 7.68002e+06 0.5; - q_b[5] 0xc0 7.68002e+06 0.5; - q_b[6] 0xc0 7.68002e+06 0.5; - q_b[7] 0xc0 7.68002e+06 0.5; - q_b[8] 0xc0 7.68002e+06 0.5; - q_b[9] 0xc0 7.68002e+06 0.5; - q_b[10] 0xc0 7.68002e+06 0.5; - q_b[11] 0xc0 7.68002e+06 0.5; - q_b[12] 0xc0 7.68002e+06 0.5; - q_b[13] 0xc0 7.68002e+06 0.5; - q_b[14] 0xc0 7.68002e+06 0.5; - q_b[15] 0xc0 7.68002e+06 0.5; - q_b[16] 0xc0 7.68002e+06 0.5; - q_b[17] 0xc0 7.68002e+06 0.5; - q_b[18] 0xc0 7.68002e+06 0.5; - q_b[19] 0xc0 7.68002e+06 0.5; - q_b[20] 0xc0 7.68002e+06 0.5; - q_b[21] 0xc0 7.68002e+06 0.5; - q_b[22] 0xc0 7.68002e+06 0.5; - full_dff 0xc0 7.68002e+06 0.5; - low_addressa[0] 0xc0 7.68002e+06 0.5; - low_addressa[0]~0 0xc0 2.44739e+06 0.25; - low_addressa[1] 0xc0 7.68002e+06 0.5; - low_addressa[1]~1 0xc0 2.44739e+06 0.25; - low_addressa[2] 0xc0 7.68002e+06 0.5; - low_addressa[2]~2 0xc0 2.44739e+06 0.25; - ram_read_address[0]~0 0xc0 8.30576e+06 0.5; - ram_read_address[1]~1 0xc0 8.30576e+06 0.5; - ram_read_address[2]~2 0xc0 4.61701e+06 0.5; - rd_ptr_lsb 0xc0 7.68002e+06 0.5; - rd_ptr_lsb~0 0xc0 1.09613e+07 0.526611; - rd_ptr_lsb~1 0xc0 4.32001e+06 0.25; - cntr_r9b:rd_ptr_msb; - _~0 0xc0 7.60652e+06 0.513306; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - cntr_8a7:usedw_counter; - _~0 0xc0 6.00431e+06 0.638306; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.44001e+06 0.75; - counter_comb_bita2 0xc0 7.02002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - usedw_is_0_dff 0xc0 7.68002e+06 0.5; - usedw_is_1_dff 0xc0 7.68002e+06 0.5; - usedw_will_be_1~0 0xc0 1.74938e+06 0.09375; - usedw_will_be_1~1 0xc0 2.19001e+06 0.125; - usedw_will_be_1~2 0xc0 1.17006e+06 0.123337; - usedw_will_be_1~3 0xc0 2.17075e+06 0.22021; - valid_rreq 0xc0 266092 0.0532227; - valid_wreq 0xc0 5.76001e+06 0.25; - cntr_s9b:wr_ptr; - _~0 0xc0 5.76001e+06 0.625; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.32001e+06 0.75; - counter_comb_bita2 0xc0 6.96002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ai71:auto_generated; - a_dpfifo_7qv:dpfifo; - _~0 0xc0 296837 0.03125; - _~1 0xc0 8.27419e+06 0.250488; - empty_dff 0xc0 7.68002e+06 0.5; - empty_dff~2 0xc0 4.59142e+06 0.515625; - empty_dff~3 0xc0 3.4767e+06 0.257813; - empty_dff~4 0xc0 5.13559e+06 0.255586; - altsyncram_dah1:FIFOram; - q_b[0] 0xc0 7.68002e+06 0.5; - q_b[1] 0xc0 7.68002e+06 0.5; - q_b[2] 0xc0 7.68002e+06 0.5; - q_b[3] 0xc0 7.68002e+06 0.5; - q_b[4] 0xc0 7.68002e+06 0.5; - q_b[5] 0xc0 7.68002e+06 0.5; - q_b[6] 0xc0 7.68002e+06 0.5; - q_b[7] 0xc0 7.68002e+06 0.5; - q_b[8] 0xc0 7.68002e+06 0.5; - q_b[9] 0xc0 7.68002e+06 0.5; - q_b[10] 0xc0 7.68002e+06 0.5; - q_b[11] 0xc0 7.68002e+06 0.5; - q_b[12] 0xc0 7.68002e+06 0.5; - q_b[13] 0xc0 7.68002e+06 0.5; - q_b[14] 0xc0 7.68002e+06 0.5; - q_b[15] 0xc0 7.68002e+06 0.5; - q_b[16] 0xc0 7.68002e+06 0.5; - q_b[17] 0xc0 7.68002e+06 0.5; - q_b[18] 0xc0 7.68002e+06 0.5; - q_b[19] 0xc0 7.68002e+06 0.5; - q_b[20] 0xc0 7.68002e+06 0.5; - q_b[21] 0xc0 7.68002e+06 0.5; - q_b[22] 0xc0 7.68002e+06 0.5; - q_b[23] 0xc0 7.68002e+06 0.5; - q_b[24] 0xc0 7.68002e+06 0.5; - q_b[25] 0xc0 7.68002e+06 0.5; - q_b[26] 0xc0 7.68002e+06 0.5; - q_b[27] 0xc0 7.68002e+06 0.5; - q_b[28] 0xc0 7.68002e+06 0.5; - q_b[29] 0xc0 7.68002e+06 0.5; - q_b[30] 0xc0 7.68002e+06 0.5; - q_b[31] 0xc0 7.68002e+06 0.5; - full_dff 0xc0 7.68002e+06 0.5; - low_addressa[0] 0xc0 7.68002e+06 0.5; - low_addressa[0]~0 0xc0 1.9125e+06 0.25; - low_addressa[1] 0xc0 7.68002e+06 0.5; - low_addressa[1]~1 0xc0 1.9125e+06 0.25; - low_addressa[2] 0xc0 7.68002e+06 0.5; - low_addressa[2]~2 0xc0 1.9125e+06 0.25; - low_addressa[3] 0xc0 7.68002e+06 0.5; - low_addressa[3]~3 0xc0 1.9125e+06 0.25; - low_addressa[4] 0xc0 7.68002e+06 0.5; - low_addressa[4]~4 0xc0 1.9125e+06 0.25; - ram_read_address[0]~0 0xc0 7.68002e+06 0.5; - ram_read_address[1]~1 0xc0 6.24002e+06 0.5; - ram_read_address[2]~2 0xc0 5.52001e+06 0.5; - ram_read_address[3]~3 0xc0 5.52001e+06 0.5; - ram_read_address[4]~4 0xc0 5.52001e+06 0.5; - rd_ptr_lsb 0xc0 7.68002e+06 0.5; - rd_ptr_lsb~0 0xc0 4.32001e+06 0.25; - rd_ptr_lsb~1 0xc0 4.32001e+06 0.75; - cntr_t9b:rd_ptr_msb; - _~0 0xc0 7.20002e+06 0.625; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.32001e+06 0.75; - counter_comb_bita2 0xc0 6.96002e+06 0.5; - counter_comb_bita2~COUT 0xc0 5.88002e+06 0.125; - counter_comb_bita3 0xc0 8.94002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - counter_reg_bit[3] 0xc0 7.68002e+06 0.5; - cntr_aa7:usedw_counter; - _~0 0xc0 6.94174e+06 0.75; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.29752e+06 0.5; - counter_comb_bita1 0xc0 7.48877e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.36963e+06 0.75; - counter_comb_bita2 0xc0 6.98483e+06 0.5; - counter_comb_bita2~COUT 0xc0 5.27105e+06 0.125; - counter_comb_bita3 0xc0 8.63554e+06 0.5; - counter_comb_bita3~COUT 0xc0 1.51144e+06 0.9375; - counter_comb_bita4 0xc0 7.53574e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - counter_reg_bit[3] 0xc0 7.68002e+06 0.5; - counter_reg_bit[4] 0xc0 7.68002e+06 0.5; - usedw_is_0_dff 0xc0 7.68002e+06 0.5; - usedw_is_1_dff 0xc0 7.68002e+06 0.5; - usedw_will_be_1~0 0xc0 945002 0.0625; - usedw_will_be_1~1 0xc0 105039 0.0146484; - usedw_will_be_1~2 0xc0 3.54142e+06 0.265625; - usedw_will_be_1~3 0xc0 1.94891e+06 0.138191; - usedw_will_be_1~4 0xc0 4.32001e+06 0.25; - valid_wreq~0 0xc0 1.035e+06 0.0625; - cntr_u9b:wr_ptr; - _~0 0xc0 7.26752e+06 0.53125; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.32001e+06 0.75; - counter_comb_bita2 0xc0 6.96002e+06 0.5; - counter_comb_bita2~COUT 0xc0 5.88002e+06 0.125; - counter_comb_bita3 0xc0 8.94002e+06 0.5; - counter_comb_bita3~COUT 0xc0 1.71e+06 0.9375; - counter_comb_bita4 0xc0 7.63502e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - counter_reg_bit[3] 0xc0 7.68002e+06 0.5; - counter_reg_bit[4] 0xc0 7.68002e+06 0.5; - source_valid_s 0xc0 7.68002e+06 0.5; - source_valid_s~0 0xc0 4.32001e+06 0.25; - rx_cic:RX_CIC_Q; - rx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Decoder0~0 0xc0 381380 0.0512695; - Decoder0~1 0xc0 381380 0.0512695; - Decoder0~2 0xc0 381380 0.0512695; - Equal2~0 0xc0 2.28001e+06 0.125; - Equal3~0 0xc0 2.28001e+06 0.125; - fifo_array[0][0] 0xc0 7.68002e+06 0.5; - fifo_array[1][0] 0xc0 7.68002e+06 0.5; - fifo_array[2][0] 0xc0 7.68002e+06 0.5; - fifo_array[3][0] 0xc0 7.68002e+06 0.5; - fifo_array[4][0] 0xc0 7.68002e+06 0.5; - fifo_array[5][0] 0xc0 7.68002e+06 0.5; - fifo_array~0 0xc0 4.52249e+06 0.512817; - fifo_array~1 0xc0 4.52249e+06 0.512817; - fifo_array~2 0xc0 4.52249e+06 0.512817; - fifo_array~3 0xc0 4.52249e+06 0.512817; - fifo_array~4 0xc0 4.38394e+06 0.512817; - fifo_array~5 0xc0 4.52249e+06 0.512817; - fifo_usedw[0] 0xc0 7.68002e+06 0.5; - fifo_usedw[1] 0xc0 7.68002e+06 0.5; - fifo_usedw[2] 0xc0 7.68002e+06 0.5; - fifo_usedw~0 0xc0 5.07189e+06 0.28125; - fifo_usedw~1 0xc0 2.94312e+06 0.437378; - fifo_usedw~2 0xc0 5.31615e+06 0.5; - fifo_usedw~3 0xc0 2.49789e+06 0.123047; - fifo_usedw~4 0xc0 9.09726e+06 0.5; - Mux0~0 0xc0 3.45001e+06 0.5; - Mux0~1 0xc0 1.9396e+06 0.5; - rd_addr_ptr[0] 0xc0 7.68002e+06 0.5; - rd_addr_ptr[1] 0xc0 7.68002e+06 0.5; - rd_addr_ptr[2] 0xc0 7.68002e+06 0.5; - rd_addr_ptr~0 0xc0 2.1555e+06 0.472656; - rd_addr_ptr~1 0xc0 3.7789e+06 0.5; - rd_addr_ptr~2 0xc0 2.1555e+06 0.472656; - usedw_process~0 0xc0 1.47068e+06 0.109375; - usedw_process~1 0xc0 857764 0.794922; - wr_addr_ptr[0] 0xc0 7.68002e+06 0.5; - wr_addr_ptr[1] 0xc0 7.68002e+06 0.5; - wr_addr_ptr[2] 0xc0 7.68002e+06 0.5; - wr_addr_ptr~0 0xc0 4.9545e+06 0.5; - wr_addr_ptr~1 0xc0 2.92616e+06 0.474365; - wr_addr_ptr~2 0xc0 2.82564e+06 0.474365; - ready_fifo_rdreq~0 0xc0 1.1761e+06 0.78125; - ready_fifo_wrreq~0 0xc0 2.21546e+06 0.234375; - sink_ready_ctrl~0 0xc0 4.32001e+06 0.25; - sink_ready_ctrl~1 0xc0 3.90001e+06 0.5; - sink_ready_ctrl~2 0xc0 2.6975e+06 0.234375; - sink_ready_ctrl~3 0xc0 605954 0.106445; - stall_reg 0xc0 7.68002e+06 0.5; - stall_reg~0 0xc0 1.83e+06 0.875; - alt_cic_dec_siso:dec_one; - Equal2~0 0xc0 804377 0.0625; - Equal2~1 0xc0 4.32001e+06 0.25; - comb~0 0xc0 8.82612e+06 0.53125; - auk_dspip_differentiator:differentiate_stages[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 7.06288e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 7.06288e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 5.62287e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 7.06288e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~feeder 0xc0 7.68002e+06 0.5; - dout[0] 0xc0 7.68002e+06 0.5; - dout[0]~85 0xc0 7.68002e+06 0.5; - dout[0]~86 0xc0 4.32001e+06 0.75; - dout[1] 0xc0 7.68002e+06 0.5; - dout[1]~87 0xc0 6.48002e+06 0.5; - dout[1]~88 0xc0 3.57751e+06 0.375; - dout[2] 0xc0 7.68002e+06 0.5; - dout[2]~89 0xc0 5.48439e+06 0.5; - dout[2]~90 0xc0 4.2122e+06 0.5625; - dout[3] 0xc0 7.68002e+06 0.5; - dout[3]~91 0xc0 5.44056e+06 0.5; - dout[3]~92 0xc0 5.69779e+06 0.46875; - dout[4] 0xc0 7.68002e+06 0.5; - dout[4]~93 0xc0 5.76133e+06 0.5; - dout[4]~94 0xc0 5.02005e+06 0.515625; - dout[5] 0xc0 7.68002e+06 0.5; - dout[5]~95 0xc0 5.57924e+06 0.5; - dout[5]~96 0xc0 5.32697e+06 0.492188; - dout[6] 0xc0 7.68002e+06 0.5; - dout[6]~97 0xc0 5.65281e+06 0.5; - dout[6]~98 0xc0 5.1595e+06 0.503906; - dout[7] 0xc0 7.68002e+06 0.5; - dout[7]~99 0xc0 5.61015e+06 0.5; - dout[7]~100 0xc0 5.23898e+06 0.498047; - dout[8] 0xc0 7.68002e+06 0.5; - dout[8]~101 0xc0 5.62982e+06 0.5; - dout[8]~102 0xc0 5.19808e+06 0.500977; - dout[9] 0xc0 7.68002e+06 0.5; - dout[9]~103 0xc0 5.61955e+06 0.5; - dout[9]~104 0xc0 5.21823e+06 0.499512; - dout[10] 0xc0 7.68002e+06 0.5; - dout[10]~105 0xc0 5.62457e+06 0.5; - dout[10]~106 0xc0 5.20808e+06 0.500244; - dout[11] 0xc0 7.68002e+06 0.5; - dout[11]~107 0xc0 5.62203e+06 0.5; - dout[11]~108 0xc0 5.21313e+06 0.499878; - dout[12] 0xc0 7.68002e+06 0.5; - dout[12]~109 0xc0 5.62329e+06 0.5; - dout[12]~110 0xc0 5.2106e+06 0.500061; - dout[13] 0xc0 7.68002e+06 0.5; - dout[13]~111 0xc0 5.62266e+06 0.5; - dout[13]~112 0xc0 5.21186e+06 0.499969; - dout[14] 0xc0 7.68002e+06 0.5; - dout[14]~113 0xc0 5.62298e+06 0.5; - dout[14]~114 0xc0 5.21123e+06 0.500015; - dout[15] 0xc0 7.68002e+06 0.5; - dout[15]~115 0xc0 5.62282e+06 0.5; - dout[15]~116 0xc0 5.21155e+06 0.499992; - dout[16] 0xc0 7.68002e+06 0.5; - dout[16]~117 0xc0 5.6229e+06 0.5; - dout[16]~118 0xc0 5.21139e+06 0.500004; - dout[17] 0xc0 7.68002e+06 0.5; - dout[17]~119 0xc0 5.62286e+06 0.5; - dout[17]~120 0xc0 5.21147e+06 0.499998; - dout[18] 0xc0 7.68002e+06 0.5; - dout[18]~121 0xc0 5.62288e+06 0.5; - dout[18]~122 0xc0 5.21143e+06 0.500001; - dout[19] 0xc0 7.68002e+06 0.5; - dout[19]~123 0xc0 5.62287e+06 0.5; - dout[19]~124 0xc0 5.21145e+06 0.5; - dout[20] 0xc0 7.68002e+06 0.5; - dout[20]~125 0xc0 5.62287e+06 0.5; - dout[20]~126 0xc0 5.21144e+06 0.5; - dout[21] 0xc0 7.68002e+06 0.5; - dout[21]~127 0xc0 5.62287e+06 0.5; - dout[21]~128 0xc0 5.21144e+06 0.5; - dout[22] 0xc0 7.68002e+06 0.5; - dout[22]~129 0xc0 5.62287e+06 0.5; - dout[22]~130 0xc0 5.21144e+06 0.5; - dout[23] 0xc0 7.68002e+06 0.5; - dout[23]~131 0xc0 5.62287e+06 0.5; - dout[23]~132 0xc0 5.21144e+06 0.5; - dout[24] 0xc0 7.68002e+06 0.5; - dout[24]~133 0xc0 5.62287e+06 0.5; - dout[24]~134 0xc0 5.21144e+06 0.5; - dout[25] 0xc0 7.68002e+06 0.5; - dout[25]~135 0xc0 5.62287e+06 0.5; - dout[25]~136 0xc0 5.21144e+06 0.5; - dout[26] 0xc0 7.68002e+06 0.5; - dout[26]~137 0xc0 5.62287e+06 0.5; - dout[26]~138 0xc0 5.21144e+06 0.5; - dout[27] 0xc0 7.68002e+06 0.5; - dout[27]~139 0xc0 5.62287e+06 0.5; - dout[27]~140 0xc0 5.21144e+06 0.5; - dout[28] 0xc0 7.68002e+06 0.5; - dout[28]~141 0xc0 5.62287e+06 0.5; - dout[28]~142 0xc0 5.21144e+06 0.5; - dout[29] 0xc0 7.68002e+06 0.5; - dout[29]~143 0xc0 5.62287e+06 0.5; - dout[29]~144 0xc0 5.21144e+06 0.5; - dout[30] 0xc0 7.68002e+06 0.5; - dout[30]~145 0xc0 5.62287e+06 0.5; - dout[30]~146 0xc0 5.21144e+06 0.5; - dout[31] 0xc0 7.68002e+06 0.5; - dout[31]~147 0xc0 5.62287e+06 0.5; - dout[31]~148 0xc0 5.21144e+06 0.5; - dout[32] 0xc0 7.68002e+06 0.5; - dout[32]~149 0xc0 5.62287e+06 0.5; - dout[32]~150 0xc0 5.21144e+06 0.5; - dout[33] 0xc0 7.68002e+06 0.5; - dout[33]~151 0xc0 5.62287e+06 0.5; - dout[33]~152 0xc0 5.21144e+06 0.5; - dout[34] 0xc0 7.68002e+06 0.5; - dout[34]~153 0xc0 5.62287e+06 0.5; - dout[34]~154 0xc0 5.21144e+06 0.5; - dout[35] 0xc0 7.68002e+06 0.5; - dout[35]~155 0xc0 5.62287e+06 0.5; - dout[35]~156 0xc0 5.21144e+06 0.5; - dout[36] 0xc0 7.68002e+06 0.5; - dout[36]~157 0xc0 5.62287e+06 0.5; - dout[36]~158 0xc0 5.21144e+06 0.5; - dout[37] 0xc0 7.68002e+06 0.5; - dout[37]~159 0xc0 5.62287e+06 0.5; - dout[37]~160 0xc0 5.21144e+06 0.5; - dout[38] 0xc0 7.68002e+06 0.5; - dout[38]~161 0xc0 5.62287e+06 0.5; - dout[38]~162 0xc0 5.21144e+06 0.5; - dout[39] 0xc0 7.68002e+06 0.5; - dout[39]~163 0xc0 5.62287e+06 0.5; - dout[39]~164 0xc0 5.21144e+06 0.5; - dout[40] 0xc0 7.68002e+06 0.5; - dout[40]~165 0xc0 5.62287e+06 0.5; - dout[40]~166 0xc0 5.21144e+06 0.5; - dout[41] 0xc0 7.68002e+06 0.5; - dout[41]~167 0xc0 5.62287e+06 0.5; - dout[41]~168 0xc0 5.21144e+06 0.5; - dout[42] 0xc0 7.68002e+06 0.5; - dout[42]~169 0xc0 5.62287e+06 0.5; - dout[42]~170 0xc0 5.21144e+06 0.5; - dout[43] 0xc0 7.68002e+06 0.5; - dout[43]~171 0xc0 5.62287e+06 0.5; - dout[43]~172 0xc0 5.21144e+06 0.5; - dout[44] 0xc0 7.68002e+06 0.5; - dout[44]~173 0xc0 5.62287e+06 0.5; - dout[44]~174 0xc0 5.21144e+06 0.5; - dout[45] 0xc0 7.68002e+06 0.5; - dout[45]~175 0xc0 5.62287e+06 0.5; - dout[45]~176 0xc0 5.21144e+06 0.5; - dout[46] 0xc0 7.68002e+06 0.5; - dout[46]~177 0xc0 5.62287e+06 0.5; - dout[46]~178 0xc0 5.21144e+06 0.5; - dout[47] 0xc0 7.68002e+06 0.5; - dout[47]~179 0xc0 5.62287e+06 0.5; - dout[47]~180 0xc0 5.21144e+06 0.5; - dout[48] 0xc0 7.68002e+06 0.5; - dout[48]~181 0xc0 5.62287e+06 0.5; - dout[48]~182 0xc0 5.21144e+06 0.5; - dout[49] 0xc0 7.68002e+06 0.5; - dout[49]~183 0xc0 5.62287e+06 0.5; - dout[49]~184 0xc0 5.21144e+06 0.5; - dout[50] 0xc0 7.68002e+06 0.5; - dout[50]~185 0xc0 5.62287e+06 0.5; - dout[50]~186 0xc0 5.21144e+06 0.5; - dout[51] 0xc0 7.68002e+06 0.5; - dout[51]~187 0xc0 5.62287e+06 0.5; - dout[51]~188 0xc0 5.21144e+06 0.5; - dout[52] 0xc0 7.68002e+06 0.5; - dout[52]~189 0xc0 5.62287e+06 0.5; - dout[52]~190 0xc0 5.21144e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~191 0xc0 5.62287e+06 0.5; - dout[53]~192 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~194 0xc0 5.62287e+06 0.5; - dout[54]~195 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~196 0xc0 5.62287e+06 0.5; - dout[55]~197 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~198 0xc0 5.62287e+06 0.5; - dout[56]~199 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~200 0xc0 5.62287e+06 0.5; - dout[57]~201 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~202 0xc0 5.62287e+06 0.5; - dout[58]~203 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~204 0xc0 5.62287e+06 0.5; - dout[59]~205 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~206 0xc0 5.62287e+06 0.5; - dout[60]~207 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~208 0xc0 5.62287e+06 0.5; - dout[61]~209 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~210 0xc0 5.62287e+06 0.5; - dout[62]~211 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~212 0xc0 5.62287e+06 0.5; - dout[63]~213 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~214 0xc0 5.62287e+06 0.5; - dout[64]~215 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~216 0xc0 5.62287e+06 0.5; - dout[65]~217 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~218 0xc0 5.62287e+06 0.5; - dout[66]~219 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~220 0xc0 5.62287e+06 0.5; - dout[67]~221 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~222 0xc0 5.62287e+06 0.5; - dout[68]~223 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~224 0xc0 5.62287e+06 0.5; - dout[69]~225 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~226 0xc0 5.62287e+06 0.5; - dout[70]~227 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~228 0xc0 5.62287e+06 0.5; - dout[71]~229 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~230 0xc0 5.62287e+06 0.5; - dout[72]~231 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~232 0xc0 5.62287e+06 0.5; - dout[73]~233 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~234 0xc0 5.62287e+06 0.5; - dout[74]~235 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~236 0xc0 5.62287e+06 0.5; - dout[75]~237 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~238 0xc0 5.62287e+06 0.5; - dout[76]~239 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~240 0xc0 5.62287e+06 0.5; - dout[77]~241 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~242 0xc0 5.62287e+06 0.5; - dout[78]~243 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~244 0xc0 5.62287e+06 0.5; - dout[79]~245 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~246 0xc0 5.62287e+06 0.5; - dout[80]~247 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~248 0xc0 5.62287e+06 0.5; - dout[81]~249 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~250 0xc0 5.62287e+06 0.5; - dout[82]~251 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~252 0xc0 5.62287e+06 0.5; - dout[83]~253 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~254 0xc0 5.62287e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.75; - dout_valid~1 0xc0 4.32001e+06 0.25; - dout~193 0xc0 3.33001e+06 0.625; - dout~256 0xc0 5.76001e+06 0.25; - auk_dspip_differentiator:differentiate_stages[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~feeder 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - dout[53] 0xc0 7.68002e+06 0.5; - dout[53]~33 0xc0 4.32001e+06 0.75; - dout[53]~35 0xc0 3.57751e+06 0.375; - dout[53]~37 0xc0 4.2122e+06 0.5625; - dout[53]~39 0xc0 5.69779e+06 0.46875; - dout[53]~41 0xc0 5.02005e+06 0.515625; - dout[53]~43 0xc0 5.32697e+06 0.492188; - dout[53]~45 0xc0 5.1595e+06 0.503906; - dout[53]~47 0xc0 5.23898e+06 0.498047; - dout[53]~49 0xc0 5.19808e+06 0.500977; - dout[53]~51 0xc0 5.21823e+06 0.499512; - dout[53]~53 0xc0 5.20808e+06 0.500244; - dout[53]~55 0xc0 5.21313e+06 0.499878; - dout[53]~57 0xc0 5.2106e+06 0.500061; - dout[53]~59 0xc0 5.21186e+06 0.499969; - dout[53]~61 0xc0 5.21123e+06 0.500015; - dout[53]~63 0xc0 5.21155e+06 0.499992; - dout[53]~65 0xc0 5.21139e+06 0.500004; - dout[53]~67 0xc0 5.21147e+06 0.499998; - dout[53]~69 0xc0 5.21143e+06 0.500001; - dout[53]~71 0xc0 5.21145e+06 0.5; - dout[53]~73 0xc0 5.21144e+06 0.5; - dout[53]~75 0xc0 5.21144e+06 0.5; - dout[53]~77 0xc0 5.21144e+06 0.5; - dout[53]~79 0xc0 5.21144e+06 0.5; - dout[53]~81 0xc0 5.21144e+06 0.5; - dout[53]~83 0xc0 5.21144e+06 0.5; - dout[53]~85 0xc0 5.21144e+06 0.5; - dout[53]~87 0xc0 5.21144e+06 0.5; - dout[53]~89 0xc0 5.21144e+06 0.5; - dout[53]~91 0xc0 5.21144e+06 0.5; - dout[53]~93 0xc0 5.21144e+06 0.5; - dout[53]~95 0xc0 5.21144e+06 0.5; - dout[53]~97 0xc0 5.21144e+06 0.5; - dout[53]~99 0xc0 5.21144e+06 0.5; - dout[53]~101 0xc0 5.21144e+06 0.5; - dout[53]~103 0xc0 5.21144e+06 0.5; - dout[53]~105 0xc0 5.21144e+06 0.5; - dout[53]~107 0xc0 5.21144e+06 0.5; - dout[53]~109 0xc0 5.21144e+06 0.5; - dout[53]~111 0xc0 5.21144e+06 0.5; - dout[53]~113 0xc0 5.21144e+06 0.5; - dout[53]~115 0xc0 5.21144e+06 0.5; - dout[53]~117 0xc0 5.21144e+06 0.5; - dout[53]~119 0xc0 5.21144e+06 0.5; - dout[53]~121 0xc0 5.21144e+06 0.5; - dout[53]~123 0xc0 5.21144e+06 0.5; - dout[53]~125 0xc0 5.21144e+06 0.5; - dout[53]~127 0xc0 5.21144e+06 0.5; - dout[53]~129 0xc0 5.21144e+06 0.5; - dout[53]~131 0xc0 5.21144e+06 0.5; - dout[53]~133 0xc0 5.21144e+06 0.5; - dout[53]~135 0xc0 5.21144e+06 0.5; - dout[53]~137 0xc0 5.21144e+06 0.5; - dout[53]~138 0xc0 5.62287e+06 0.5; - dout[53]~139 0xc0 5.21144e+06 0.5; - dout[54] 0xc0 7.68002e+06 0.5; - dout[54]~141 0xc0 5.62287e+06 0.5; - dout[54]~142 0xc0 5.21144e+06 0.5; - dout[55] 0xc0 7.68002e+06 0.5; - dout[55]~143 0xc0 5.62287e+06 0.5; - dout[55]~144 0xc0 5.21144e+06 0.5; - dout[56] 0xc0 7.68002e+06 0.5; - dout[56]~145 0xc0 5.62287e+06 0.5; - dout[56]~146 0xc0 5.21144e+06 0.5; - dout[57] 0xc0 7.68002e+06 0.5; - dout[57]~147 0xc0 5.62287e+06 0.5; - dout[57]~148 0xc0 5.21144e+06 0.5; - dout[58] 0xc0 7.68002e+06 0.5; - dout[58]~149 0xc0 5.62287e+06 0.5; - dout[58]~150 0xc0 5.21144e+06 0.5; - dout[59] 0xc0 7.68002e+06 0.5; - dout[59]~151 0xc0 5.62287e+06 0.5; - dout[59]~152 0xc0 5.21144e+06 0.5; - dout[60] 0xc0 7.68002e+06 0.5; - dout[60]~153 0xc0 5.62287e+06 0.5; - dout[60]~154 0xc0 5.21144e+06 0.5; - dout[61] 0xc0 7.68002e+06 0.5; - dout[61]~155 0xc0 5.62287e+06 0.5; - dout[61]~156 0xc0 5.21144e+06 0.5; - dout[62] 0xc0 7.68002e+06 0.5; - dout[62]~157 0xc0 5.62287e+06 0.5; - dout[62]~158 0xc0 5.21144e+06 0.5; - dout[63] 0xc0 7.68002e+06 0.5; - dout[63]~159 0xc0 5.62287e+06 0.5; - dout[63]~160 0xc0 5.21144e+06 0.5; - dout[64] 0xc0 7.68002e+06 0.5; - dout[64]~161 0xc0 5.62287e+06 0.5; - dout[64]~162 0xc0 5.21144e+06 0.5; - dout[65] 0xc0 7.68002e+06 0.5; - dout[65]~163 0xc0 5.62287e+06 0.5; - dout[65]~164 0xc0 5.21144e+06 0.5; - dout[66] 0xc0 7.68002e+06 0.5; - dout[66]~165 0xc0 5.62287e+06 0.5; - dout[66]~166 0xc0 5.21144e+06 0.5; - dout[67] 0xc0 7.68002e+06 0.5; - dout[67]~167 0xc0 5.62287e+06 0.5; - dout[67]~168 0xc0 5.21144e+06 0.5; - dout[68] 0xc0 7.68002e+06 0.5; - dout[68]~169 0xc0 5.62287e+06 0.5; - dout[68]~170 0xc0 5.21144e+06 0.5; - dout[69] 0xc0 7.68002e+06 0.5; - dout[69]~171 0xc0 5.62287e+06 0.5; - dout[69]~172 0xc0 5.21144e+06 0.5; - dout[70] 0xc0 7.68002e+06 0.5; - dout[70]~173 0xc0 5.62287e+06 0.5; - dout[70]~174 0xc0 5.21144e+06 0.5; - dout[71] 0xc0 7.68002e+06 0.5; - dout[71]~175 0xc0 5.62287e+06 0.5; - dout[71]~176 0xc0 5.21144e+06 0.5; - dout[72] 0xc0 7.68002e+06 0.5; - dout[72]~177 0xc0 5.62287e+06 0.5; - dout[72]~178 0xc0 5.21144e+06 0.5; - dout[73] 0xc0 7.68002e+06 0.5; - dout[73]~179 0xc0 5.62287e+06 0.5; - dout[73]~180 0xc0 5.21144e+06 0.5; - dout[74] 0xc0 7.68002e+06 0.5; - dout[74]~181 0xc0 5.62287e+06 0.5; - dout[74]~182 0xc0 5.21144e+06 0.5; - dout[75] 0xc0 7.68002e+06 0.5; - dout[75]~183 0xc0 5.62287e+06 0.5; - dout[75]~184 0xc0 5.21144e+06 0.5; - dout[76] 0xc0 7.68002e+06 0.5; - dout[76]~185 0xc0 5.62287e+06 0.5; - dout[76]~186 0xc0 5.21144e+06 0.5; - dout[77] 0xc0 7.68002e+06 0.5; - dout[77]~187 0xc0 5.62287e+06 0.5; - dout[77]~188 0xc0 5.21144e+06 0.5; - dout[78] 0xc0 7.68002e+06 0.5; - dout[78]~189 0xc0 5.62287e+06 0.5; - dout[78]~190 0xc0 5.21144e+06 0.5; - dout[79] 0xc0 7.68002e+06 0.5; - dout[79]~191 0xc0 5.62287e+06 0.5; - dout[79]~192 0xc0 5.21144e+06 0.5; - dout[80] 0xc0 7.68002e+06 0.5; - dout[80]~193 0xc0 5.62287e+06 0.5; - dout[80]~194 0xc0 5.21144e+06 0.5; - dout[81] 0xc0 7.68002e+06 0.5; - dout[81]~195 0xc0 5.62287e+06 0.5; - dout[81]~196 0xc0 5.21144e+06 0.5; - dout[82] 0xc0 7.68002e+06 0.5; - dout[82]~197 0xc0 5.62287e+06 0.5; - dout[82]~198 0xc0 5.21144e+06 0.5; - dout[83] 0xc0 7.68002e+06 0.5; - dout[83]~199 0xc0 5.62287e+06 0.5; - dout[83]~200 0xc0 5.21144e+06 0.5; - dout[84] 0xc0 7.68002e+06 0.5; - dout[84]~201 0xc0 7.06288e+06 0.5; - dout_valid 0xc0 7.68002e+06 0.5; - dout_valid~0 0xc0 4.32001e+06 0.25; - dout~140 0xc0 3.33001e+06 0.625; - dout~203 0xc0 5.76001e+06 0.25; - ena_diff_s[0] 0xc0 7.68002e+06 0.5; - ena_diff_s[1] 0xc0 7.68002e+06 0.5; - ena_diff_s[1]~feeder 0xc0 5.76001e+06 0.25; - ena_diff_s~0 0xc0 1.10374e+07 0.375076; - fifo_rdreq 0xc0 7.68002e+06 0.5; - fifo_rdreq~0 0xc0 5.76001e+06 0.25; - auk_dspip_channel_buffer:fifo_regulator; - scfifo:buffer_FIFO; - scfifo_pm51:auto_generated; - a_dpfifo_4ku:dpfifo; - _~0 0xc0 1.4247e+06 0.125046; - _~1 0xc0 4.58894e+06 0.0624962; - _~2 0xc0 5.76001e+06 0.25; - _~3 0xc0 8.42424e+06 0.437513; - empty_dff 0xc0 7.68002e+06 0.5; - empty_dff~0 0xc0 3.67585e+06 0.271499; - empty_dff~1 0xc0 36.5972 5.55505e-05; - empty_dff~2 0xc0 2.65553e+06 0.328636; - empty_dff~3 0xc0 3.51655e+06 0.263684; - altsyncram_j7h1:FIFOram; - altsyncram:ram_block1a0; - altsyncram_lci3:auto_generated; - decode_msa:address_decoder; - w_anode19w[2]~0 0xc0 2.28001e+06 0.125; - w_anode32w[2]~0 0xc0 1.83e+06 0.125; - w_anode40w[2]~0 0xc0 1.83e+06 0.125; - w_anode48w[2]~0 0xc0 1.83e+06 0.125; - address_reg[0] 0xc0 7.68002e+06 0.5; - address_reg[0]~feeder 0xc0 7.68002e+06 0.5; - address_reg[1] 0xc0 7.68002e+06 0.5; - address_reg[1]~feeder 0xc0 7.68002e+06 0.5; - data_reg[0] 0xc0 7.68002e+06 0.5; - data_reg[0]~feeder 0xc0 7.68002e+06 0.5; - data_reg[1] 0xc0 7.68002e+06 0.5; - data_reg[2] 0xc0 7.68002e+06 0.5; - data_reg[2]~feeder 0xc0 7.68002e+06 0.5; - data_reg[3] 0xc0 7.68002e+06 0.5; - data_reg[3]~feeder 0xc0 7.68002e+06 0.5; - data_reg[4] 0xc0 7.68002e+06 0.5; - data_reg[4]~feeder 0xc0 7.68002e+06 0.5; - data_reg[5] 0xc0 7.68002e+06 0.5; - data_reg[6] 0xc0 7.68002e+06 0.5; - data_reg[6]~feeder 0xc0 7.68002e+06 0.5; - data_reg[7] 0xc0 7.68002e+06 0.5; - data_reg[7]~feeder 0xc0 7.68002e+06 0.5; - data_reg[8] 0xc0 7.68002e+06 0.5; - data_reg[8]~feeder 0xc0 7.68002e+06 0.5; - data_reg[9] 0xc0 7.68002e+06 0.5; - data_reg[9]~feeder 0xc0 7.68002e+06 0.5; - data_reg[10] 0xc0 7.68002e+06 0.5; - data_reg[11] 0xc0 7.68002e+06 0.5; - data_reg[11]~feeder 0xc0 7.68002e+06 0.5; - data_reg[12] 0xc0 7.68002e+06 0.5; - data_reg[13] 0xc0 7.68002e+06 0.5; - data_reg[14] 0xc0 7.68002e+06 0.5; - data_reg[14]~feeder 0xc0 7.68002e+06 0.5; - data_reg[15] 0xc0 7.68002e+06 0.5; - data_reg[16] 0xc0 7.68002e+06 0.5; - data_reg[16]~feeder 0xc0 7.68002e+06 0.5; - data_reg[17] 0xc0 7.68002e+06 0.5; - data_reg[17]~feeder 0xc0 7.68002e+06 0.5; - data_reg[18] 0xc0 7.68002e+06 0.5; - data_reg[19] 0xc0 7.68002e+06 0.5; - data_reg[19]~feeder 0xc0 7.68002e+06 0.5; - data_reg[20] 0xc0 7.68002e+06 0.5; - data_reg[20]~feeder 0xc0 7.68002e+06 0.5; - data_reg[21] 0xc0 7.68002e+06 0.5; - data_reg[21]~feeder 0xc0 7.68002e+06 0.5; - data_reg[22] 0xc0 7.68002e+06 0.5; - data_reg[23] 0xc0 7.68002e+06 0.5; - data_reg[23]~feeder 0xc0 7.68002e+06 0.5; - data_reg[24] 0xc0 7.68002e+06 0.5; - data_reg[24]~feeder 0xc0 7.68002e+06 0.5; - data_reg[25] 0xc0 7.68002e+06 0.5; - data_reg[25]~feeder 0xc0 7.68002e+06 0.5; - data_reg[26] 0xc0 7.68002e+06 0.5; - data_reg[26]~feeder 0xc0 7.68002e+06 0.5; - data_reg[27] 0xc0 7.68002e+06 0.5; - data_reg[28] 0xc0 7.68002e+06 0.5; - data_reg[28]~feeder 0xc0 7.68002e+06 0.5; - data_reg[29] 0xc0 7.68002e+06 0.5; - data_reg[29]~feeder 0xc0 7.68002e+06 0.5; - data_reg[30] 0xc0 7.68002e+06 0.5; - data_reg[30]~feeder 0xc0 7.68002e+06 0.5; - data_reg[31] 0xc0 7.68002e+06 0.5; - data_reg[31]~feeder 0xc0 7.68002e+06 0.5; - data_reg[32] 0xc0 7.68002e+06 0.5; - data_reg[32]~feeder 0xc0 7.68002e+06 0.5; - data_reg[33] 0xc0 7.68002e+06 0.5; - data_reg[33]~feeder 0xc0 7.68002e+06 0.5; - data_reg[34] 0xc0 7.68002e+06 0.5; - data_reg[35] 0xc0 7.68002e+06 0.5; - data_reg[35]~feeder 0xc0 7.68002e+06 0.5; - data_reg[36] 0xc0 7.68002e+06 0.5; - data_reg[37] 0xc0 7.68002e+06 0.5; - data_reg[37]~feeder 0xc0 7.68002e+06 0.5; - data_reg[38] 0xc0 7.68002e+06 0.5; - data_reg[38]~feeder 0xc0 7.68002e+06 0.5; - data_reg[39] 0xc0 7.68002e+06 0.5; - data_reg[39]~feeder 0xc0 7.68002e+06 0.5; - data_reg[40] 0xc0 7.68002e+06 0.5; - data_reg[40]~feeder 0xc0 7.68002e+06 0.5; - data_reg[41] 0xc0 7.68002e+06 0.5; - data_reg[41]~feeder 0xc0 7.68002e+06 0.5; - data_reg[42] 0xc0 7.68002e+06 0.5; - data_reg[42]~feeder 0xc0 7.68002e+06 0.5; - data_reg[43] 0xc0 7.68002e+06 0.5; - data_reg[43]~feeder 0xc0 7.68002e+06 0.5; - data_reg[44] 0xc0 7.68002e+06 0.5; - data_reg[44]~feeder 0xc0 7.68002e+06 0.5; - data_reg[45] 0xc0 7.68002e+06 0.5; - data_reg[46] 0xc0 7.68002e+06 0.5; - data_reg[46]~feeder 0xc0 7.68002e+06 0.5; - data_reg[47] 0xc0 7.68002e+06 0.5; - data_reg[47]~feeder 0xc0 7.68002e+06 0.5; - data_reg[48] 0xc0 7.68002e+06 0.5; - data_reg[48]~feeder 0xc0 7.68002e+06 0.5; - data_reg[49] 0xc0 7.68002e+06 0.5; - data_reg[49]~feeder 0xc0 7.68002e+06 0.5; - data_reg[50] 0xc0 7.68002e+06 0.5; - data_reg[51] 0xc0 7.68002e+06 0.5; - data_reg[51]~feeder 0xc0 7.68002e+06 0.5; - data_reg[52] 0xc0 7.68002e+06 0.5; - data_reg[52]~feeder 0xc0 7.68002e+06 0.5; - data_reg[53] 0xc0 7.68002e+06 0.5; - data_reg[53]~feeder 0xc0 7.68002e+06 0.5; - data_reg[54] 0xc0 7.68002e+06 0.5; - data_reg[55] 0xc0 7.68002e+06 0.5; - data_reg[55]~feeder 0xc0 7.68002e+06 0.5; - data_reg[56] 0xc0 7.68002e+06 0.5; - data_reg[56]~feeder 0xc0 7.68002e+06 0.5; - data_reg[57] 0xc0 7.68002e+06 0.5; - data_reg[58] 0xc0 7.68002e+06 0.5; - data_reg[59] 0xc0 7.68002e+06 0.5; - data_reg[60] 0xc0 7.68002e+06 0.5; - data_reg[60]~feeder 0xc0 7.68002e+06 0.5; - data_reg[61] 0xc0 7.68002e+06 0.5; - data_reg[61]~feeder 0xc0 7.68002e+06 0.5; - data_reg[62] 0xc0 7.68002e+06 0.5; - data_reg[62]~feeder 0xc0 7.68002e+06 0.5; - data_reg[63] 0xc0 7.68002e+06 0.5; - data_reg[64] 0xc0 7.68002e+06 0.5; - data_reg[65] 0xc0 7.68002e+06 0.5; - data_reg[65]~feeder 0xc0 7.68002e+06 0.5; - data_reg[66] 0xc0 7.68002e+06 0.5; - data_reg[67] 0xc0 7.68002e+06 0.5; - data_reg[68] 0xc0 7.68002e+06 0.5; - data_reg[68]~feeder 0xc0 7.68002e+06 0.5; - data_reg[69] 0xc0 7.68002e+06 0.5; - data_reg[69]~feeder 0xc0 7.68002e+06 0.5; - data_reg[70] 0xc0 7.68002e+06 0.5; - data_reg[70]~feeder 0xc0 7.68002e+06 0.5; - data_reg[71] 0xc0 7.68002e+06 0.5; - data_reg[71]~feeder 0xc0 7.68002e+06 0.5; - data_reg[72] 0xc0 7.68002e+06 0.5; - data_reg[72]~feeder 0xc0 7.68002e+06 0.5; - data_reg[73] 0xc0 7.68002e+06 0.5; - data_reg[73]~feeder 0xc0 7.68002e+06 0.5; - data_reg[74] 0xc0 7.68002e+06 0.5; - data_reg[74]~feeder 0xc0 7.68002e+06 0.5; - data_reg[75] 0xc0 7.68002e+06 0.5; - data_reg[75]~feeder 0xc0 7.68002e+06 0.5; - data_reg[76] 0xc0 7.68002e+06 0.5; - data_reg[77] 0xc0 7.68002e+06 0.5; - data_reg[78] 0xc0 7.68002e+06 0.5; - data_reg[79] 0xc0 7.68002e+06 0.5; - data_reg[79]~feeder 0xc0 7.68002e+06 0.5; - data_reg[80] 0xc0 7.68002e+06 0.5; - data_reg[80]~feeder 0xc0 7.68002e+06 0.5; - data_reg[81] 0xc0 7.68002e+06 0.5; - data_reg[82] 0xc0 7.68002e+06 0.5; - data_reg[83] 0xc0 7.68002e+06 0.5; - data_reg[83]~feeder 0xc0 7.68002e+06 0.5; - data_reg[84] 0xc0 7.68002e+06 0.5; - data_reg[84]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[0] 0xc0 7.68002e+06 0.5; - outdata_reg[0]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[1] 0xc0 7.68002e+06 0.5; - outdata_reg[1]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[2] 0xc0 7.68002e+06 0.5; - outdata_reg[3] 0xc0 7.68002e+06 0.5; - outdata_reg[3]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[4] 0xc0 7.68002e+06 0.5; - outdata_reg[5] 0xc0 7.68002e+06 0.5; - outdata_reg[5]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[6] 0xc0 7.68002e+06 0.5; - outdata_reg[7] 0xc0 7.68002e+06 0.5; - outdata_reg[7]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[8] 0xc0 7.68002e+06 0.5; - outdata_reg[8]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[9] 0xc0 7.68002e+06 0.5; - outdata_reg[10] 0xc0 7.68002e+06 0.5; - outdata_reg[10]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[11] 0xc0 7.68002e+06 0.5; - outdata_reg[11]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[12] 0xc0 7.68002e+06 0.5; - outdata_reg[13] 0xc0 7.68002e+06 0.5; - outdata_reg[13]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[14] 0xc0 7.68002e+06 0.5; - outdata_reg[14]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[15] 0xc0 7.68002e+06 0.5; - outdata_reg[15]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[16] 0xc0 7.68002e+06 0.5; - outdata_reg[16]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[17] 0xc0 7.68002e+06 0.5; - outdata_reg[18] 0xc0 7.68002e+06 0.5; - outdata_reg[19] 0xc0 7.68002e+06 0.5; - outdata_reg[19]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[20] 0xc0 7.68002e+06 0.5; - outdata_reg[20]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[21] 0xc0 7.68002e+06 0.5; - outdata_reg[21]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[22] 0xc0 7.68002e+06 0.5; - outdata_reg[22]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[23] 0xc0 7.68002e+06 0.5; - outdata_reg[23]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[24] 0xc0 7.68002e+06 0.5; - outdata_reg[24]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[25] 0xc0 7.68002e+06 0.5; - outdata_reg[26] 0xc0 7.68002e+06 0.5; - outdata_reg[26]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[27] 0xc0 7.68002e+06 0.5; - outdata_reg[28] 0xc0 7.68002e+06 0.5; - outdata_reg[29] 0xc0 7.68002e+06 0.5; - outdata_reg[29]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[30] 0xc0 7.68002e+06 0.5; - outdata_reg[30]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[31] 0xc0 7.68002e+06 0.5; - outdata_reg[31]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[32] 0xc0 7.68002e+06 0.5; - outdata_reg[32]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[33] 0xc0 7.68002e+06 0.5; - outdata_reg[33]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[34] 0xc0 7.68002e+06 0.5; - outdata_reg[35] 0xc0 7.68002e+06 0.5; - outdata_reg[36] 0xc0 7.68002e+06 0.5; - outdata_reg[36]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[37] 0xc0 7.68002e+06 0.5; - outdata_reg[37]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[38] 0xc0 7.68002e+06 0.5; - outdata_reg[38]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[39] 0xc0 7.68002e+06 0.5; - outdata_reg[39]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[40] 0xc0 7.68002e+06 0.5; - outdata_reg[40]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[41] 0xc0 7.68002e+06 0.5; - outdata_reg[41]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[42] 0xc0 7.68002e+06 0.5; - outdata_reg[43] 0xc0 7.68002e+06 0.5; - outdata_reg[43]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[44] 0xc0 7.68002e+06 0.5; - outdata_reg[44]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[45] 0xc0 7.68002e+06 0.5; - outdata_reg[45]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[46] 0xc0 7.68002e+06 0.5; - outdata_reg[47] 0xc0 7.68002e+06 0.5; - outdata_reg[48] 0xc0 7.68002e+06 0.5; - outdata_reg[48]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[49] 0xc0 7.68002e+06 0.5; - outdata_reg[50] 0xc0 7.68002e+06 0.5; - outdata_reg[50]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[51] 0xc0 7.68002e+06 0.5; - outdata_reg[51]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[52] 0xc0 7.68002e+06 0.5; - outdata_reg[52]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[53] 0xc0 7.68002e+06 0.5; - outdata_reg[53]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[54] 0xc0 7.68002e+06 0.5; - outdata_reg[54]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[55] 0xc0 7.68002e+06 0.5; - outdata_reg[55]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[56] 0xc0 7.68002e+06 0.5; - outdata_reg[57] 0xc0 7.68002e+06 0.5; - outdata_reg[57]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[58] 0xc0 7.68002e+06 0.5; - outdata_reg[59] 0xc0 7.68002e+06 0.5; - outdata_reg[59]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[60] 0xc0 7.68002e+06 0.5; - outdata_reg[61] 0xc0 7.68002e+06 0.5; - outdata_reg[61]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[62] 0xc0 7.68002e+06 0.5; - outdata_reg[62]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[63] 0xc0 7.68002e+06 0.5; - outdata_reg[63]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[64] 0xc0 7.68002e+06 0.5; - outdata_reg[64]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[65] 0xc0 7.68002e+06 0.5; - outdata_reg[65]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[66] 0xc0 7.68002e+06 0.5; - outdata_reg[67] 0xc0 7.68002e+06 0.5; - outdata_reg[67]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[68] 0xc0 7.68002e+06 0.5; - outdata_reg[69] 0xc0 7.68002e+06 0.5; - outdata_reg[69]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[70] 0xc0 7.68002e+06 0.5; - outdata_reg[70]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[71] 0xc0 7.68002e+06 0.5; - outdata_reg[71]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[72] 0xc0 7.68002e+06 0.5; - outdata_reg[72]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[73] 0xc0 7.68002e+06 0.5; - outdata_reg[74] 0xc0 7.68002e+06 0.5; - outdata_reg[74]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[75] 0xc0 7.68002e+06 0.5; - outdata_reg[76] 0xc0 7.68002e+06 0.5; - outdata_reg[76]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[77] 0xc0 7.68002e+06 0.5; - outdata_reg[77]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[78] 0xc0 7.68002e+06 0.5; - outdata_reg[79] 0xc0 7.68002e+06 0.5; - outdata_reg[79]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[80] 0xc0 7.68002e+06 0.5; - outdata_reg[80]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[81] 0xc0 7.68002e+06 0.5; - outdata_reg[81]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[82] 0xc0 7.68002e+06 0.5; - outdata_reg[82]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[83] 0xc0 7.68002e+06 0.5; - outdata_reg[83]~feeder 0xc0 7.68002e+06 0.5; - outdata_reg[84] 0xc0 7.68002e+06 0.5; - outdata_reg[84]~feeder 0xc0 7.68002e+06 0.5; - mux_rob:output_mux; - result_node[0]~106 0xc0 4.5497e+06 0.5; - result_node[0]~107 0xc0 4.81865e+06 0.5; - result_node[1]~104 0xc0 4.98986e+06 0.5; - result_node[1]~105 0xc0 4.79773e+06 0.5; - result_node[2]~102 0xc0 4.84923e+06 0.5; - result_node[2]~103 0xc0 4.70984e+06 0.5; - result_node[3]~100 0xc0 5.06017e+06 0.5; - result_node[3]~101 0xc0 5.41019e+06 0.5; - result_node[4]~98 0xc0 4.69876e+06 0.5; - result_node[4]~99 0xc0 3.26858e+06 0.5; - result_node[5]~96 0xc0 4.84923e+06 0.5; - result_node[5]~97 0xc0 4.33472e+06 0.5; - result_node[6]~94 0xc0 5.06017e+06 0.5; - result_node[6]~95 0xc0 5.08214e+06 0.5; - result_node[7]~92 0xc0 4.40064e+06 0.5; - result_node[7]~93 0xc0 2.99391e+06 0.5; - result_node[8]~90 0xc0 4.69173e+06 0.5; - result_node[8]~91 0xc0 4.7943e+06 0.5; - result_node[9]~88 0xc0 5.06017e+06 0.5; - result_node[9]~89 0xc0 3.81035e+06 0.5; - result_node[10]~86 0xc0 4.98986e+06 0.5; - result_node[10]~87 0xc0 4.19248e+06 0.5; - result_node[11]~84 0xc0 5.06017e+06 0.5; - result_node[11]~85 0xc0 5.00084e+06 0.5; - result_node[12]~82 0xc0 4.40064e+06 0.5; - result_node[12]~83 0xc0 3.16088e+06 0.5; - result_node[13]~80 0xc0 4.69876e+06 0.5; - result_node[13]~81 0xc0 4.85626e+06 0.5; - result_node[14]~78 0xc0 4.84923e+06 0.5; - result_node[14]~79 0xc0 4.59088e+06 0.5; - result_node[15]~76 0xc0 4.69876e+06 0.5; - result_node[15]~77 0xc0 3.06669e+06 0.5; - result_node[16]~74 0xc0 5.06017e+06 0.5; - result_node[16]~75 0xc0 3.81035e+06 0.5; - result_node[17]~72 0xc0 4.69876e+06 0.5; - result_node[17]~73 0xc0 3.06669e+06 0.5; - result_node[18]~70 0xc0 5.06017e+06 0.5; - result_node[18]~71 0xc0 3.81035e+06 0.5; - result_node[19]~68 0xc0 4.5497e+06 0.5; - result_node[19]~69 0xc0 3.77897e+06 0.5; - result_node[20]~66 0xc0 4.84923e+06 0.5; - result_node[20]~67 0xc0 4.56737e+06 0.5; - result_node[21]~64 0xc0 4.98986e+06 0.5; - result_node[21]~65 0xc0 5.12625e+06 0.5; - result_node[22]~62 0xc0 5.06017e+06 0.5; - result_node[22]~63 0xc0 5.41019e+06 0.5; - result_node[23]~60 0xc0 4.69876e+06 0.5; - result_node[23]~61 0xc0 4.85626e+06 0.5; - result_node[24]~58 0xc0 4.84923e+06 0.5; - result_node[24]~59 0xc0 4.69446e+06 0.5; - result_node[25]~56 0xc0 4.40064e+06 0.5; - result_node[25]~57 0xc0 2.99391e+06 0.5; - result_node[26]~54 0xc0 4.25157e+06 0.5; - result_node[26]~55 0xc0 3.08039e+06 0.5; - result_node[27]~52 0xc0 4.40064e+06 0.5; - result_node[27]~53 0xc0 4.30853e+06 0.5; - result_node[28]~50 0xc0 4.69876e+06 0.5; - result_node[28]~51 0xc0 4.64743e+06 0.5; - result_node[29]~48 0xc0 4.69876e+06 0.5; - result_node[29]~49 0xc0 4.64743e+06 0.5; - result_node[30]~46 0xc0 4.25157e+06 0.5; - result_node[30]~47 0xc0 3.16208e+06 0.5; - result_node[31]~44 0xc0 4.25157e+06 0.5; - result_node[31]~45 0xc0 4.51349e+06 0.5; - result_node[32]~42 0xc0 4.69876e+06 0.5; - result_node[32]~43 0xc0 4.61579e+06 0.5; - result_node[33]~40 0xc0 4.25157e+06 0.5; - result_node[33]~41 0xc0 4.51349e+06 0.5; - result_node[34]~38 0xc0 4.40064e+06 0.5; - result_node[34]~39 0xc0 4.55427e+06 0.5; - result_node[35]~36 0xc0 4.25157e+06 0.5; - result_node[35]~37 0xc0 3.46102e+06 0.5; - result_node[36]~34 0xc0 4.69876e+06 0.5; - result_node[36]~35 0xc0 4.64743e+06 0.5; - result_node[37]~32 0xc0 4.25157e+06 0.5; - result_node[37]~33 0xc0 3.16208e+06 0.5; - result_node[38]~30 0xc0 4.40064e+06 0.5; - result_node[38]~31 0xc0 2.8887e+06 0.5; - result_node[39]~28 0xc0 4.60736e+06 0.5; - result_node[39]~29 0xc0 4.43773e+06 0.5; - result_node[40]~26 0xc0 4.5497e+06 0.5; - result_node[40]~27 0xc0 4.09887e+06 0.5; - result_node[41]~24 0xc0 4.40064e+06 0.5; - result_node[41]~25 0xc0 2.8887e+06 0.5; - result_node[42]~22 0xc0 4.69876e+06 0.5; - result_node[42]~23 0xc0 4.61579e+06 0.5; - result_node[43]~20 0xc0 4.69876e+06 0.5; - result_node[43]~21 0xc0 4.64743e+06 0.5; - result_node[44]~18 0xc0 4.60736e+06 0.5; - result_node[44]~19 0xc0 4.28014e+06 0.5; - result_node[45]~16 0xc0 4.25157e+06 0.5; - result_node[45]~17 0xc0 4.51349e+06 0.5; - result_node[46]~14 0xc0 4.25157e+06 0.5; - result_node[46]~15 0xc0 3.08039e+06 0.5; - result_node[47]~12 0xc0 4.69876e+06 0.5; - result_node[47]~13 0xc0 3.20455e+06 0.5; - result_node[48]~10 0xc0 4.69173e+06 0.5; - result_node[48]~11 0xc0 4.30376e+06 0.5; - result_node[49]~8 0xc0 4.69876e+06 0.5; - result_node[49]~9 0xc0 4.27829e+06 0.5; - result_node[50]~6 0xc0 4.84923e+06 0.5; - result_node[50]~7 0xc0 4.70984e+06 0.5; - result_node[51]~4 0xc0 4.69173e+06 0.5; - result_node[51]~5 0xc0 4.78859e+06 0.5; - result_node[52]~2 0xc0 4.69173e+06 0.5; - result_node[52]~3 0xc0 4.58392e+06 0.5; - result_node[53]~0 0xc0 4.25157e+06 0.5; - result_node[53]~1 0xc0 3.08039e+06 0.5; - result_node[54]~108 0xc0 4.25157e+06 0.5; - result_node[54]~109 0xc0 3.46102e+06 0.5; - result_node[55]~110 0xc0 4.40064e+06 0.5; - result_node[55]~111 0xc0 4.42946e+06 0.5; - result_node[56]~112 0xc0 4.98986e+06 0.5; - result_node[56]~113 0xc0 5.12625e+06 0.5; - result_node[57]~114 0xc0 4.69876e+06 0.5; - result_node[57]~115 0xc0 4.8879e+06 0.5; - result_node[58]~116 0xc0 4.40064e+06 0.5; - result_node[58]~117 0xc0 2.9584e+06 0.5; - result_node[59]~118 0xc0 4.98986e+06 0.5; - result_node[59]~119 0xc0 5.39921e+06 0.5; - result_node[60]~120 0xc0 4.60736e+06 0.5; - result_node[60]~121 0xc0 5.33944e+06 0.5; - result_node[61]~122 0xc0 4.98986e+06 0.5; - result_node[61]~123 0xc0 5.39921e+06 0.5; - result_node[62]~124 0xc0 4.5497e+06 0.5; - result_node[62]~125 0xc0 3.10483e+06 0.5; - result_node[63]~126 0xc0 4.98986e+06 0.5; - result_node[63]~127 0xc0 5.12625e+06 0.5; - result_node[64]~128 0xc0 4.5497e+06 0.5; - result_node[64]~129 0xc0 4.09887e+06 0.5; - result_node[65]~130 0xc0 4.84923e+06 0.5; - result_node[65]~131 0xc0 4.69446e+06 0.5; - result_node[66]~132 0xc0 4.84923e+06 0.5; - result_node[66]~133 0xc0 4.5889e+06 0.5; - result_node[67]~134 0xc0 4.98986e+06 0.5; - result_node[67]~135 0xc0 5.39921e+06 0.5; - result_node[68]~136 0xc0 4.5497e+06 0.5; - result_node[68]~137 0xc0 3.53148e+06 0.5; - result_node[69]~138 0xc0 4.38939e+06 0.5; - result_node[69]~139 0xc0 5.37992e+06 0.5; - result_node[70]~140 0xc0 5.06017e+06 0.5; - result_node[70]~141 0xc0 4.84167e+06 0.5; - result_node[71]~142 0xc0 4.25157e+06 0.5; - result_node[71]~143 0xc0 3.08039e+06 0.5; - result_node[72]~144 0xc0 4.69876e+06 0.5; - result_node[72]~145 0xc0 3.01255e+06 0.5; - result_node[73]~146 0xc0 4.69173e+06 0.5; - result_node[73]~147 0xc0 4.30376e+06 0.5; - result_node[74]~148 0xc0 5.03556e+06 0.5; - result_node[74]~149 0xc0 5.48022e+06 0.5; - result_node[75]~150 0xc0 4.84923e+06 0.5; - result_node[75]~151 0xc0 4.40439e+06 0.5; - result_node[76]~152 0xc0 4.69173e+06 0.5; - result_node[76]~153 0xc0 4.58392e+06 0.5; - result_node[77]~154 0xc0 4.69173e+06 0.5; - result_node[77]~155 0xc0 4.7943e+06 0.5; - result_node[78]~156 0xc0 4.84923e+06 0.5; - result_node[78]~157 0xc0 4.70984e+06 0.5; - result_node[79]~158 0xc0 4.69876e+06 0.5; - result_node[79]~159 0xc0 3.01255e+06 0.5; - result_node[80]~160 0xc0 4.69173e+06 0.5; - result_node[80]~161 0xc0 4.76046e+06 0.5; - result_node[81]~162 0xc0 4.84923e+06 0.5; - result_node[81]~163 0xc0 5.31369e+06 0.5; - result_node[82]~164 0xc0 4.84923e+06 0.5; - result_node[82]~165 0xc0 4.70984e+06 0.5; - result_node[83]~166 0xc0 4.38939e+06 0.5; - result_node[83]~167 0xc0 4.54939e+06 0.5; - result_node[84]~168 0xc0 4.84923e+06 0.5; - result_node[84]~169 0xc0 5.31369e+06 0.5; - ram_block[0] 0xc0 7.68002e+06 0.5; - ram_block[1] 0xc0 7.68002e+06 0.5; - ram_block[1]~feeder 0xc0 7.68002e+06 0.5; - ram_block[2] 0xc0 7.68002e+06 0.5; - ram_block[3] 0xc0 7.68002e+06 0.5; - ram_block[3]~feeder 0xc0 7.68002e+06 0.5; - ram_block[4] 0xc0 7.68002e+06 0.5; - ram_block[4]~feeder 0xc0 7.68002e+06 0.5; - ram_block[5] 0xc0 7.68002e+06 0.5; - ram_block[5]~feeder 0xc0 7.68002e+06 0.5; - ram_block[6] 0xc0 7.68002e+06 0.5; - ram_block[7] 0xc0 7.68002e+06 0.5; - ram_block[7]~feeder 0xc0 7.68002e+06 0.5; - ram_block[8] 0xc0 7.68002e+06 0.5; - ram_block[9] 0xc0 7.68002e+06 0.5; - ram_block[9]~feeder 0xc0 7.68002e+06 0.5; - ram_block[10] 0xc0 7.68002e+06 0.5; - ram_block[10]~feeder 0xc0 7.68002e+06 0.5; - ram_block[11] 0xc0 7.68002e+06 0.5; - ram_block[11]~feeder 0xc0 7.68002e+06 0.5; - ram_block[12] 0xc0 7.68002e+06 0.5; - ram_block[13] 0xc0 7.68002e+06 0.5; - ram_block[14] 0xc0 7.68002e+06 0.5; - ram_block[15] 0xc0 7.68002e+06 0.5; - ram_block[15]~feeder 0xc0 7.68002e+06 0.5; - ram_block[16] 0xc0 7.68002e+06 0.5; - ram_block[16]~feeder 0xc0 7.68002e+06 0.5; - ram_block[17] 0xc0 7.68002e+06 0.5; - ram_block[17]~feeder 0xc0 7.68002e+06 0.5; - ram_block[18] 0xc0 7.68002e+06 0.5; - ram_block[18]~feeder 0xc0 7.68002e+06 0.5; - ram_block[19] 0xc0 7.68002e+06 0.5; - ram_block[20] 0xc0 7.68002e+06 0.5; - ram_block[21] 0xc0 7.68002e+06 0.5; - ram_block[21]~feeder 0xc0 7.68002e+06 0.5; - ram_block[22] 0xc0 7.68002e+06 0.5; - ram_block[22]~feeder 0xc0 7.68002e+06 0.5; - ram_block[23] 0xc0 7.68002e+06 0.5; - ram_block[23]~feeder 0xc0 7.68002e+06 0.5; - ram_block[24] 0xc0 7.68002e+06 0.5; - ram_block[24]~feeder 0xc0 7.68002e+06 0.5; - ram_block[25] 0xc0 7.68002e+06 0.5; - ram_block[25]~feeder 0xc0 7.68002e+06 0.5; - ram_block[26] 0xc0 7.68002e+06 0.5; - ram_block[27] 0xc0 7.68002e+06 0.5; - ram_block[27]~feeder 0xc0 7.68002e+06 0.5; - ram_block[28] 0xc0 7.68002e+06 0.5; - ram_block[28]~feeder 0xc0 7.68002e+06 0.5; - ram_block[29] 0xc0 7.68002e+06 0.5; - ram_block[30] 0xc0 7.68002e+06 0.5; - ram_block[31] 0xc0 7.68002e+06 0.5; - ram_block[32] 0xc0 7.68002e+06 0.5; - ram_block[32]~feeder 0xc0 7.68002e+06 0.5; - ram_block[33] 0xc0 7.68002e+06 0.5; - ram_block[34] 0xc0 7.68002e+06 0.5; - ram_block[34]~feeder 0xc0 7.68002e+06 0.5; - ram_block[35] 0xc0 7.68002e+06 0.5; - ram_block[36] 0xc0 7.68002e+06 0.5; - ram_block[37] 0xc0 7.68002e+06 0.5; - ram_block[38] 0xc0 7.68002e+06 0.5; - ram_block[38]~feeder 0xc0 7.68002e+06 0.5; - ram_block[39] 0xc0 7.68002e+06 0.5; - ram_block[39]~feeder 0xc0 7.68002e+06 0.5; - ram_block[40] 0xc0 7.68002e+06 0.5; - ram_block[41] 0xc0 7.68002e+06 0.5; - ram_block[42] 0xc0 7.68002e+06 0.5; - ram_block[42]~feeder 0xc0 7.68002e+06 0.5; - ram_block[43] 0xc0 7.68002e+06 0.5; - ram_block[43]~feeder 0xc0 7.68002e+06 0.5; - ram_block[44] 0xc0 7.68002e+06 0.5; - ram_block[44]~feeder 0xc0 7.68002e+06 0.5; - ram_block[45] 0xc0 7.68002e+06 0.5; - ram_block[46] 0xc0 7.68002e+06 0.5; - ram_block[47] 0xc0 7.68002e+06 0.5; - ram_block[48] 0xc0 7.68002e+06 0.5; - ram_block[49] 0xc0 7.68002e+06 0.5; - ram_block[49]~feeder 0xc0 7.68002e+06 0.5; - ram_block[50] 0xc0 7.68002e+06 0.5; - ram_block[51] 0xc0 7.68002e+06 0.5; - ram_block[52] 0xc0 7.68002e+06 0.5; - ram_block[53] 0xc0 7.68002e+06 0.5; - ram_block[54] 0xc0 7.68002e+06 0.5; - ram_block[55] 0xc0 7.68002e+06 0.5; - ram_block[55]~feeder 0xc0 7.68002e+06 0.5; - ram_block[56] 0xc0 7.68002e+06 0.5; - ram_block[56]~feeder 0xc0 7.68002e+06 0.5; - ram_block[57] 0xc0 7.68002e+06 0.5; - ram_block[57]~feeder 0xc0 7.68002e+06 0.5; - ram_block[58] 0xc0 7.68002e+06 0.5; - ram_block[58]~feeder 0xc0 7.68002e+06 0.5; - ram_block[59] 0xc0 7.68002e+06 0.5; - ram_block[59]~feeder 0xc0 7.68002e+06 0.5; - ram_block[60] 0xc0 7.68002e+06 0.5; - ram_block[60]~feeder 0xc0 7.68002e+06 0.5; - ram_block[61] 0xc0 7.68002e+06 0.5; - ram_block[61]~feeder 0xc0 7.68002e+06 0.5; - ram_block[62] 0xc0 7.68002e+06 0.5; - ram_block[63] 0xc0 7.68002e+06 0.5; - ram_block[63]~feeder 0xc0 7.68002e+06 0.5; - ram_block[64] 0xc0 7.68002e+06 0.5; - ram_block[65] 0xc0 7.68002e+06 0.5; - ram_block[65]~feeder 0xc0 7.68002e+06 0.5; - ram_block[66] 0xc0 7.68002e+06 0.5; - ram_block[66]~feeder 0xc0 7.68002e+06 0.5; - ram_block[67] 0xc0 7.68002e+06 0.5; - ram_block[67]~feeder 0xc0 7.68002e+06 0.5; - ram_block[68] 0xc0 7.68002e+06 0.5; - ram_block[69] 0xc0 7.68002e+06 0.5; - ram_block[70] 0xc0 7.68002e+06 0.5; - ram_block[70]~feeder 0xc0 7.68002e+06 0.5; - ram_block[71] 0xc0 7.68002e+06 0.5; - ram_block[72] 0xc0 7.68002e+06 0.5; - ram_block[73] 0xc0 7.68002e+06 0.5; - ram_block[74] 0xc0 7.68002e+06 0.5; - ram_block[75] 0xc0 7.68002e+06 0.5; - ram_block[75]~feeder 0xc0 7.68002e+06 0.5; - ram_block[76] 0xc0 7.68002e+06 0.5; - ram_block[77] 0xc0 7.68002e+06 0.5; - ram_block[78] 0xc0 7.68002e+06 0.5; - ram_block[79] 0xc0 7.68002e+06 0.5; - ram_block[79]~feeder 0xc0 7.68002e+06 0.5; - ram_block[80] 0xc0 7.68002e+06 0.5; - ram_block[81] 0xc0 7.68002e+06 0.5; - ram_block[81]~feeder 0xc0 7.68002e+06 0.5; - ram_block[82] 0xc0 7.68002e+06 0.5; - ram_block[83] 0xc0 7.68002e+06 0.5; - ram_block[84] 0xc0 7.68002e+06 0.5; - ram_block[84]~feeder 0xc0 7.68002e+06 0.5; - ram_block[85] 0xc0 7.68002e+06 0.5; - ram_block[85]~feeder 0xc0 7.68002e+06 0.5; - ram_block[86] 0xc0 7.68002e+06 0.5; - ram_block[86]~feeder 0xc0 7.68002e+06 0.5; - ram_block[87] 0xc0 7.68002e+06 0.5; - ram_block[88] 0xc0 7.68002e+06 0.5; - ram_block[88]~feeder 0xc0 7.68002e+06 0.5; - ram_block[89] 0xc0 7.68002e+06 0.5; - ram_block[89]~feeder 0xc0 7.68002e+06 0.5; - ram_block[90] 0xc0 7.68002e+06 0.5; - ram_block[91] 0xc0 7.68002e+06 0.5; - ram_block[91]~feeder 0xc0 7.68002e+06 0.5; - ram_block[92] 0xc0 7.68002e+06 0.5; - ram_block[92]~feeder 0xc0 7.68002e+06 0.5; - ram_block[93] 0xc0 7.68002e+06 0.5; - ram_block[93]~feeder 0xc0 7.68002e+06 0.5; - ram_block[94] 0xc0 7.68002e+06 0.5; - ram_block[94]~feeder 0xc0 7.68002e+06 0.5; - ram_block[95] 0xc0 7.68002e+06 0.5; - ram_block[95]~feeder 0xc0 7.68002e+06 0.5; - ram_block[96] 0xc0 7.68002e+06 0.5; - ram_block[96]~feeder 0xc0 7.68002e+06 0.5; - ram_block[97] 0xc0 7.68002e+06 0.5; - ram_block[97]~feeder 0xc0 7.68002e+06 0.5; - ram_block[98] 0xc0 7.68002e+06 0.5; - ram_block[99] 0xc0 7.68002e+06 0.5; - ram_block[100] 0xc0 7.68002e+06 0.5; - ram_block[100]~feeder 0xc0 7.68002e+06 0.5; - ram_block[101] 0xc0 7.68002e+06 0.5; - ram_block[101]~feeder 0xc0 7.68002e+06 0.5; - ram_block[102] 0xc0 7.68002e+06 0.5; - ram_block[102]~feeder 0xc0 7.68002e+06 0.5; - ram_block[103] 0xc0 7.68002e+06 0.5; - ram_block[103]~feeder 0xc0 7.68002e+06 0.5; - ram_block[104] 0xc0 7.68002e+06 0.5; - ram_block[104]~feeder 0xc0 7.68002e+06 0.5; - ram_block[105] 0xc0 7.68002e+06 0.5; - ram_block[105]~feeder 0xc0 7.68002e+06 0.5; - ram_block[106] 0xc0 7.68002e+06 0.5; - ram_block[106]~feeder 0xc0 7.68002e+06 0.5; - ram_block[107] 0xc0 7.68002e+06 0.5; - ram_block[107]~feeder 0xc0 7.68002e+06 0.5; - ram_block[108] 0xc0 7.68002e+06 0.5; - ram_block[108]~feeder 0xc0 7.68002e+06 0.5; - ram_block[109] 0xc0 7.68002e+06 0.5; - ram_block[109]~feeder 0xc0 7.68002e+06 0.5; - ram_block[110] 0xc0 7.68002e+06 0.5; - ram_block[110]~feeder 0xc0 7.68002e+06 0.5; - ram_block[111] 0xc0 7.68002e+06 0.5; - ram_block[111]~feeder 0xc0 7.68002e+06 0.5; - ram_block[112] 0xc0 7.68002e+06 0.5; - ram_block[112]~feeder 0xc0 7.68002e+06 0.5; - ram_block[113] 0xc0 7.68002e+06 0.5; - ram_block[114] 0xc0 7.68002e+06 0.5; - ram_block[114]~feeder 0xc0 7.68002e+06 0.5; - ram_block[115] 0xc0 7.68002e+06 0.5; - ram_block[116] 0xc0 7.68002e+06 0.5; - ram_block[116]~feeder 0xc0 7.68002e+06 0.5; - ram_block[117] 0xc0 7.68002e+06 0.5; - ram_block[118] 0xc0 7.68002e+06 0.5; - ram_block[118]~feeder 0xc0 7.68002e+06 0.5; - ram_block[119] 0xc0 7.68002e+06 0.5; - ram_block[119]~feeder 0xc0 7.68002e+06 0.5; - ram_block[120] 0xc0 7.68002e+06 0.5; - ram_block[121] 0xc0 7.68002e+06 0.5; - ram_block[121]~feeder 0xc0 7.68002e+06 0.5; - ram_block[122] 0xc0 7.68002e+06 0.5; - ram_block[122]~feeder 0xc0 7.68002e+06 0.5; - ram_block[123] 0xc0 7.68002e+06 0.5; - ram_block[123]~feeder 0xc0 7.68002e+06 0.5; - ram_block[124] 0xc0 7.68002e+06 0.5; - ram_block[125] 0xc0 7.68002e+06 0.5; - ram_block[125]~feeder 0xc0 7.68002e+06 0.5; - ram_block[126] 0xc0 7.68002e+06 0.5; - ram_block[126]~feeder 0xc0 7.68002e+06 0.5; - ram_block[127] 0xc0 7.68002e+06 0.5; - ram_block[128] 0xc0 7.68002e+06 0.5; - ram_block[128]~feeder 0xc0 7.68002e+06 0.5; - ram_block[129] 0xc0 7.68002e+06 0.5; - ram_block[129]~feeder 0xc0 7.68002e+06 0.5; - ram_block[130] 0xc0 7.68002e+06 0.5; - ram_block[130]~feeder 0xc0 7.68002e+06 0.5; - ram_block[131] 0xc0 7.68002e+06 0.5; - ram_block[131]~feeder 0xc0 7.68002e+06 0.5; - ram_block[132] 0xc0 7.68002e+06 0.5; - ram_block[133] 0xc0 7.68002e+06 0.5; - ram_block[133]~feeder 0xc0 7.68002e+06 0.5; - ram_block[134] 0xc0 7.68002e+06 0.5; - ram_block[134]~feeder 0xc0 7.68002e+06 0.5; - ram_block[135] 0xc0 7.68002e+06 0.5; - ram_block[135]~feeder 0xc0 7.68002e+06 0.5; - ram_block[136] 0xc0 7.68002e+06 0.5; - ram_block[137] 0xc0 7.68002e+06 0.5; - ram_block[137]~feeder 0xc0 7.68002e+06 0.5; - ram_block[138] 0xc0 7.68002e+06 0.5; - ram_block[138]~feeder 0xc0 7.68002e+06 0.5; - ram_block[139] 0xc0 7.68002e+06 0.5; - ram_block[139]~feeder 0xc0 7.68002e+06 0.5; - ram_block[140] 0xc0 7.68002e+06 0.5; - ram_block[140]~feeder 0xc0 7.68002e+06 0.5; - ram_block[141] 0xc0 7.68002e+06 0.5; - ram_block[141]~feeder 0xc0 7.68002e+06 0.5; - ram_block[142] 0xc0 7.68002e+06 0.5; - ram_block[142]~feeder 0xc0 7.68002e+06 0.5; - ram_block[143] 0xc0 7.68002e+06 0.5; - ram_block[143]~feeder 0xc0 7.68002e+06 0.5; - ram_block[144] 0xc0 7.68002e+06 0.5; - ram_block[145] 0xc0 7.68002e+06 0.5; - ram_block[145]~feeder 0xc0 7.68002e+06 0.5; - ram_block[146] 0xc0 7.68002e+06 0.5; - ram_block[147] 0xc0 7.68002e+06 0.5; - ram_block[147]~feeder 0xc0 7.68002e+06 0.5; - ram_block[148] 0xc0 7.68002e+06 0.5; - ram_block[148]~feeder 0xc0 7.68002e+06 0.5; - ram_block[149] 0xc0 7.68002e+06 0.5; - ram_block[149]~feeder 0xc0 7.68002e+06 0.5; - ram_block[150] 0xc0 7.68002e+06 0.5; - ram_block[150]~feeder 0xc0 7.68002e+06 0.5; - ram_block[151] 0xc0 7.68002e+06 0.5; - ram_block[151]~feeder 0xc0 7.68002e+06 0.5; - ram_block[152] 0xc0 7.68002e+06 0.5; - ram_block[152]~feeder 0xc0 7.68002e+06 0.5; - ram_block[153] 0xc0 7.68002e+06 0.5; - ram_block[153]~feeder 0xc0 7.68002e+06 0.5; - ram_block[154] 0xc0 7.68002e+06 0.5; - ram_block[154]~feeder 0xc0 7.68002e+06 0.5; - ram_block[155] 0xc0 7.68002e+06 0.5; - ram_block[155]~feeder 0xc0 7.68002e+06 0.5; - ram_block[156] 0xc0 7.68002e+06 0.5; - ram_block[156]~feeder 0xc0 7.68002e+06 0.5; - ram_block[157] 0xc0 7.68002e+06 0.5; - ram_block[158] 0xc0 7.68002e+06 0.5; - ram_block[159] 0xc0 7.68002e+06 0.5; - ram_block[159]~feeder 0xc0 7.68002e+06 0.5; - ram_block[160] 0xc0 7.68002e+06 0.5; - ram_block[160]~feeder 0xc0 7.68002e+06 0.5; - ram_block[161] 0xc0 7.68002e+06 0.5; - ram_block[161]~feeder 0xc0 7.68002e+06 0.5; - ram_block[162] 0xc0 7.68002e+06 0.5; - ram_block[162]~feeder 0xc0 7.68002e+06 0.5; - ram_block[163] 0xc0 7.68002e+06 0.5; - ram_block[163]~feeder 0xc0 7.68002e+06 0.5; - ram_block[164] 0xc0 7.68002e+06 0.5; - ram_block[164]~feeder 0xc0 7.68002e+06 0.5; - ram_block[165] 0xc0 7.68002e+06 0.5; - ram_block[165]~feeder 0xc0 7.68002e+06 0.5; - ram_block[166] 0xc0 7.68002e+06 0.5; - ram_block[166]~feeder 0xc0 7.68002e+06 0.5; - ram_block[167] 0xc0 7.68002e+06 0.5; - ram_block[168] 0xc0 7.68002e+06 0.5; - ram_block[168]~feeder 0xc0 7.68002e+06 0.5; - ram_block[169] 0xc0 7.68002e+06 0.5; - ram_block[170] 0xc0 7.68002e+06 0.5; - ram_block[170]~feeder 0xc0 7.68002e+06 0.5; - ram_block[171] 0xc0 7.68002e+06 0.5; - ram_block[172] 0xc0 7.68002e+06 0.5; - ram_block[173] 0xc0 7.68002e+06 0.5; - ram_block[173]~feeder 0xc0 7.68002e+06 0.5; - ram_block[174] 0xc0 7.68002e+06 0.5; - ram_block[174]~feeder 0xc0 7.68002e+06 0.5; - ram_block[175] 0xc0 7.68002e+06 0.5; - ram_block[175]~feeder 0xc0 7.68002e+06 0.5; - ram_block[176] 0xc0 7.68002e+06 0.5; - ram_block[177] 0xc0 7.68002e+06 0.5; - ram_block[177]~feeder 0xc0 7.68002e+06 0.5; - ram_block[178] 0xc0 7.68002e+06 0.5; - ram_block[178]~feeder 0xc0 7.68002e+06 0.5; - ram_block[179] 0xc0 7.68002e+06 0.5; - ram_block[180] 0xc0 7.68002e+06 0.5; - ram_block[181] 0xc0 7.68002e+06 0.5; - ram_block[182] 0xc0 7.68002e+06 0.5; - ram_block[183] 0xc0 7.68002e+06 0.5; - ram_block[183]~feeder 0xc0 7.68002e+06 0.5; - ram_block[184] 0xc0 7.68002e+06 0.5; - ram_block[184]~feeder 0xc0 7.68002e+06 0.5; - ram_block[185] 0xc0 7.68002e+06 0.5; - ram_block[185]~feeder 0xc0 7.68002e+06 0.5; - ram_block[186] 0xc0 7.68002e+06 0.5; - ram_block[187] 0xc0 7.68002e+06 0.5; - ram_block[187]~feeder 0xc0 7.68002e+06 0.5; - ram_block[188] 0xc0 7.68002e+06 0.5; - ram_block[189] 0xc0 7.68002e+06 0.5; - ram_block[189]~feeder 0xc0 7.68002e+06 0.5; - ram_block[190] 0xc0 7.68002e+06 0.5; - ram_block[190]~feeder 0xc0 7.68002e+06 0.5; - ram_block[191] 0xc0 7.68002e+06 0.5; - ram_block[191]~feeder 0xc0 7.68002e+06 0.5; - ram_block[192] 0xc0 7.68002e+06 0.5; - ram_block[193] 0xc0 7.68002e+06 0.5; - ram_block[193]~feeder 0xc0 7.68002e+06 0.5; - ram_block[194] 0xc0 7.68002e+06 0.5; - ram_block[195] 0xc0 7.68002e+06 0.5; - ram_block[195]~feeder 0xc0 7.68002e+06 0.5; - ram_block[196] 0xc0 7.68002e+06 0.5; - ram_block[196]~feeder 0xc0 7.68002e+06 0.5; - ram_block[197] 0xc0 7.68002e+06 0.5; - ram_block[197]~feeder 0xc0 7.68002e+06 0.5; - ram_block[198] 0xc0 7.68002e+06 0.5; - ram_block[199] 0xc0 7.68002e+06 0.5; - ram_block[200] 0xc0 7.68002e+06 0.5; - ram_block[200]~feeder 0xc0 7.68002e+06 0.5; - ram_block[201] 0xc0 7.68002e+06 0.5; - ram_block[202] 0xc0 7.68002e+06 0.5; - ram_block[203] 0xc0 7.68002e+06 0.5; - ram_block[204] 0xc0 7.68002e+06 0.5; - ram_block[205] 0xc0 7.68002e+06 0.5; - ram_block[205]~feeder 0xc0 7.68002e+06 0.5; - ram_block[206] 0xc0 7.68002e+06 0.5; - ram_block[207] 0xc0 7.68002e+06 0.5; - ram_block[208] 0xc0 7.68002e+06 0.5; - ram_block[208]~feeder 0xc0 7.68002e+06 0.5; - ram_block[209] 0xc0 7.68002e+06 0.5; - ram_block[209]~feeder 0xc0 7.68002e+06 0.5; - ram_block[210] 0xc0 7.68002e+06 0.5; - ram_block[210]~feeder 0xc0 7.68002e+06 0.5; - ram_block[211] 0xc0 7.68002e+06 0.5; - ram_block[211]~feeder 0xc0 7.68002e+06 0.5; - ram_block[212] 0xc0 7.68002e+06 0.5; - ram_block[213] 0xc0 7.68002e+06 0.5; - ram_block[214] 0xc0 7.68002e+06 0.5; - ram_block[215] 0xc0 7.68002e+06 0.5; - ram_block[215]~feeder 0xc0 7.68002e+06 0.5; - ram_block[216] 0xc0 7.68002e+06 0.5; - ram_block[217] 0xc0 7.68002e+06 0.5; - ram_block[218] 0xc0 7.68002e+06 0.5; - ram_block[218]~feeder 0xc0 7.68002e+06 0.5; - ram_block[219] 0xc0 7.68002e+06 0.5; - ram_block[220] 0xc0 7.68002e+06 0.5; - ram_block[220]~feeder 0xc0 7.68002e+06 0.5; - ram_block[221] 0xc0 7.68002e+06 0.5; - ram_block[221]~feeder 0xc0 7.68002e+06 0.5; - ram_block[222] 0xc0 7.68002e+06 0.5; - ram_block[222]~feeder 0xc0 7.68002e+06 0.5; - ram_block[223] 0xc0 7.68002e+06 0.5; - ram_block[223]~feeder 0xc0 7.68002e+06 0.5; - ram_block[224] 0xc0 7.68002e+06 0.5; - ram_block[224]~feeder 0xc0 7.68002e+06 0.5; - ram_block[225] 0xc0 7.68002e+06 0.5; - ram_block[225]~feeder 0xc0 7.68002e+06 0.5; - ram_block[226] 0xc0 7.68002e+06 0.5; - ram_block[227] 0xc0 7.68002e+06 0.5; - ram_block[227]~feeder 0xc0 7.68002e+06 0.5; - ram_block[228] 0xc0 7.68002e+06 0.5; - ram_block[228]~feeder 0xc0 7.68002e+06 0.5; - ram_block[229] 0xc0 7.68002e+06 0.5; - ram_block[230] 0xc0 7.68002e+06 0.5; - ram_block[230]~feeder 0xc0 7.68002e+06 0.5; - ram_block[231] 0xc0 7.68002e+06 0.5; - ram_block[232] 0xc0 7.68002e+06 0.5; - ram_block[232]~feeder 0xc0 7.68002e+06 0.5; - ram_block[233] 0xc0 7.68002e+06 0.5; - ram_block[234] 0xc0 7.68002e+06 0.5; - ram_block[234]~feeder 0xc0 7.68002e+06 0.5; - ram_block[235] 0xc0 7.68002e+06 0.5; - ram_block[235]~feeder 0xc0 7.68002e+06 0.5; - ram_block[236] 0xc0 7.68002e+06 0.5; - ram_block[237] 0xc0 7.68002e+06 0.5; - ram_block[238] 0xc0 7.68002e+06 0.5; - ram_block[238]~feeder 0xc0 7.68002e+06 0.5; - ram_block[239] 0xc0 7.68002e+06 0.5; - ram_block[239]~feeder 0xc0 7.68002e+06 0.5; - ram_block[240] 0xc0 7.68002e+06 0.5; - ram_block[241] 0xc0 7.68002e+06 0.5; - ram_block[242] 0xc0 7.68002e+06 0.5; - ram_block[242]~feeder 0xc0 7.68002e+06 0.5; - ram_block[243] 0xc0 7.68002e+06 0.5; - ram_block[243]~feeder 0xc0 7.68002e+06 0.5; - ram_block[244] 0xc0 7.68002e+06 0.5; - ram_block[245] 0xc0 7.68002e+06 0.5; - ram_block[246] 0xc0 7.68002e+06 0.5; - ram_block[247] 0xc0 7.68002e+06 0.5; - ram_block[247]~feeder 0xc0 7.68002e+06 0.5; - ram_block[248] 0xc0 7.68002e+06 0.5; - ram_block[248]~feeder 0xc0 7.68002e+06 0.5; - ram_block[249] 0xc0 7.68002e+06 0.5; - ram_block[249]~feeder 0xc0 7.68002e+06 0.5; - ram_block[250] 0xc0 7.68002e+06 0.5; - ram_block[250]~feeder 0xc0 7.68002e+06 0.5; - ram_block[251] 0xc0 7.68002e+06 0.5; - ram_block[252] 0xc0 7.68002e+06 0.5; - ram_block[252]~feeder 0xc0 7.68002e+06 0.5; - ram_block[253] 0xc0 7.68002e+06 0.5; - ram_block[253]~feeder 0xc0 7.68002e+06 0.5; - ram_block[254] 0xc0 7.68002e+06 0.5; - ram_block[255] 0xc0 7.68002e+06 0.5; - ram_block[255]~feeder 0xc0 7.68002e+06 0.5; - ram_block[256] 0xc0 7.68002e+06 0.5; - ram_block[256]~feeder 0xc0 7.68002e+06 0.5; - ram_block[257] 0xc0 7.68002e+06 0.5; - ram_block[258] 0xc0 7.68002e+06 0.5; - ram_block[259] 0xc0 7.68002e+06 0.5; - ram_block[260] 0xc0 7.68002e+06 0.5; - ram_block[260]~feeder 0xc0 7.68002e+06 0.5; - ram_block[261] 0xc0 7.68002e+06 0.5; - ram_block[262] 0xc0 7.68002e+06 0.5; - ram_block[263] 0xc0 7.68002e+06 0.5; - ram_block[263]~feeder 0xc0 7.68002e+06 0.5; - ram_block[264] 0xc0 7.68002e+06 0.5; - ram_block[264]~feeder 0xc0 7.68002e+06 0.5; - ram_block[265] 0xc0 7.68002e+06 0.5; - ram_block[265]~feeder 0xc0 7.68002e+06 0.5; - ram_block[266] 0xc0 7.68002e+06 0.5; - ram_block[266]~feeder 0xc0 7.68002e+06 0.5; - ram_block[267] 0xc0 7.68002e+06 0.5; - ram_block[267]~feeder 0xc0 7.68002e+06 0.5; - ram_block[268] 0xc0 7.68002e+06 0.5; - ram_block[269] 0xc0 7.68002e+06 0.5; - ram_block[269]~feeder 0xc0 7.68002e+06 0.5; - ram_block[270] 0xc0 7.68002e+06 0.5; - ram_block[271] 0xc0 7.68002e+06 0.5; - ram_block[271]~feeder 0xc0 7.68002e+06 0.5; - ram_block[272] 0xc0 7.68002e+06 0.5; - ram_block[273] 0xc0 7.68002e+06 0.5; - ram_block[273]~feeder 0xc0 7.68002e+06 0.5; - ram_block[274] 0xc0 7.68002e+06 0.5; - ram_block[274]~feeder 0xc0 7.68002e+06 0.5; - ram_block[275] 0xc0 7.68002e+06 0.5; - ram_block[275]~feeder 0xc0 7.68002e+06 0.5; - ram_block[276] 0xc0 7.68002e+06 0.5; - ram_block[277] 0xc0 7.68002e+06 0.5; - ram_block[277]~feeder 0xc0 7.68002e+06 0.5; - ram_block[278] 0xc0 7.68002e+06 0.5; - ram_block[279] 0xc0 7.68002e+06 0.5; - ram_block[279]~feeder 0xc0 7.68002e+06 0.5; - ram_block[280] 0xc0 7.68002e+06 0.5; - ram_block[281] 0xc0 7.68002e+06 0.5; - ram_block[281]~feeder 0xc0 7.68002e+06 0.5; - ram_block[282] 0xc0 7.68002e+06 0.5; - ram_block[283] 0xc0 7.68002e+06 0.5; - ram_block[283]~feeder 0xc0 7.68002e+06 0.5; - ram_block[284] 0xc0 7.68002e+06 0.5; - ram_block[284]~feeder 0xc0 7.68002e+06 0.5; - ram_block[285] 0xc0 7.68002e+06 0.5; - ram_block[286] 0xc0 7.68002e+06 0.5; - ram_block[286]~feeder 0xc0 7.68002e+06 0.5; - ram_block[287] 0xc0 7.68002e+06 0.5; - ram_block[287]~feeder 0xc0 7.68002e+06 0.5; - ram_block[288] 0xc0 7.68002e+06 0.5; - ram_block[288]~feeder 0xc0 7.68002e+06 0.5; - ram_block[289] 0xc0 7.68002e+06 0.5; - ram_block[290] 0xc0 7.68002e+06 0.5; - ram_block[291] 0xc0 7.68002e+06 0.5; - ram_block[292] 0xc0 7.68002e+06 0.5; - ram_block[292]~feeder 0xc0 7.68002e+06 0.5; - ram_block[293] 0xc0 7.68002e+06 0.5; - ram_block[294] 0xc0 7.68002e+06 0.5; - ram_block[295] 0xc0 7.68002e+06 0.5; - ram_block[295]~feeder 0xc0 7.68002e+06 0.5; - ram_block[296] 0xc0 7.68002e+06 0.5; - ram_block[297] 0xc0 7.68002e+06 0.5; - ram_block[297]~feeder 0xc0 7.68002e+06 0.5; - ram_block[298] 0xc0 7.68002e+06 0.5; - ram_block[298]~feeder 0xc0 7.68002e+06 0.5; - ram_block[299] 0xc0 7.68002e+06 0.5; - ram_block[300] 0xc0 7.68002e+06 0.5; - ram_block[300]~feeder 0xc0 7.68002e+06 0.5; - ram_block[301] 0xc0 7.68002e+06 0.5; - ram_block[302] 0xc0 7.68002e+06 0.5; - ram_block[302]~feeder 0xc0 7.68002e+06 0.5; - ram_block[303] 0xc0 7.68002e+06 0.5; - ram_block[303]~feeder 0xc0 7.68002e+06 0.5; - ram_block[304] 0xc0 7.68002e+06 0.5; - ram_block[304]~feeder 0xc0 7.68002e+06 0.5; - ram_block[305] 0xc0 7.68002e+06 0.5; - ram_block[305]~feeder 0xc0 7.68002e+06 0.5; - ram_block[306] 0xc0 7.68002e+06 0.5; - ram_block[306]~feeder 0xc0 7.68002e+06 0.5; - ram_block[307] 0xc0 7.68002e+06 0.5; - ram_block[307]~feeder 0xc0 7.68002e+06 0.5; - ram_block[308] 0xc0 7.68002e+06 0.5; - ram_block[308]~feeder 0xc0 7.68002e+06 0.5; - ram_block[309] 0xc0 7.68002e+06 0.5; - ram_block[309]~feeder 0xc0 7.68002e+06 0.5; - ram_block[310] 0xc0 7.68002e+06 0.5; - ram_block[311] 0xc0 7.68002e+06 0.5; - ram_block[311]~feeder 0xc0 7.68002e+06 0.5; - ram_block[312] 0xc0 7.68002e+06 0.5; - ram_block[313] 0xc0 7.68002e+06 0.5; - ram_block[314] 0xc0 7.68002e+06 0.5; - ram_block[314]~feeder 0xc0 7.68002e+06 0.5; - ram_block[315] 0xc0 7.68002e+06 0.5; - ram_block[316] 0xc0 7.68002e+06 0.5; - ram_block[317] 0xc0 7.68002e+06 0.5; - ram_block[317]~feeder 0xc0 7.68002e+06 0.5; - ram_block[318] 0xc0 7.68002e+06 0.5; - ram_block[319] 0xc0 7.68002e+06 0.5; - ram_block[319]~feeder 0xc0 7.68002e+06 0.5; - ram_block[320] 0xc0 7.68002e+06 0.5; - ram_block[321] 0xc0 7.68002e+06 0.5; - ram_block[321]~feeder 0xc0 7.68002e+06 0.5; - ram_block[322] 0xc0 7.68002e+06 0.5; - ram_block[322]~feeder 0xc0 7.68002e+06 0.5; - ram_block[323] 0xc0 7.68002e+06 0.5; - ram_block[323]~feeder 0xc0 7.68002e+06 0.5; - ram_block[324] 0xc0 7.68002e+06 0.5; - ram_block[324]~feeder 0xc0 7.68002e+06 0.5; - ram_block[325] 0xc0 7.68002e+06 0.5; - ram_block[325]~feeder 0xc0 7.68002e+06 0.5; - ram_block[326] 0xc0 7.68002e+06 0.5; - ram_block[326]~feeder 0xc0 7.68002e+06 0.5; - ram_block[327] 0xc0 7.68002e+06 0.5; - ram_block[328] 0xc0 7.68002e+06 0.5; - ram_block[329] 0xc0 7.68002e+06 0.5; - ram_block[329]~feeder 0xc0 7.68002e+06 0.5; - ram_block[330] 0xc0 7.68002e+06 0.5; - ram_block[330]~feeder 0xc0 7.68002e+06 0.5; - ram_block[331] 0xc0 7.68002e+06 0.5; - ram_block[331]~feeder 0xc0 7.68002e+06 0.5; - ram_block[332] 0xc0 7.68002e+06 0.5; - ram_block[332]~feeder 0xc0 7.68002e+06 0.5; - ram_block[333] 0xc0 7.68002e+06 0.5; - ram_block[333]~feeder 0xc0 7.68002e+06 0.5; - ram_block[334] 0xc0 7.68002e+06 0.5; - ram_block[335] 0xc0 7.68002e+06 0.5; - ram_block[335]~feeder 0xc0 7.68002e+06 0.5; - ram_block[336] 0xc0 7.68002e+06 0.5; - ram_block[336]~feeder 0xc0 7.68002e+06 0.5; - ram_block[337] 0xc0 7.68002e+06 0.5; - ram_block[337]~feeder 0xc0 7.68002e+06 0.5; - ram_block[338] 0xc0 7.68002e+06 0.5; - ram_block[339] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[0] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[1] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[2] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[3] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[4] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[5] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[6] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[7] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[8] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[9] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[10] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[11] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[12] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[13] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[14] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[15] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[16] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[17] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[18] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[19] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[20] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[21] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[22] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[23] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[24] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[25] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[26] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[27] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[28] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[29] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[30] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[31] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[32] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[33] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[34] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[35] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[36] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[37] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[38] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[39] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[40] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[41] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[42] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[43] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[44] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[45] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[46] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[47] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[48] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[49] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[50] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[51] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[52] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[53] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[54] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[55] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[56] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[57] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[58] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[59] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[60] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[61] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[62] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[63] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[64] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[65] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[66] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[67] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[68] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[69] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[70] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[71] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[72] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[73] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[74] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[75] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[76] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[77] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[78] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[79] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[80] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[81] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[82] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[83] 0xc0 7.68002e+06 0.5; - rd_data_out_latch[84] 0xc0 7.68002e+06 0.5; - wren_reg 0xc0 7.68002e+06 0.5; - wren_reg~feeder 0xc0 39.5439 6.10352e-05; - full_dff 0xc0 7.68002e+06 0.5; - low_addressa[0] 0xc0 7.68002e+06 0.5; - low_addressa[0]~0 0xc0 2.35547e+06 0.25; - low_addressa[1] 0xc0 7.68002e+06 0.5; - low_addressa[1]~1 0xc0 2.35547e+06 0.25; - ram_read_address[0]~0 0xc0 5.02501e+06 0.5; - ram_read_address[1]~1 0xc0 3.83251e+06 0.5; - rd_ptr_lsb 0xc0 7.68002e+06 0.5; - rd_ptr_lsb~0 0xc0 4.32001e+06 0.25; - rd_ptr_lsb~1 0xc0 5.49001e+06 0.5625; - cntr_q9b:rd_ptr_msb; - _~0 0xc0 9.09002e+06 0.53125; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - cntr_7a7:usedw_counter; - _~0 0xc0 6.83841e+06 0.562523; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.6791e+06 0.5; - counter_comb_bita1 0xc0 7.67956e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - usedw_is_0_dff 0xc0 7.68002e+06 0.5; - usedw_is_1_dff 0xc0 7.68002e+06 0.5; - usedw_will_be_1~0 0xc0 9.89133e+06 0.0312748; - usedw_will_be_1~1 0xc0 4.34143e+06 0.227535; - valid_rreq 0xc0 3.36001e+06 0.125; - valid_rreq~0 0xc0 5.76001e+06 0.25; - valid_wreq~0 0xc0 1.44e+06 0.0625; - valid_wreq~1 0xc0 945002 0.0625; - valid_wreq~2 0xc0 945002 0.0625; - valid_wreq~3 0xc0 78.8589 0.00012207; - valid_wreq~4 0xc0 39.5439 6.10352e-05; - cntr_r9b:wr_ptr; - _~0 0xc0 7.67819e+06 0.500031; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - auk_dspip_integrator:integrator[0].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 7.06287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 7.37145e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 7.60288e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 7.64145e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 7.67038e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 7.6752e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 7.67881e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 7.67942e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 7.67987e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 7.67994e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 7.68e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 7.68001e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.68002e+06 0.5; - auk_dspip_integrator:integrator[1].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[2].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[3].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[4].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - auk_dspip_integrator:integrator[5].integration; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 4.32001e+06 0.25; - \register_fifo:fifo_data[0][1] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 6.48002e+06 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 3.57751e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 5.48439e+06 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 6.3722e+06 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 5.98056e+06 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 4.88779e+06 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 5.55883e+06 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 5.4588e+06 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 5.68893e+06 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 5.11181e+06 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 5.59902e+06 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 5.2676e+06 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 5.63718e+06 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 5.18499e+06 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 5.61632e+06 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 5.22508e+06 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 5.6263e+06 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 5.20473e+06 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 5.6212e+06 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 5.21483e+06 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 5.62372e+06 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 5.20976e+06 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 5.62245e+06 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 5.21229e+06 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 5.62308e+06 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 5.21102e+06 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 5.62277e+06 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 5.21165e+06 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 5.62292e+06 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 5.21134e+06 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 5.62285e+06 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 5.21149e+06 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 5.62289e+06 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 5.21142e+06 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 5.62286e+06 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 5.21146e+06 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][20] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 5.21145e+06 0.5; - \register_fifo:fifo_data[0][21] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][22] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][23] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][24] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][25] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][26] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][27] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][28] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][29] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][30] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][31] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][32] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][33] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][34] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][35] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][36] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][37] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][38] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][39] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][40] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][41] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][42] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][43] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][44] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][45] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][46] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][47] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][48] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][49] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][50] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][51] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][52] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][53] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][54] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][55] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][56] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][57] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][58] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][59] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][60] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][61] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][62] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][63] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][64] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][65] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][66] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][67] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][68] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][69] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][70] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][71] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][72] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][73] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][74] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][74]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][75] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][75]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][75]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][76] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][76]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][76]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][77] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][77]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][77]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][78] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][78]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][78]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][79] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][79]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][79]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][80] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][80]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][80]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][81] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][81]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][81]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][82] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][82]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][82]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][83] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][83]~1 0xc0 5.62287e+06 0.5; - \register_fifo:fifo_data[0][83]~2 0xc0 5.21144e+06 0.5; - \register_fifo:fifo_data[0][84] 0xc0 7.68002e+06 0.5; - \register_fifo:fifo_data[0][84]~1 0xc0 7.06288e+06 0.5; - counter_module:latency_cnt_inst; - Add0~0 0xc0 4.32001e+06 0.25; - Add0~1 0xc0 1.83e+06 0.125; - count[0] 0xc0 7.68002e+06 0.5; - count[1] 0xc0 7.68002e+06 0.5; - count[1]~4 0xc0 7.43486e+06 0.46875; - count[2] 0xc0 7.68002e+06 0.5; - count[2]~1 0xc0 5.023e+06 0.397217; - count[3] 0xc0 7.68002e+06 0.5; - count[3]~0 0xc0 4.50001e+06 0.4375; - count[3]~2 0xc0 5.08109e+06 0.38269; - count~3 0xc0 7.00455e+06 0.46875; - sample_state[0] 0xc0 7.68002e+06 0.5; - sample_state~0 0xc0 3.33001e+06 0.625; - sample_state~1 0xc0 5.52376e+06 0.671875; - state[0] 0xc0 7.68002e+06 0.5; - state~0 0xc0 8.5705e+06 0.515625; - auk_dspip_downsample:vrc_en_0.first_dsample; - counter_module:counter_fs_inst; - Add0~0 0xc0 7.68002e+06 0.5; - Add0~1 0xc0 7.68002e+06 0.5; - Add0~2 0xc0 7.68002e+06 0.5; - Add0~3 0xc0 4.32001e+06 0.75; - Add0~4 0xc0 6.96002e+06 0.5; - Add0~5 0xc0 5.88002e+06 0.125; - Add0~6 0xc0 8.94002e+06 0.5; - Add0~7 0xc0 1.71e+06 0.9375; - Add0~8 0xc0 7.63502e+06 0.5; - Add0~9 0xc0 7.20752e+06 0.03125; - Add0~10 0xc0 1.08188e+07 0.5; - Add0~11 0xc0 1.81688e+06 0.984375; - Add0~12 0xc0 8.35221e+06 0.5; - Add0~13 0xc0 7.89799e+06 0.0078125; - Add0~14 0xc0 1.151e+07 0.5; - Add0~15 0xc0 1.97543e+06 0.996094; - Add0~16 0xc0 8.60797e+06 0.5; - Add0~17 0xc0 8.11411e+06 0.00195313; - Add0~18 0xc0 1.17071e+07 0.5; - Add0~19 0xc0 2.02859e+06 0.999023; - Add0~20 0xc0 8.67933e+06 0.5; - Equal0~0 0xc0 691877 0.0625; - Equal0~1 0xc0 691877 0.0625; - Equal0~2 0xc0 4.32001e+06 0.25; - Equal0~3 0xc0 680.111 0.000488281; - count[0] 0xc0 7.68002e+06 0.5; - count[1] 0xc0 7.68002e+06 0.5; - count[2] 0xc0 7.68002e+06 0.5; - count[3] 0xc0 7.68002e+06 0.5; - count[4] 0xc0 7.68002e+06 0.5; - count[5] 0xc0 7.68002e+06 0.5; - count[6] 0xc0 7.68002e+06 0.5; - count[7] 0xc0 7.68002e+06 0.5; - count[8] 0xc0 7.68002e+06 0.5; - count[9] 0xc0 7.68002e+06 0.5; - count[10] 0xc0 7.68002e+06 0.5; - count~0 0xc0 1.71993e+07 0.499756; - count~1 0xc0 3.33001e+06 0.625; - count~2 0xc0 1.73419e+07 0.499756; - auk_dspip_avalon_streaming_sink:input_sink; - scfifo:sink_FIFO; - scfifo_ef71:auto_generated; - dffe_nae 0xc0 7.68002e+06 0.5; - dffe_nae~0 0xc0 1.38e+06 0.125; - dffe_nae~1 0xc0 3.22338e+06 0.524597; - a_dpfifo_vkv:dpfifo; - _~0 0xc0 1.125e+06 0.0625; - _~1 0xc0 3.96815e+06 0.502975; - _~2 0xc0 4.48034e+06 0.276611; - _~3 0xc0 1.17145e+06 0.0199585; - empty_dff 0xc0 7.68002e+06 0.5; - empty_dff~0 0xc0 3.20227e+06 0.312783; - empty_dff~1 0xc0 3.26241e+06 0.295563; - altsyncram_h7h1:FIFOram; - q_b[0] 0xc0 7.68002e+06 0.5; - q_b[1] 0xc0 7.68002e+06 0.5; - q_b[2] 0xc0 7.68002e+06 0.5; - q_b[3] 0xc0 7.68002e+06 0.5; - q_b[4] 0xc0 7.68002e+06 0.5; - q_b[5] 0xc0 7.68002e+06 0.5; - q_b[6] 0xc0 7.68002e+06 0.5; - q_b[7] 0xc0 7.68002e+06 0.5; - q_b[8] 0xc0 7.68002e+06 0.5; - q_b[9] 0xc0 7.68002e+06 0.5; - q_b[10] 0xc0 7.68002e+06 0.5; - q_b[11] 0xc0 7.68002e+06 0.5; - q_b[12] 0xc0 7.68002e+06 0.5; - q_b[13] 0xc0 7.68002e+06 0.5; - q_b[14] 0xc0 7.68002e+06 0.5; - q_b[15] 0xc0 7.68002e+06 0.5; - q_b[16] 0xc0 7.68002e+06 0.5; - q_b[17] 0xc0 7.68002e+06 0.5; - q_b[18] 0xc0 7.68002e+06 0.5; - q_b[19] 0xc0 7.68002e+06 0.5; - q_b[20] 0xc0 7.68002e+06 0.5; - q_b[21] 0xc0 7.68002e+06 0.5; - q_b[22] 0xc0 7.68002e+06 0.5; - full_dff 0xc0 7.68002e+06 0.5; - low_addressa[0] 0xc0 7.68002e+06 0.5; - low_addressa[0]~0 0xc0 2.45646e+06 0.25; - low_addressa[1] 0xc0 7.68002e+06 0.5; - low_addressa[1]~1 0xc0 2.45646e+06 0.25; - low_addressa[2] 0xc0 7.68002e+06 0.5; - low_addressa[2]~2 0xc0 2.45646e+06 0.25; - ram_read_address[0]~0 0xc0 4.78524e+06 0.5; - ram_read_address[1]~1 0xc0 3.44126e+06 0.5; - ram_read_address[2]~2 0xc0 4.33725e+06 0.5; - rd_ptr_lsb 0xc0 7.68002e+06 0.5; - rd_ptr_lsb~0 0xc0 4.32001e+06 0.25; - rd_ptr_lsb~1 0xc0 3.96432e+06 0.526611; - cntr_r9b:rd_ptr_msb; - _~0 0xc0 9.47158e+06 0.513306; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - cntr_8a7:usedw_counter; - _~0 0xc0 5.94778e+06 0.638306; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.86001e+06 0.75; - counter_comb_bita2 0xc0 7.23002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - usedw_is_0_dff 0xc0 7.68002e+06 0.5; - usedw_is_1_dff 0xc0 7.68002e+06 0.5; - usedw_will_be_1~0 0xc0 2.00251e+06 0.09375; - usedw_will_be_1~1 0xc0 2.64001e+06 0.125; - usedw_will_be_1~2 0xc0 2.23069e+06 0.123337; - usedw_will_be_1~3 0xc0 2.34269e+06 0.22021; - valid_rreq 0xc0 389996 0.0532227; - valid_wreq 0xc0 5.76001e+06 0.25; - cntr_s9b:wr_ptr; - _~0 0xc0 5.76001e+06 0.625; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.32001e+06 0.75; - counter_comb_bita2 0xc0 6.96002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ai71:auto_generated; - a_dpfifo_7qv:dpfifo; - _~0 0xc0 1.00875e+06 0.03125; - _~1 0xc0 8.36006e+06 0.250488; - empty_dff 0xc0 7.68002e+06 0.5; - empty_dff~2 0xc0 8.51346e+06 0.515625; - empty_dff~3 0xc0 4.70234e+06 0.257813; - empty_dff~4 0xc0 7.33864e+06 0.723618; - empty_dff~5 0xc0 1.06878e+07 0.253359; - altsyncram_dah1:FIFOram; - q_b[0] 0xc0 7.68002e+06 0.5; - q_b[1] 0xc0 7.68002e+06 0.5; - q_b[2] 0xc0 7.68002e+06 0.5; - q_b[3] 0xc0 7.68002e+06 0.5; - q_b[4] 0xc0 7.68002e+06 0.5; - q_b[5] 0xc0 7.68002e+06 0.5; - q_b[6] 0xc0 7.68002e+06 0.5; - q_b[7] 0xc0 7.68002e+06 0.5; - q_b[8] 0xc0 7.68002e+06 0.5; - q_b[9] 0xc0 7.68002e+06 0.5; - q_b[10] 0xc0 7.68002e+06 0.5; - q_b[11] 0xc0 7.68002e+06 0.5; - q_b[12] 0xc0 7.68002e+06 0.5; - q_b[13] 0xc0 7.68002e+06 0.5; - q_b[14] 0xc0 7.68002e+06 0.5; - q_b[15] 0xc0 7.68002e+06 0.5; - q_b[16] 0xc0 7.68002e+06 0.5; - q_b[17] 0xc0 7.68002e+06 0.5; - q_b[18] 0xc0 7.68002e+06 0.5; - q_b[19] 0xc0 7.68002e+06 0.5; - q_b[20] 0xc0 7.68002e+06 0.5; - q_b[21] 0xc0 7.68002e+06 0.5; - q_b[22] 0xc0 7.68002e+06 0.5; - q_b[23] 0xc0 7.68002e+06 0.5; - q_b[24] 0xc0 7.68002e+06 0.5; - q_b[25] 0xc0 7.68002e+06 0.5; - q_b[26] 0xc0 7.68002e+06 0.5; - q_b[27] 0xc0 7.68002e+06 0.5; - q_b[28] 0xc0 7.68002e+06 0.5; - q_b[29] 0xc0 7.68002e+06 0.5; - q_b[30] 0xc0 7.68002e+06 0.5; - q_b[31] 0xc0 7.68002e+06 0.5; - full_dff 0xc0 7.68002e+06 0.5; - low_addressa[0] 0xc0 7.68002e+06 0.5; - low_addressa[0]~0 0xc0 1.9125e+06 0.25; - low_addressa[1] 0xc0 7.68002e+06 0.5; - low_addressa[1]~1 0xc0 1.9125e+06 0.25; - low_addressa[2] 0xc0 7.68002e+06 0.5; - low_addressa[2]~2 0xc0 1.9125e+06 0.25; - low_addressa[3] 0xc0 7.68002e+06 0.5; - low_addressa[3]~3 0xc0 1.9125e+06 0.25; - low_addressa[4] 0xc0 7.68002e+06 0.5; - low_addressa[4]~4 0xc0 1.9125e+06 0.25; - ram_read_address[0]~0 0xc0 4.62001e+06 0.5; - ram_read_address[1]~1 0xc0 5.52001e+06 0.5; - ram_read_address[2]~2 0xc0 7.68002e+06 0.5; - ram_read_address[3]~3 0xc0 5.52001e+06 0.5; - ram_read_address[4]~4 0xc0 7.68002e+06 0.5; - rd_ptr_lsb 0xc0 7.68002e+06 0.5; - rd_ptr_lsb~0 0xc0 4.32001e+06 0.25; - rd_ptr_lsb~1 0xc0 4.32001e+06 0.75; - cntr_t9b:rd_ptr_msb; - _~0 0xc0 5.76001e+06 0.625; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.32001e+06 0.75; - counter_comb_bita2 0xc0 6.96002e+06 0.5; - counter_comb_bita2~COUT 0xc0 5.88002e+06 0.125; - counter_comb_bita3 0xc0 8.94002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - counter_reg_bit[3] 0xc0 7.68002e+06 0.5; - cntr_aa7:usedw_counter; - _~0 0xc0 5.43939e+06 0.75; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.41002e+06 0.5; - counter_comb_bita1 0xc0 7.54502e+06 0.5; - counter_comb_bita1~COUT 0xc0 6.91592e+06 0.75; - counter_comb_bita2 0xc0 8.25797e+06 0.5; - counter_comb_bita2~COUT 0xc0 9.97139e+06 0.125; - counter_comb_bita3 0xc0 1.09857e+07 0.5; - counter_comb_bita3~COUT 0xc0 2.53822e+06 0.9375; - counter_comb_bita4 0xc0 8.04913e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - counter_reg_bit[3] 0xc0 7.68002e+06 0.5; - counter_reg_bit[4] 0xc0 7.68002e+06 0.5; - usedw_is_0_dff 0xc0 7.68002e+06 0.5; - usedw_is_1_dff 0xc0 7.68002e+06 0.5; - usedw_will_be_1~0 0xc0 945002 0.0625; - usedw_will_be_1~1 0xc0 151239 0.0146484; - usedw_will_be_1~2 0xc0 7.46346e+06 0.265625; - usedw_will_be_1~3 0xc0 4.32001e+06 0.25; - usedw_will_be_1~4 0xc0 2.82266e+06 0.138191; - valid_wreq~0 0xc0 1.26e+06 0.0625; - cntr_u9b:wr_ptr; - _~0 0xc0 7.38002e+06 0.53125; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.32001e+06 0.75; - counter_comb_bita2 0xc0 6.96002e+06 0.5; - counter_comb_bita2~COUT 0xc0 5.88002e+06 0.125; - counter_comb_bita3 0xc0 8.94002e+06 0.5; - counter_comb_bita3~COUT 0xc0 1.71e+06 0.9375; - counter_comb_bita4 0xc0 7.63502e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - counter_reg_bit[3] 0xc0 7.68002e+06 0.5; - counter_reg_bit[4] 0xc0 7.68002e+06 0.5; - source_valid_s 0xc0 7.68002e+06 0.5; - source_valid_s~0 0xc0 4.32001e+06 0.25; - rx_ciccomp:RX_CICCOMP_I; - rx_ciccomp_0002:rx_ciccomp_inst; - rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst; - rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 4.32001e+06 0.25; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 6.96002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 2.04001e+06 0.875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 7.02002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 6.51002e+06 0.0625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 1.0035e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 1.6875e+06 0.96875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 8.05877e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 7.63689e+06 0.015625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 1.12622e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 1.91297e+06 0.992188; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 8.51744e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 8.0392e+06 0.00390625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 1.16399e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 7.68002e+06 0.5; - Add0~0 0xc0 7.68002e+06 0.5; - Add0~1 0xc0 7.68002e+06 0.5; - Add0~2 0xc0 7.68002e+06 0.5; - Add0~3 0xc0 4.32001e+06 0.25; - Add0~4 0xc0 6.96002e+06 0.5; - Add0~5 0xc0 2.04001e+06 0.875; - Add0~6 0xc0 7.02002e+06 0.5; - Add0~7 0xc0 6.51002e+06 0.0625; - Add0~8 0xc0 1.0035e+07 0.5; - Add0~9 0xc0 1.6875e+06 0.96875; - Add0~10 0xc0 8.05877e+06 0.5; - Add0~11 0xc0 7.63689e+06 0.015625; - Add0~12 0xc0 1.12622e+07 0.5; - Add1~0 0xc0 7.68002e+06 0.5; - Add1~1 0xc0 7.68002e+06 0.5; - Add1~2 0xc0 7.68002e+06 0.5; - Add1~3 0xc0 4.32001e+06 0.25; - Add1~4 0xc0 6.96002e+06 0.5; - Add1~5 0xc0 2.04001e+06 0.875; - Add1~6 0xc0 7.02002e+06 0.5; - Add1~7 0xc0 6.51002e+06 0.0625; - Add1~8 0xc0 1.0035e+07 0.5; - Add1~9 0xc0 1.6875e+06 0.96875; - Add1~10 0xc0 8.05877e+06 0.5; - Add1~11 0xc0 7.63689e+06 0.015625; - Add1~12 0xc0 1.12622e+07 0.5; - Add1~14 0xc0 8.13942e+06 0.5; - Add1~15 0xc0 7.8694e+06 0.5; - Add1~16 0xc0 7.16828e+06 0.5; - Add1~17 0xc0 7.35002e+06 0.5; - Add1~18 0xc0 7.32002e+06 0.5; - Add1~19 0xc0 6.24002e+06 0.5; - Add1~20 0xc0 4.80001e+06 0.5; - Add2~0 0xc0 4.39501e+06 0.5; - Add3~0 0xc0 7.68002e+06 0.5; - Add3~1 0xc0 7.68002e+06 0.5; - Add3~2 0xc0 7.68002e+06 0.5; - Add3~3 0xc0 4.32001e+06 0.25; - Add3~4 0xc0 6.96002e+06 0.5; - Add3~5 0xc0 2.04001e+06 0.875; - Add3~6 0xc0 7.02002e+06 0.5; - Add3~7 0xc0 6.51002e+06 0.0625; - Add3~8 0xc0 1.0035e+07 0.5; - Add3~9 0xc0 1.6875e+06 0.96875; - Add3~10 0xc0 8.05877e+06 0.5; - Add3~11 0xc0 7.63689e+06 0.015625; - Add3~12 0xc0 1.12622e+07 0.5; - Add3~13 0xc0 9.35299e+06 0.492188; - Add3~14 0xc0 8.51744e+06 0.5; - Add3~15 0xc0 6.0592e+06 0.753906; - Add3~16 0xc0 7.85984e+06 0.5; - Add7~0 0xc0 7.68002e+06 0.5; - Add7~1 0xc0 7.68002e+06 0.5; - Add7~2 0xc0 7.68002e+06 0.5; - Add7~2_wirecell 0xc0 7.68002e+06 0.5; - Add7~3 0xc0 4.32001e+06 0.25; - Add7~4 0xc0 6.96002e+06 0.5; - Add7~4_wirecell 0xc0 6.96002e+06 0.5; - Add7~5 0xc0 2.04001e+06 0.875; - Add7~6 0xc0 7.02002e+06 0.5; - Add7~6_wirecell 0xc0 7.02002e+06 0.5; - Add7~7 0xc0 6.51002e+06 0.0625; - Add7~8 0xc0 1.0035e+07 0.5; - Add7~8_wirecell 0xc0 1.0035e+07 0.5; - Add7~9 0xc0 1.6875e+06 0.96875; - Add7~10 0xc0 8.05877e+06 0.5; - Add7~10_wirecell 0xc0 8.05877e+06 0.5; - Add7~11 0xc0 7.63689e+06 0.015625; - Add7~12 0xc0 1.12622e+07 0.5; - dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][0] 0xc0 7.68002e+06 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_15; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][0] 0xc0 7.68002e+06 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_16; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][0]~feeder 0xc0 7.68002e+06 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][1] 0xc0 7.68002e+06 0.5; - delay_signals[0][1]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][2] 0xc0 7.68002e+06 0.5; - delay_signals[0][3] 0xc0 7.68002e+06 0.5; - delay_signals[0][3]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][4] 0xc0 7.68002e+06 0.5; - delay_signals[0][5] 0xc0 7.68002e+06 0.5; - delay_signals[0][6] 0xc0 7.68002e+06 0.5; - delay_signals[0][6]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][7] 0xc0 7.68002e+06 0.5; - delay_signals[0][7]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][8] 0xc0 7.68002e+06 0.5; - delay_signals[0][9] 0xc0 7.68002e+06 0.5; - delay_signals[0][9]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][10] 0xc0 7.68002e+06 0.5; - delay_signals[0][11] 0xc0 7.68002e+06 0.5; - delay_signals[0][11]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][12] 0xc0 7.68002e+06 0.5; - delay_signals[0][12]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][13] 0xc0 7.68002e+06 0.5; - delay_signals[0][13]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][14] 0xc0 7.68002e+06 0.5; - delay_signals[0][14]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][15] 0xc0 7.68002e+06 0.5; - delay_signals[0][15]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][16] 0xc0 7.68002e+06 0.5; - delay_signals[0][16]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][17] 0xc0 7.68002e+06 0.5; - delay_signals[0][17]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][18] 0xc0 7.68002e+06 0.5; - delay_signals[0][18]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][19] 0xc0 7.68002e+06 0.5; - delay_signals[0][19]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][20] 0xc0 7.68002e+06 0.5; - delay_signals[0][20]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][21] 0xc0 7.68002e+06 0.5; - delay_signals[0][22] 0xc0 7.68002e+06 0.5; - delay_signals[0][22]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][23] 0xc0 7.68002e+06 0.5; - delay_signals[0][24] 0xc0 7.68002e+06 0.5; - delay_signals[0][24]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][25] 0xc0 7.68002e+06 0.5; - delay_signals[0][26] 0xc0 7.68002e+06 0.5; - delay_signals[0][26]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][27] 0xc0 7.68002e+06 0.5; - delay_signals[0][27]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][28] 0xc0 7.68002e+06 0.5; - delay_signals[0][29] 0xc0 7.68002e+06 0.5; - delay_signals[0][30] 0xc0 7.68002e+06 0.5; - delay_signals[0][31] 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][1] 0xc0 7.68002e+06 0.5; - delay_signals[1][2] 0xc0 7.68002e+06 0.5; - delay_signals[1][3] 0xc0 7.68002e+06 0.5; - delay_signals[1][3]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][4] 0xc0 7.68002e+06 0.5; - delay_signals[1][5] 0xc0 7.68002e+06 0.5; - delay_signals[1][5]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][6] 0xc0 7.68002e+06 0.5; - delay_signals[1][6]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][7] 0xc0 7.68002e+06 0.5; - delay_signals[1][8] 0xc0 7.68002e+06 0.5; - delay_signals[1][8]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][9] 0xc0 7.68002e+06 0.5; - delay_signals[1][10] 0xc0 7.68002e+06 0.5; - delay_signals[1][10]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][11] 0xc0 7.68002e+06 0.5; - delay_signals[1][12] 0xc0 7.68002e+06 0.5; - delay_signals[1][12]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][13] 0xc0 7.68002e+06 0.5; - delay_signals[1][13]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][14] 0xc0 7.68002e+06 0.5; - delay_signals[1][14]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][15] 0xc0 7.68002e+06 0.5; - delay_signals[1][15]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][16] 0xc0 7.68002e+06 0.5; - delay_signals[1][17] 0xc0 7.68002e+06 0.5; - delay_signals[1][17]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][18] 0xc0 7.68002e+06 0.5; - delay_signals[1][18]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][19] 0xc0 7.68002e+06 0.5; - delay_signals[1][19]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][20] 0xc0 7.68002e+06 0.5; - delay_signals[1][20]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][21] 0xc0 7.68002e+06 0.5; - delay_signals[1][22] 0xc0 7.68002e+06 0.5; - delay_signals[1][22]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][23] 0xc0 7.68002e+06 0.5; - delay_signals[1][23]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][24] 0xc0 7.68002e+06 0.5; - delay_signals[1][25] 0xc0 7.68002e+06 0.5; - delay_signals[1][25]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][26] 0xc0 7.68002e+06 0.5; - delay_signals[1][27] 0xc0 7.68002e+06 0.5; - delay_signals[1][27]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][28] 0xc0 7.68002e+06 0.5; - delay_signals[1][28]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][29] 0xc0 7.68002e+06 0.5; - delay_signals[1][29]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][30] 0xc0 7.68002e+06 0.5; - delay_signals[1][30]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][31] 0xc0 7.68002e+06 0.5; - delay_signals[1][31]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][0] 0xc0 7.68002e+06 0.5; - delay_signals[2][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][1] 0xc0 7.68002e+06 0.5; - delay_signals[2][2] 0xc0 7.68002e+06 0.5; - delay_signals[2][2]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][3] 0xc0 7.68002e+06 0.5; - delay_signals[2][3]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][4] 0xc0 7.68002e+06 0.5; - delay_signals[2][5] 0xc0 7.68002e+06 0.5; - delay_signals[2][6] 0xc0 7.68002e+06 0.5; - delay_signals[2][6]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][7] 0xc0 7.68002e+06 0.5; - delay_signals[2][7]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][8] 0xc0 7.68002e+06 0.5; - delay_signals[2][8]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][9] 0xc0 7.68002e+06 0.5; - delay_signals[2][9]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][10] 0xc0 7.68002e+06 0.5; - delay_signals[2][10]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][11] 0xc0 7.68002e+06 0.5; - delay_signals[2][11]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][12] 0xc0 7.68002e+06 0.5; - delay_signals[2][13] 0xc0 7.68002e+06 0.5; - delay_signals[2][14] 0xc0 7.68002e+06 0.5; - delay_signals[2][14]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][15] 0xc0 7.68002e+06 0.5; - delay_signals[2][15]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][16] 0xc0 7.68002e+06 0.5; - delay_signals[2][16]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][17] 0xc0 7.68002e+06 0.5; - delay_signals[2][17]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][18] 0xc0 7.68002e+06 0.5; - delay_signals[2][18]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][19] 0xc0 7.68002e+06 0.5; - delay_signals[2][20] 0xc0 7.68002e+06 0.5; - delay_signals[2][20]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][21] 0xc0 7.68002e+06 0.5; - delay_signals[2][21]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][22] 0xc0 7.68002e+06 0.5; - delay_signals[2][22]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][23] 0xc0 7.68002e+06 0.5; - delay_signals[2][24] 0xc0 7.68002e+06 0.5; - delay_signals[2][25] 0xc0 7.68002e+06 0.5; - delay_signals[2][26] 0xc0 7.68002e+06 0.5; - delay_signals[2][26]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][27] 0xc0 7.68002e+06 0.5; - delay_signals[2][28] 0xc0 7.68002e+06 0.5; - delay_signals[2][29] 0xc0 7.68002e+06 0.5; - delay_signals[2][29]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][30] 0xc0 7.68002e+06 0.5; - delay_signals[2][30]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][31] 0xc0 7.68002e+06 0.5; - delay_signals[2][31]~feeder 0xc0 7.68002e+06 0.5; - Mux2~0 0xc0 6.394e+06 0.125; - Mux2~1 0xc0 8.94859e+06 0.28125; - Mux3~0 0xc0 4.27501e+06 0.4375; - Mux3~1 0xc0 4.27501e+06 0.4375; - Mux3~2 0xc0 1.03761e+07 0.40625; - Mux3~3 0xc0 6.38551e+06 0.382813; - Mux4~0 0xc0 2.89876e+06 0.5; - Mux4~1 0xc0 3.67501e+06 0.5; - Mux4~2 0xc0 1.08121e+07 0.390625; - Mux4~3 0xc0 1.32163e+07 0.359863; - Mux5~0 0xc0 3.82501e+06 0.625; - Mux5~1 0xc0 2.82376e+06 0.625; - Mux5~2 0xc0 7.01707e+06 0.359375; - Mux5~3 0xc0 7.5487e+06 0.311035; - Mux6~0 0xc0 2.93251e+06 0.3125; - Mux6~1 0xc0 3.54001e+06 0.6875; - Mux6~2 0xc0 1.03888e+07 0.4375; - Mux6~3 0xc0 9.54297e+06 0.425781; - Mux7~0 0xc0 3.01126e+06 0.5; - Mux7~1 0xc0 3.50626e+06 0.5; - Mux7~2 0xc0 3.93286e+06 0.390625; - Mux7~3 0xc0 3.67941e+06 0.359863; - Mux8~0 0xc0 3.63001e+06 0.5; - Mux8~1 0xc0 3.29251e+06 0.5; - Mux8~2 0xc0 4.12201e+06 0.40625; - Mux8~3 0xc0 5.40971e+06 0.376953; - Mux9~0 0xc0 4.09501e+06 0.375; - Mux9~1 0xc0 4.09501e+06 0.625; - Mux9~2 0xc0 691877 0.0625; - Mux9~3 0xc0 4.32556e+06 0.359375; - Mux9~4 0xc0 691877 0.0625; - Mux9~5 0xc0 3.98525e+06 0.311035; - Mux9~6 0xc0 1.83e+06 0.125; - Mux9~7 0xc0 2.64001e+06 0.125; - u0_m0_wo0_accum_o[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[0]~46 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[0]~47 0xc0 4.32001e+06 0.25; - u0_m0_wo0_accum_o[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[1]~48 0xc0 6.48002e+06 0.5; - u0_m0_wo0_accum_o[1]~49 0xc0 3.57751e+06 0.625; - u0_m0_wo0_accum_o[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[2]~50 0xc0 5.48439e+06 0.5; - u0_m0_wo0_accum_o[2]~51 0xc0 6.3722e+06 0.4375; - u0_m0_wo0_accum_o[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[3]~52 0xc0 5.98056e+06 0.5; - u0_m0_wo0_accum_o[3]~53 0xc0 4.88779e+06 0.53125; - u0_m0_wo0_accum_o[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[4]~54 0xc0 5.55883e+06 0.5; - u0_m0_wo0_accum_o[4]~55 0xc0 5.4588e+06 0.484375; - u0_m0_wo0_accum_o[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[5]~56 0xc0 5.68893e+06 0.5; - u0_m0_wo0_accum_o[5]~57 0xc0 5.11181e+06 0.507813; - u0_m0_wo0_accum_o[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[6]~58 0xc0 5.59902e+06 0.5; - u0_m0_wo0_accum_o[6]~59 0xc0 5.2676e+06 0.496094; - u0_m0_wo0_accum_o[7] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[7]~60 0xc0 5.63718e+06 0.5; - u0_m0_wo0_accum_o[7]~61 0xc0 5.18499e+06 0.501953; - u0_m0_wo0_accum_o[8] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[8]~62 0xc0 5.61632e+06 0.5; - u0_m0_wo0_accum_o[8]~63 0xc0 5.22508e+06 0.499023; - u0_m0_wo0_accum_o[9] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[9]~64 0xc0 5.6263e+06 0.5; - u0_m0_wo0_accum_o[9]~65 0xc0 5.20473e+06 0.500488; - u0_m0_wo0_accum_o[10] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[10]~66 0xc0 5.6212e+06 0.5; - u0_m0_wo0_accum_o[10]~67 0xc0 5.21483e+06 0.499756; - u0_m0_wo0_accum_o[11] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[11]~68 0xc0 5.62372e+06 0.5; - u0_m0_wo0_accum_o[11]~69 0xc0 5.20976e+06 0.500122; - u0_m0_wo0_accum_o[12] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[12]~70 0xc0 5.62245e+06 0.5; - u0_m0_wo0_accum_o[12]~71 0xc0 5.21229e+06 0.499939; - u0_m0_wo0_accum_o[13] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[13]~72 0xc0 5.62308e+06 0.5; - u0_m0_wo0_accum_o[13]~73 0xc0 5.21102e+06 0.500031; - u0_m0_wo0_accum_o[14] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[14]~74 0xc0 5.62277e+06 0.5; - u0_m0_wo0_accum_o[14]~75 0xc0 5.21165e+06 0.499985; - u0_m0_wo0_accum_o[15] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[15]~76 0xc0 5.62292e+06 0.5; - u0_m0_wo0_accum_o[15]~77 0xc0 5.21134e+06 0.500008; - u0_m0_wo0_accum_o[16] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[16]~78 0xc0 5.62285e+06 0.5; - u0_m0_wo0_accum_o[16]~79 0xc0 5.21149e+06 0.499996; - u0_m0_wo0_accum_o[17] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[17]~80 0xc0 5.62289e+06 0.5; - u0_m0_wo0_accum_o[17]~81 0xc0 5.21142e+06 0.500002; - u0_m0_wo0_accum_o[18] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[18]~82 0xc0 5.62286e+06 0.5; - u0_m0_wo0_accum_o[18]~83 0xc0 5.21146e+06 0.499999; - u0_m0_wo0_accum_o[19] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[19]~84 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[19]~85 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[20] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[20]~86 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[20]~87 0xc0 5.21145e+06 0.5; - u0_m0_wo0_accum_o[21] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[21]~88 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[21]~89 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[22] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[22]~90 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[22]~91 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[23] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[23]~92 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[23]~93 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[24] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[24]~94 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[24]~95 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[25] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[25]~96 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[25]~97 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[26] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[26]~98 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[26]~99 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[27] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[27]~100 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[27]~101 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[28] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[28]~102 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[28]~103 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[29] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[29]~104 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[29]~105 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[30] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[30]~106 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[30]~107 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[31] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[31]~108 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[31]~109 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[32] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[32]~110 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[32]~111 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[33] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[33]~112 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[33]~113 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[34] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[34]~114 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[34]~115 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[35] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[35]~116 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[35]~117 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[36] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[36]~118 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[36]~119 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[37] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[37]~120 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[37]~121 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[38] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[38]~122 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[38]~123 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[39] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[39]~124 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[39]~125 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[40] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[40]~126 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[40]~127 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[41] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[41]~128 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[41]~129 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[42] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[42]~130 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[42]~131 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[43] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[43]~132 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[43]~133 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[44] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[44]~134 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[44]~135 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[45] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[45]~136 0xc0 7.06288e+06 0.5; - u0_m0_wo0_aseq_eq 0xc0 7.68002e+06 0.5; - u0_m0_wo0_aseq_eq~0 0xc0 1.71e+06 0.0625; - u0_m0_wo0_aseq_eq~1 0xc0 945002 0.0625; - u0_m0_wo0_aseq_eq~2 0xc0 253126 0.015625; - u0_m0_wo0_aseq_eq~3 0xc0 3.83028e+06 0.250732; - u0_m0_wo0_ca0_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[0]~5 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_ca0_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_ca0_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_ca0_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 7.68002e+06 0.5; - dspba_delay:u0_m0_wo0_compute; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][0]~feeder 0xc0 7.68002e+06 0.5; - dspba_delay:u0_m0_wo0_memread; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component; - mult_rcu:auto_generated; - mac_mult1 0xc0 3.07201e+07 0.5; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT23 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT24 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT25 0xc0 3.07201e+07 0.5; - result[0] 0xc0 7.68002e+06 0.5; - result[1] 0xc0 7.68002e+06 0.5; - result[2] 0xc0 7.68002e+06 0.5; - result[3] 0xc0 7.68002e+06 0.5; - result[4] 0xc0 7.68002e+06 0.5; - result[5] 0xc0 7.68002e+06 0.5; - result[6] 0xc0 7.68002e+06 0.5; - result[7] 0xc0 7.68002e+06 0.5; - result[8] 0xc0 7.68002e+06 0.5; - result[9] 0xc0 7.68002e+06 0.5; - result[10] 0xc0 7.68002e+06 0.5; - result[11] 0xc0 7.68002e+06 0.5; - result[12] 0xc0 7.68002e+06 0.5; - result[13] 0xc0 7.68002e+06 0.5; - result[14] 0xc0 7.68002e+06 0.5; - result[15] 0xc0 7.68002e+06 0.5; - result[16] 0xc0 7.68002e+06 0.5; - result[17] 0xc0 7.68002e+06 0.5; - result[18] 0xc0 7.68002e+06 0.5; - result[19] 0xc0 7.68002e+06 0.5; - result[20] 0xc0 7.68002e+06 0.5; - result[21] 0xc0 7.68002e+06 0.5; - result[22] 0xc0 7.68002e+06 0.5; - result[23] 0xc0 7.68002e+06 0.5; - result[24] 0xc0 7.68002e+06 0.5; - result[25] 0xc0 7.68002e+06 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component; - mult_lcu:auto_generated; - mac_mult1 0xc0 3.07201e+07 0.5; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~24 0xc0 0 0; - mac_mult1~25 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.07201e+07 0.5; - result[0] 0xc0 7.68002e+06 0.5; - result[1] 0xc0 7.68002e+06 0.5; - result[2] 0xc0 7.68002e+06 0.5; - result[3] 0xc0 7.68002e+06 0.5; - result[4] 0xc0 7.68002e+06 0.5; - result[5] 0xc0 7.68002e+06 0.5; - result[6] 0xc0 7.68002e+06 0.5; - result[7] 0xc0 7.68002e+06 0.5; - result[8] 0xc0 7.68002e+06 0.5; - result[9] 0xc0 7.68002e+06 0.5; - result[10] 0xc0 7.68002e+06 0.5; - result[11] 0xc0 7.68002e+06 0.5; - result[12] 0xc0 7.68002e+06 0.5; - result[13] 0xc0 7.68002e+06 0.5; - result[14] 0xc0 7.68002e+06 0.5; - result[15] 0xc0 7.68002e+06 0.5; - result[16] 0xc0 7.68002e+06 0.5; - result[17] 0xc0 7.68002e+06 0.5; - result[18] 0xc0 7.68002e+06 0.5; - result[19] 0xc0 7.68002e+06 0.5; - result[20] 0xc0 7.68002e+06 0.5; - result[21] 0xc0 7.68002e+06 0.5; - result[22] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[0]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[1]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[2]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[3]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[5]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[6]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[7] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[7]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[8] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[9] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[9]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[10] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[11] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[12] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[12]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[13] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[14] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[15] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[15]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[16] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[16]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17]~24 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17]~25 0xc0 4.32001e+06 0.25; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18]~26 0xc0 6.48002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18]~27 0xc0 3.57751e+06 0.625; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19]~28 0xc0 5.48439e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19]~29 0xc0 6.3722e+06 0.4375; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20]~30 0xc0 5.98056e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20]~31 0xc0 4.88779e+06 0.53125; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21]~32 0xc0 5.55883e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21]~33 0xc0 5.4588e+06 0.484375; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22]~34 0xc0 5.68893e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22]~35 0xc0 5.11181e+06 0.507813; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23]~36 0xc0 5.59902e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23]~37 0xc0 5.2676e+06 0.496094; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24]~38 0xc0 5.63718e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24]~39 0xc0 5.18499e+06 0.501953; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25]~40 0xc0 7.05635e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25]~41 0xc0 7.39074e+06 0.499023; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26]~42 0xc0 7.60772e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26]~43 0xc0 7.63264e+06 0.500488; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27]~44 0xc0 7.66818e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27]~45 0xc0 7.67973e+06 0.499756; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28]~46 0xc0 7.67995e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28]~47 0xc0 7.67717e+06 0.500122; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29]~48 0xc0 7.67931e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29]~49 0xc0 7.68107e+06 0.499939; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30]~50 0xc0 7.68028e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30]~51 0xc0 7.67945e+06 0.500031; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31]~52 0xc0 7.67988e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31]~53 0xc0 7.6803e+06 0.499985; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32]~54 0xc0 7.68009e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32]~55 0xc0 7.67988e+06 0.500008; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33]~56 0xc0 7.67998e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33]~57 0xc0 7.68009e+06 0.499996; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34]~58 0xc0 7.68004e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34]~59 0xc0 7.67998e+06 0.500002; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35]~60 0xc0 7.68001e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35]~61 0xc0 7.68004e+06 0.499999; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36]~62 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36]~63 0xc0 7.68001e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37]~64 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37]~65 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38]~66 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38]~67 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39]~68 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39]~69 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[40] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[40]~70 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_count[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_count[0]~0 0xc0 3.60751e+06 0.5; - u0_m0_wo0_run_count[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_enableQ[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_enableQ~0 0xc0 5.96651e+06 0.25; - u0_m0_wo0_run_q[0] 0xc0 7.68002e+06 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_sln3:auto_generated; - q_b[0] 0xc0 7.68002e+06 0.5; - q_b[1] 0xc0 7.68002e+06 0.5; - q_b[2] 0xc0 7.68002e+06 0.5; - q_b[3] 0xc0 7.68002e+06 0.5; - q_b[4] 0xc0 7.68002e+06 0.5; - q_b[5] 0xc0 7.68002e+06 0.5; - q_b[6] 0xc0 7.68002e+06 0.5; - q_b[7] 0xc0 7.68002e+06 0.5; - q_b[8] 0xc0 7.68002e+06 0.5; - q_b[9] 0xc0 7.68002e+06 0.5; - q_b[10] 0xc0 7.68002e+06 0.5; - q_b[11] 0xc0 7.68002e+06 0.5; - q_b[12] 0xc0 7.68002e+06 0.5; - q_b[13] 0xc0 7.68002e+06 0.5; - q_b[14] 0xc0 7.68002e+06 0.5; - q_b[15] 0xc0 7.68002e+06 0.5; - q_b[16] 0xc0 7.68002e+06 0.5; - q_b[17] 0xc0 7.68002e+06 0.5; - q_b[18] 0xc0 7.68002e+06 0.5; - q_b[19] 0xc0 7.68002e+06 0.5; - q_b[20] 0xc0 7.68002e+06 0.5; - q_b[21] 0xc0 7.68002e+06 0.5; - q_b[22] 0xc0 7.68002e+06 0.5; - q_b[23] 0xc0 7.68002e+06 0.5; - q_b[24] 0xc0 7.68002e+06 0.5; - q_b[25] 0xc0 7.68002e+06 0.5; - q_b[26] 0xc0 7.68002e+06 0.5; - q_b[27] 0xc0 7.68002e+06 0.5; - q_b[28] 0xc0 7.68002e+06 0.5; - q_b[29] 0xc0 7.68002e+06 0.5; - q_b[30] 0xc0 7.68002e+06 0.5; - q_b[31] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 6.48002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 3.57751e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 5.48439e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 6.3722e+06 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 5.98056e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 4.88779e+06 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 5.55883e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 5.4588e+06 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 7.13034e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 4.62001e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 2.04001e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 7.02002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 6.51002e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 1.0035e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 1.6875e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 8.05877e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 7.63689e+06 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 1.12622e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~5 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 7.68002e+06 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 7.68002e+06 0.5; - data_out[1] 0xc0 7.68002e+06 0.5; - data_out[2] 0xc0 7.68002e+06 0.5; - data_out[3] 0xc0 7.68002e+06 0.5; - data_out[4] 0xc0 7.68002e+06 0.5; - data_out[5] 0xc0 7.68002e+06 0.5; - data_out[6] 0xc0 7.68002e+06 0.5; - data_out[7] 0xc0 7.68002e+06 0.5; - data_out[8] 0xc0 7.68002e+06 0.5; - data_out[9] 0xc0 7.68002e+06 0.5; - data_out[10] 0xc0 7.68002e+06 0.5; - data_out[11] 0xc0 7.68002e+06 0.5; - data_out[12] 0xc0 7.68002e+06 0.5; - data_out[13] 0xc0 7.68002e+06 0.5; - data_out[14] 0xc0 7.68002e+06 0.5; - data_out[15] 0xc0 7.68002e+06 0.5; - data_out[16] 0xc0 7.68002e+06 0.5; - data_out[17] 0xc0 7.68002e+06 0.5; - data_out[18] 0xc0 7.68002e+06 0.5; - data_out[19] 0xc0 7.68002e+06 0.5; - data_out[20] 0xc0 7.68002e+06 0.5; - data_out[21] 0xc0 7.68002e+06 0.5; - data_out[22] 0xc0 7.68002e+06 0.5; - data_out[23] 0xc0 7.68002e+06 0.5; - data_out[24] 0xc0 7.68002e+06 0.5; - data_out[25] 0xc0 7.68002e+06 0.5; - data_out[26] 0xc0 7.68002e+06 0.5; - data_out[27] 0xc0 7.68002e+06 0.5; - data_out[28] 0xc0 7.68002e+06 0.5; - data_out[29] 0xc0 7.68002e+06 0.5; - data_out[29]~feeder 0xc0 7.68002e+06 0.5; - data_out[30] 0xc0 7.68002e+06 0.5; - data_out[31] 0xc0 7.68002e+06 0.5; - data_out[32] 0xc0 7.68002e+06 0.5; - data_out[33] 0xc0 7.68002e+06 0.5; - data_out[34] 0xc0 7.68002e+06 0.5; - data_out[35] 0xc0 7.68002e+06 0.5; - data_out[36] 0xc0 7.68002e+06 0.5; - data_out[37] 0xc0 7.68002e+06 0.5; - data_out[38] 0xc0 7.68002e+06 0.5; - data_out[39] 0xc0 7.68002e+06 0.5; - data_out[40] 0xc0 7.68002e+06 0.5; - data_out[41] 0xc0 7.68002e+06 0.5; - data_out[42] 0xc0 7.68002e+06 0.5; - data_out[43] 0xc0 7.68002e+06 0.5; - data_out[44] 0xc0 7.68002e+06 0.5; - data_out[45] 0xc0 7.68002e+06 0.5; - data_shifter:RX_CICFIR_GAINER; - Mux0~0 0xc0 1.59709e+06 0.46875; - Mux0~1 0xc0 855888 0.484375; - Mux0~2 0xc0 1.59912e+06 0.5; - Mux0~3 0xc0 1.02257e+06 0.46875; - Mux0~4 0xc0 1.59912e+06 0.5; - Mux0~5 0xc0 853569 0.5; - Mux1~0 0xc0 1.78068e+06 0.4375; - Mux1~1 0xc0 886103 0.46875; - Mux1~2 0xc0 1.59912e+06 0.5; - Mux1~3 0xc0 853569 0.5; - Mux1~4 0xc0 1.59912e+06 0.5; - Mux1~5 0xc0 853569 0.5; - Mux2~0 0xc0 1.59912e+06 0.5; - Mux2~1 0xc0 853569 0.5; - Mux2~2 0xc0 1.59912e+06 0.5; - Mux2~3 0xc0 853569 0.5; - Mux2~4 0xc0 2.13312e+06 0.40625; - Mux2~5 0xc0 942156 0.453125; - Mux2~6 0xc0 1.43351e+06 0.488281; - Mux2~7 0xc0 246212 0.03125; - Mux2~8 0xc0 1.71523e+06 0.3797; - Mux3~0 0xc0 1.59912e+06 0.5; - Mux3~1 0xc0 853569 0.5; - Mux3~2 0xc0 1.59912e+06 0.5; - Mux3~3 0xc0 853569 0.5; - Mux3~4 0xc0 1.5539e+06 0.5; - Mux3~5 0xc0 1.12214e+06 0.375; - Mux3~6 0xc0 1.46388e+06 0.46875; - Mux3~7 0xc0 456769 0.0625; - Mux3~8 0xc0 1.60015e+06 0.381836; - Mux4~0 0xc0 1.59912e+06 0.5; - Mux4~1 0xc0 853569 0.5; - Mux4~2 0xc0 1.59912e+06 0.5; - Mux4~3 0xc0 853569 0.5; - Mux4~4 0xc0 963245 0.21875; - Mux4~5 0xc0 941058 0.316406; - Mux4~6 0xc0 1.50661e+06 0.454102; - Mux4~7 0xc0 786665 0.09375; - Mux4~8 0xc0 1.50957e+06 0.384811; - Mux5~0 0xc0 1.59912e+06 0.5; - Mux5~1 0xc0 853569 0.5; - Mux5~2 0xc0 1.59912e+06 0.5; - Mux5~3 0xc0 853569 0.5; - Mux5~4 0xc0 986735 0.1875; - Mux5~5 0xc0 1.00788e+06 0.289063; - Mux5~6 0xc0 1.55122e+06 0.447266; - Mux5~7 0xc0 1.25627e+06 0.125; - Mux5~8 0xc0 1.44136e+06 0.389771; - Mux6~0 0xc0 1.59912e+06 0.5; - Mux6~1 0xc0 853569 0.5; - Mux6~2 0xc0 1.59912e+06 0.5; - Mux6~3 0xc0 853569 0.5; - Mux6~4 0xc0 1.1182e+06 0.15625; - Mux6~5 0xc0 1.09669e+06 0.261719; - Mux6~6 0xc0 1.60581e+06 0.44043; - Mux6~7 0xc0 1.1182e+06 0.15625; - Mux6~8 0xc0 1.35117e+06 0.394516; - Mux7~0 0xc0 1.59912e+06 0.5; - Mux7~1 0xc0 853569 0.5; - Mux7~2 0xc0 1.59912e+06 0.5; - Mux7~3 0xc0 853569 0.5; - Mux7~4 0xc0 1.06106e+06 0.25; - Mux7~5 0xc0 1.62605e+06 0.4375; - Mux7~6 0xc0 986735 0.1875; - Mux7~7 0xc0 1.26497e+06 0.400391; - Mux8~0 0xc0 2.66251e+06 0.5; - Mux8~1 0xc0 1.80502e+06 0.5; - Mux8~2 0xc0 2.66251e+06 0.5; - Mux8~3 0xc0 1.80502e+06 0.5; - Mux8~4 0xc0 3.90001e+06 0.5; - Mux8~5 0xc0 1.83463e+06 0.375; - Mux8~6 0xc0 2.66251e+06 0.5; - Mux8~7 0xc0 1.80502e+06 0.5; - Mux8~8 0xc0 2.66251e+06 0.5; - Mux8~9 0xc0 1.80502e+06 0.5; - Mux8~10 0xc0 2.66251e+06 0.5; - Mux8~11 0xc0 1.80502e+06 0.5; - Mux8~12 0xc0 2.66251e+06 0.5; - Mux8~13 0xc0 1.80502e+06 0.5; - Mux8~14 0xc0 3.90001e+06 0.5; - Mux8~15 0xc0 1.83463e+06 0.375; - Mux8~16 0xc0 2.66251e+06 0.5; - Mux8~17 0xc0 1.80502e+06 0.5; - Mux8~18 0xc0 2.66251e+06 0.5; - Mux8~19 0xc0 1.80502e+06 0.5; - Mux8~20 0xc0 2.66251e+06 0.5; - Mux8~21 0xc0 1.80502e+06 0.5; - Mux8~22 0xc0 2.66251e+06 0.5; - Mux8~23 0xc0 1.80502e+06 0.5; - Mux8~24 0xc0 1.59912e+06 0.5; - Mux8~25 0xc0 853569 0.5; - Mux8~26 0xc0 1.59912e+06 0.5; - Mux8~27 0xc0 853569 0.5; - Mux8~28 0xc0 963245 0.21875; - Mux8~29 0xc0 1.68724e+06 0.429688; - Mux8~30 0xc0 963245 0.21875; - Mux8~31 0xc0 1.193e+06 0.404419; - Mux9~0 0xc0 2.66251e+06 0.5; - Mux9~1 0xc0 1.80502e+06 0.5; - Mux9~2 0xc0 2.66251e+06 0.5; - Mux9~3 0xc0 1.80502e+06 0.5; - Mux9~4 0xc0 1.9125e+06 0.25; - Mux9~5 0xc0 2.66251e+06 0.5; - Mux9~6 0xc0 1.80502e+06 0.5; - Mux9~7 0xc0 2.66251e+06 0.5; - Mux9~8 0xc0 1.80502e+06 0.5; - Mux9~9 0xc0 2.66251e+06 0.5; - Mux9~10 0xc0 1.80502e+06 0.5; - Mux9~11 0xc0 2.66251e+06 0.5; - Mux9~12 0xc0 1.80502e+06 0.5; - Mux9~13 0xc0 2.66251e+06 0.5; - Mux9~14 0xc0 1.80502e+06 0.5; - Mux9~15 0xc0 2.66251e+06 0.5; - Mux9~16 0xc0 1.80502e+06 0.5; - Mux9~17 0xc0 2.66251e+06 0.5; - Mux9~18 0xc0 1.80502e+06 0.5; - Mux9~19 0xc0 2.66251e+06 0.5; - Mux9~20 0xc0 1.80502e+06 0.5; - Mux9~21 0xc0 2.66251e+06 0.5; - Mux9~22 0xc0 1.80502e+06 0.5; - Mux9~23 0xc0 1.59912e+06 0.5; - Mux9~24 0xc0 853569 0.5; - Mux9~25 0xc0 1.59912e+06 0.5; - Mux9~26 0xc0 853569 0.5; - Mux9~27 0xc0 986735 0.1875; - Mux9~28 0xc0 1.7686e+06 0.421875; - Mux9~29 0xc0 1.06106e+06 0.25; - Mux9~30 0xc0 1.13605e+06 0.408203; - Mux10~0 0xc0 2.66251e+06 0.5; - Mux10~1 0xc0 1.80502e+06 0.5; - Mux10~2 0xc0 2.66251e+06 0.5; - Mux10~3 0xc0 1.80502e+06 0.5; - Mux10~4 0xc0 2.66251e+06 0.5; - Mux10~5 0xc0 1.80502e+06 0.5; - Mux10~6 0xc0 2.66251e+06 0.5; - Mux10~7 0xc0 1.80502e+06 0.5; - Mux10~8 0xc0 2.66251e+06 0.5; - Mux10~9 0xc0 1.80502e+06 0.5; - Mux10~10 0xc0 2.66251e+06 0.5; - Mux10~11 0xc0 1.80502e+06 0.5; - Mux10~12 0xc0 2.66251e+06 0.5; - Mux10~13 0xc0 1.80502e+06 0.5; - Mux10~14 0xc0 2.66251e+06 0.5; - Mux10~15 0xc0 1.80502e+06 0.5; - Mux10~16 0xc0 2.66251e+06 0.5; - Mux10~17 0xc0 1.80502e+06 0.5; - Mux10~18 0xc0 2.66251e+06 0.5; - Mux10~19 0xc0 1.80502e+06 0.5; - Mux10~20 0xc0 1.83e+06 0.125; - Mux10~21 0xc0 2.66251e+06 0.5; - Mux10~22 0xc0 1.80502e+06 0.5; - Mux10~23 0xc0 1.83e+06 0.125; - Mux10~24 0xc0 1.59912e+06 0.5; - Mux10~25 0xc0 853569 0.5; - Mux10~26 0xc0 1.59912e+06 0.5; - Mux10~27 0xc0 853569 0.5; - Mux10~28 0xc0 1.1182e+06 0.15625; - Mux10~29 0xc0 1.8691e+06 0.414063; - Mux10~30 0xc0 1.1182e+06 0.15625; - Mux10~31 0xc0 1.09669e+06 0.261719; - Mux10~32 0xc0 1.12407e+06 0.4077; - Mux11~0 0xc0 2.66251e+06 0.5; - Mux11~1 0xc0 1.80502e+06 0.5; - Mux11~2 0xc0 2.66251e+06 0.5; - Mux11~3 0xc0 1.80502e+06 0.5; - Mux11~4 0xc0 2.66251e+06 0.5; - Mux11~5 0xc0 1.80502e+06 0.5; - Mux11~6 0xc0 2.66251e+06 0.5; - Mux11~7 0xc0 1.80502e+06 0.5; - Mux11~8 0xc0 2.66251e+06 0.5; - Mux11~9 0xc0 1.80502e+06 0.5; - Mux11~10 0xc0 2.66251e+06 0.5; - Mux11~11 0xc0 1.80502e+06 0.5; - Mux11~12 0xc0 2.66251e+06 0.5; - Mux11~13 0xc0 1.80502e+06 0.5; - Mux11~14 0xc0 2.66251e+06 0.5; - Mux11~15 0xc0 1.80502e+06 0.5; - Mux11~16 0xc0 2.66251e+06 0.5; - Mux11~17 0xc0 1.80502e+06 0.5; - Mux11~18 0xc0 2.66251e+06 0.5; - Mux11~19 0xc0 1.80502e+06 0.5; - Mux11~20 0xc0 2.66251e+06 0.5; - Mux11~21 0xc0 1.80502e+06 0.5; - Mux11~22 0xc0 1.9125e+06 0.25; - Mux11~23 0xc0 1.59912e+06 0.5; - Mux11~24 0xc0 853569 0.5; - Mux11~25 0xc0 1.59912e+06 0.5; - Mux11~26 0xc0 853569 0.5; - Mux11~27 0xc0 1.25627e+06 0.125; - Mux11~28 0xc0 1.98091e+06 0.40625; - Mux11~29 0xc0 986735 0.1875; - Mux11~30 0xc0 1.00788e+06 0.289063; - Mux11~31 0xc0 1.07884e+06 0.410278; - Mux12~0 0xc0 1.59912e+06 0.5; - Mux12~1 0xc0 853569 0.5; - Mux12~2 0xc0 1.59912e+06 0.5; - Mux12~3 0xc0 853569 0.5; - Mux12~4 0xc0 786665 0.09375; - Mux12~5 0xc0 2.05665e+06 0.398438; - Mux12~6 0xc0 963245 0.21875; - Mux12~7 0xc0 941058 0.316406; - Mux12~8 0xc0 1.03523e+06 0.412643; - Mux13~0 0xc0 1.59912e+06 0.5; - Mux13~1 0xc0 853569 0.5; - Mux13~2 0xc0 1.59912e+06 0.5; - Mux13~3 0xc0 853569 0.5; - Mux13~4 0xc0 456769 0.0625; - Mux13~5 0xc0 2.15397e+06 0.390625; - Mux13~6 0xc0 1.5539e+06 0.5; - Mux13~7 0xc0 1.12214e+06 0.375; - Mux13~8 0xc0 966423 0.420898; - Mux14~0 0xc0 1.59912e+06 0.5; - Mux14~1 0xc0 853569 0.5; - Mux14~2 0xc0 1.59912e+06 0.5; - Mux14~3 0xc0 853569 0.5; - Mux14~4 0xc0 246212 0.03125; - Mux14~5 0xc0 2.27131e+06 0.382813; - Mux14~6 0xc0 1.59912e+06 0.5; - Mux14~7 0xc0 1.63808e+06 0.40625; - Mux14~8 0xc0 966866 0.423462; - Mux15~0 0xc0 1.59912e+06 0.5; - Mux15~1 0xc0 853569 0.5; - Mux15~2 0xc0 1.59912e+06 0.5; - Mux15~3 0xc0 1.28807e+06 0.4375; - Mux15~4 0xc0 1.59912e+06 0.5; - Mux15~5 0xc0 853569 0.5; - Mux16~0 0xc0 2.66251e+06 0.5; - Mux16~1 0xc0 1.80502e+06 0.5; - Mux16~2 0xc0 2.66251e+06 0.5; - Mux16~3 0xc0 1.80502e+06 0.5; - Mux16~4 0xc0 3.90001e+06 0.5; - Mux16~5 0xc0 1.83463e+06 0.375; - Mux16~6 0xc0 1.59709e+06 0.46875; - Mux16~7 0xc0 2.66251e+06 0.5; - Mux16~8 0xc0 1.80502e+06 0.5; - Mux16~9 0xc0 855888 0.484375; - Mux16~10 0xc0 2.66251e+06 0.5; - Mux16~11 0xc0 1.80502e+06 0.5; - Mux16~12 0xc0 2.66251e+06 0.5; - Mux16~13 0xc0 1.80502e+06 0.5; - Mux16~14 0xc0 2.66251e+06 0.5; - Mux16~15 0xc0 1.80502e+06 0.5; - Mux16~16 0xc0 1.59912e+06 0.5; - Mux16~17 0xc0 3.90001e+06 0.5; - Mux16~18 0xc0 1.83463e+06 0.375; - Mux16~19 0xc0 1.02257e+06 0.46875; - Mux16~20 0xc0 2.66251e+06 0.5; - Mux16~21 0xc0 1.80502e+06 0.5; - Mux16~22 0xc0 2.66251e+06 0.5; - Mux16~23 0xc0 1.80502e+06 0.5; - Mux16~24 0xc0 2.66251e+06 0.5; - Mux16~25 0xc0 1.80502e+06 0.5; - Mux16~26 0xc0 1.59912e+06 0.5; - Mux16~27 0xc0 2.66251e+06 0.5; - Mux16~28 0xc0 1.80502e+06 0.5; - Mux16~29 0xc0 853569 0.5; - Mux17~0 0xc0 2.66251e+06 0.5; - Mux17~1 0xc0 1.80502e+06 0.5; - Mux17~2 0xc0 2.66251e+06 0.5; - Mux17~3 0xc0 1.80502e+06 0.5; - Mux17~4 0xc0 1.9125e+06 0.25; - Mux17~5 0xc0 1.78068e+06 0.4375; - Mux17~6 0xc0 2.66251e+06 0.5; - Mux17~7 0xc0 1.80502e+06 0.5; - Mux17~8 0xc0 886103 0.46875; - Mux17~9 0xc0 2.66251e+06 0.5; - Mux17~10 0xc0 1.80502e+06 0.5; - Mux17~11 0xc0 2.66251e+06 0.5; - Mux17~12 0xc0 1.80502e+06 0.5; - Mux17~13 0xc0 2.66251e+06 0.5; - Mux17~14 0xc0 1.80502e+06 0.5; - Mux17~15 0xc0 1.59912e+06 0.5; - Mux17~16 0xc0 2.66251e+06 0.5; - Mux17~17 0xc0 1.80502e+06 0.5; - Mux17~18 0xc0 853569 0.5; - Mux17~19 0xc0 2.66251e+06 0.5; - Mux17~20 0xc0 1.80502e+06 0.5; - Mux17~21 0xc0 2.66251e+06 0.5; - Mux17~22 0xc0 1.80502e+06 0.5; - Mux17~23 0xc0 2.66251e+06 0.5; - Mux17~24 0xc0 1.80502e+06 0.5; - Mux17~25 0xc0 1.59912e+06 0.5; - Mux17~26 0xc0 2.66251e+06 0.5; - Mux17~27 0xc0 1.80502e+06 0.5; - Mux17~28 0xc0 853569 0.5; - Mux18~0 0xc0 2.66251e+06 0.5; - Mux18~1 0xc0 1.80502e+06 0.5; - Mux18~2 0xc0 2.66251e+06 0.5; - Mux18~3 0xc0 1.80502e+06 0.5; - Mux18~4 0xc0 2.66251e+06 0.5; - Mux18~5 0xc0 1.80502e+06 0.5; - Mux18~6 0xc0 1.59912e+06 0.5; - Mux18~7 0xc0 2.66251e+06 0.5; - Mux18~8 0xc0 1.80502e+06 0.5; - Mux18~9 0xc0 853569 0.5; - Mux18~10 0xc0 2.66251e+06 0.5; - Mux18~11 0xc0 1.80502e+06 0.5; - Mux18~12 0xc0 2.66251e+06 0.5; - Mux18~13 0xc0 1.80502e+06 0.5; - Mux18~14 0xc0 2.66251e+06 0.5; - Mux18~15 0xc0 1.80502e+06 0.5; - Mux18~16 0xc0 1.59912e+06 0.5; - Mux18~17 0xc0 2.66251e+06 0.5; - Mux18~18 0xc0 1.80502e+06 0.5; - Mux18~19 0xc0 853569 0.5; - Mux18~20 0xc0 2.66251e+06 0.5; - Mux18~21 0xc0 1.80502e+06 0.5; - Mux18~22 0xc0 2.66251e+06 0.5; - Mux18~23 0xc0 1.80502e+06 0.5; - Mux18~24 0xc0 1.83e+06 0.125; - Mux18~25 0xc0 2.13312e+06 0.40625; - Mux18~26 0xc0 2.66251e+06 0.5; - Mux18~27 0xc0 1.80502e+06 0.5; - Mux18~28 0xc0 942156 0.453125; - Mux18~29 0xc0 1.43351e+06 0.488281; - Mux18~30 0xc0 246212 0.03125; - Mux18~31 0xc0 1.71523e+06 0.3797; - Mux19~0 0xc0 2.66251e+06 0.5; - Mux19~1 0xc0 1.80502e+06 0.5; - Mux19~2 0xc0 2.66251e+06 0.5; - Mux19~3 0xc0 1.80502e+06 0.5; - Mux19~4 0xc0 2.66251e+06 0.5; - Mux19~5 0xc0 1.80502e+06 0.5; - Mux19~6 0xc0 1.59912e+06 0.5; - Mux19~7 0xc0 2.66251e+06 0.5; - Mux19~8 0xc0 1.80502e+06 0.5; - Mux19~9 0xc0 853569 0.5; - Mux19~10 0xc0 2.66251e+06 0.5; - Mux19~11 0xc0 1.80502e+06 0.5; - Mux19~12 0xc0 2.66251e+06 0.5; - Mux19~13 0xc0 1.80502e+06 0.5; - Mux19~14 0xc0 2.66251e+06 0.5; - Mux19~15 0xc0 1.80502e+06 0.5; - Mux19~16 0xc0 1.59912e+06 0.5; - Mux19~17 0xc0 2.66251e+06 0.5; - Mux19~18 0xc0 1.80502e+06 0.5; - Mux19~19 0xc0 853569 0.5; - Mux19~20 0xc0 2.66251e+06 0.5; - Mux19~21 0xc0 1.80502e+06 0.5; - Mux19~22 0xc0 2.66251e+06 0.5; - Mux19~23 0xc0 1.80502e+06 0.5; - Mux19~24 0xc0 1.5539e+06 0.5; - Mux19~25 0xc0 2.66251e+06 0.5; - Mux19~26 0xc0 1.80502e+06 0.5; - Mux19~27 0xc0 1.12214e+06 0.375; - Mux19~28 0xc0 1.46388e+06 0.46875; - Mux19~29 0xc0 1.9125e+06 0.25; - Mux19~30 0xc0 456769 0.0625; - Mux19~31 0xc0 1.60015e+06 0.381836; - Mux20~0 0xc0 1.59912e+06 0.5; - Mux20~1 0xc0 853569 0.5; - Mux20~2 0xc0 1.59912e+06 0.5; - Mux20~3 0xc0 853569 0.5; - Mux20~4 0xc0 963245 0.21875; - Mux20~5 0xc0 941058 0.316406; - Mux20~6 0xc0 1.50661e+06 0.454102; - Mux20~7 0xc0 786665 0.09375; - Mux20~8 0xc0 1.50957e+06 0.384811; - Mux21~0 0xc0 1.59912e+06 0.5; - Mux21~1 0xc0 853569 0.5; - Mux21~2 0xc0 1.59912e+06 0.5; - Mux21~3 0xc0 853569 0.5; - Mux21~4 0xc0 986735 0.1875; - Mux21~5 0xc0 1.00788e+06 0.289063; - Mux21~6 0xc0 1.55122e+06 0.447266; - Mux21~7 0xc0 1.25627e+06 0.125; - Mux21~8 0xc0 1.44136e+06 0.389771; - Mux22~0 0xc0 1.83e+06 0.125; - Mux22~1 0xc0 1.59912e+06 0.5; - Mux22~2 0xc0 853569 0.5; - Mux22~3 0xc0 1.59912e+06 0.5; - Mux22~4 0xc0 853569 0.5; - Mux22~5 0xc0 1.1182e+06 0.15625; - Mux22~6 0xc0 1.09669e+06 0.261719; - Mux22~7 0xc0 1.60581e+06 0.44043; - Mux22~8 0xc0 1.1182e+06 0.15625; - Mux22~9 0xc0 1.35117e+06 0.394516; - Mux23~0 0xc0 1.59912e+06 0.5; - Mux23~1 0xc0 853569 0.5; - Mux23~2 0xc0 1.59912e+06 0.5; - Mux23~3 0xc0 853569 0.5; - Mux23~4 0xc0 1.06106e+06 0.25; - Mux23~5 0xc0 1.62605e+06 0.4375; - Mux23~6 0xc0 986735 0.1875; - Mux23~7 0xc0 1.26497e+06 0.400391; - Mux24~0 0xc0 1.59912e+06 0.5; - Mux24~1 0xc0 853569 0.5; - Mux24~2 0xc0 1.59912e+06 0.5; - Mux24~3 0xc0 853569 0.5; - Mux24~4 0xc0 963244 0.21875; - Mux24~5 0xc0 1.68724e+06 0.429688; - Mux24~6 0xc0 963245 0.21875; - Mux24~7 0xc0 1.193e+06 0.404419; - Mux25~0 0xc0 1.59912e+06 0.5; - Mux25~1 0xc0 853569 0.5; - Mux25~2 0xc0 1.59912e+06 0.5; - Mux25~3 0xc0 853569 0.5; - Mux25~4 0xc0 986735 0.1875; - Mux25~5 0xc0 1.7686e+06 0.421875; - Mux25~6 0xc0 1.06106e+06 0.25; - Mux25~7 0xc0 1.13605e+06 0.408203; - Mux26~0 0xc0 1.59912e+06 0.5; - Mux26~1 0xc0 853569 0.5; - Mux26~2 0xc0 1.59912e+06 0.5; - Mux26~3 0xc0 853569 0.5; - Mux26~4 0xc0 1.1182e+06 0.15625; - Mux26~5 0xc0 1.8691e+06 0.414063; - Mux26~6 0xc0 1.1182e+06 0.15625; - Mux26~7 0xc0 1.09669e+06 0.261719; - Mux26~8 0xc0 1.12407e+06 0.4077; - Mux27~0 0xc0 1.59912e+06 0.5; - Mux27~1 0xc0 853569 0.5; - Mux27~2 0xc0 1.59912e+06 0.5; - Mux27~3 0xc0 853569 0.5; - Mux27~4 0xc0 1.25627e+06 0.125; - Mux27~5 0xc0 1.98091e+06 0.40625; - Mux27~6 0xc0 986735 0.1875; - Mux27~7 0xc0 1.00788e+06 0.289063; - Mux27~8 0xc0 1.07884e+06 0.410278; - Mux28~0 0xc0 1.59912e+06 0.5; - Mux28~1 0xc0 853569 0.5; - Mux28~2 0xc0 1.59912e+06 0.5; - Mux28~3 0xc0 853569 0.5; - Mux28~4 0xc0 786665 0.09375; - Mux28~5 0xc0 2.05665e+06 0.398438; - Mux28~6 0xc0 963245 0.21875; - Mux28~7 0xc0 941058 0.316406; - Mux28~8 0xc0 1.03523e+06 0.412643; - Mux29~0 0xc0 1.59912e+06 0.5; - Mux29~1 0xc0 853569 0.5; - Mux29~2 0xc0 1.59912e+06 0.5; - Mux29~3 0xc0 853569 0.5; - Mux29~4 0xc0 456769 0.0625; - Mux29~5 0xc0 2.15397e+06 0.390625; - Mux29~6 0xc0 1.5539e+06 0.5; - Mux29~7 0xc0 1.12214e+06 0.375; - Mux29~8 0xc0 966423 0.420898; - Mux30~0 0xc0 1.59912e+06 0.5; - Mux30~1 0xc0 853569 0.5; - Mux30~2 0xc0 1.59912e+06 0.5; - Mux30~3 0xc0 853569 0.5; - Mux30~4 0xc0 246212 0.03125; - Mux30~5 0xc0 2.27131e+06 0.382813; - Mux30~6 0xc0 1.59912e+06 0.5; - Mux30~7 0xc0 1.63808e+06 0.40625; - Mux30~8 0xc0 966866 0.423462; - Mux31~0 0xc0 1.59912e+06 0.5; - Mux31~1 0xc0 853569 0.5; - Mux31~2 0xc0 1.59912e+06 0.5; - Mux31~3 0xc0 1.28807e+06 0.4375; - Mux31~4 0xc0 1.59912e+06 0.5; - Mux31~5 0xc0 853569 0.5; - data_out_I[0]~17 0xc0 905527 0.234375; - data_out_I[0]~18 0xc0 606799 0.165039; - data_out_I[1]~15 0xc0 1.67933e+06 0.211731; - data_out_I[2]~13 0xc0 1.66256e+06 0.210449; - data_out_I[3]~10 0xc0 1.63122e+06 0.206322; - data_out_I[4]~9 0xc0 1.6299e+06 0.205139; - data_out_I[5]~7 0xc0 1.62784e+06 0.20385; - data_out_I[6]~5 0xc0 1.63473e+06 0.204102; - data_out_I[7]~2 0xc0 1.62892e+06 0.202209; - data_out_I[8]~16 0xc0 1.62651e+06 0.200195; - data_out_I[9]~14 0xc0 1.61758e+06 0.197258; - data_out_I[10]~12 0xc0 1.61718e+06 0.194885; - data_out_I[11]~11 0xc0 1.609e+06 0.192406; - data_out_I[12]~8 0xc0 1.61979e+06 0.190918; - data_out_I[13]~6 0xc0 1.64325e+06 0.18985; - data_out_I[14]~3 0xc0 909083 0.242188; - data_out_I[14]~4 0xc0 535885 0.168457; - data_out_I[15]~0 0xc0 897083 0.238281; - data_out_I[15]~1 0xc0 532322 0.166748; - data_out_Q[0]~18 0xc0 2.34375e+06 0.25; - data_out_Q[0]~19 0xc0 905527 0.234375; - data_out_Q[0]~20 0xc0 606799 0.165039; - data_out_Q[1]~17 0xc0 1.67933e+06 0.211731; - data_out_Q[2]~16 0xc0 1.66256e+06 0.210449; - data_out_Q[3]~15 0xc0 1.63122e+06 0.206322; - data_out_Q[4]~14 0xc0 1.6299e+06 0.205139; - data_out_Q[5]~13 0xc0 1.62784e+06 0.20385; - data_out_Q[6]~12 0xc0 1.63473e+06 0.204102; - data_out_Q[7]~11 0xc0 1.62892e+06 0.202209; - data_out_Q[8]~10 0xc0 1.62651e+06 0.200195; - data_out_Q[9]~9 0xc0 1.61758e+06 0.197258; - data_out_Q[10]~8 0xc0 1.61718e+06 0.194885; - data_out_Q[11]~7 0xc0 1.609e+06 0.192406; - data_out_Q[12]~6 0xc0 1.61979e+06 0.190918; - data_out_Q[13]~5 0xc0 1.64325e+06 0.18985; - data_out_Q[14]~3 0xc0 909083 0.242188; - data_out_Q[14]~4 0xc0 535885 0.168457; - data_out_Q[15]~0 0xc0 897083 0.238281; - data_out_Q[15]~1 0xc0 1.75781e+06 0.25; - data_out_Q[15]~2 0xc0 532322 0.166748; - data_valid_out_Q 0xc0 4.32001e+06 0.25; - data_valid_out_Q~clkctrl 0xc0 4.32001e+06 0.25; - rx_ciccomp:RX_CICOMP_Q; - rx_ciccomp_0002:rx_ciccomp_inst; - rx_ciccomp_0002_ast:rx_ciccomp_0002_ast_inst; - rx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 4.32001e+06 0.25; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 6.96002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 2.04001e+06 0.875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 7.02002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 6.51002e+06 0.0625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 1.0035e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 1.6875e+06 0.96875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 8.05877e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 7.63689e+06 0.015625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 1.12622e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 1.91297e+06 0.992188; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 8.51744e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 8.0392e+06 0.00390625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 1.16399e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 0xc0 4.32001e+06 0.25; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 0xc0 6.96002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 0xc0 2.04001e+06 0.875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 0xc0 7.02002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 0xc0 6.51002e+06 0.0625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 0xc0 1.0035e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 0xc0 1.6875e+06 0.96875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 0xc0 8.05877e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 0xc0 7.63689e+06 0.015625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 0xc0 1.12622e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 0xc0 1.91297e+06 0.992188; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 0xc0 8.51744e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 0xc0 8.0392e+06 0.00390625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 0xc0 1.16399e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 7.68002e+06 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 7.68002e+06 0.5; - Add0~0 0xc0 7.68002e+06 0.5; - Add0~1 0xc0 7.68002e+06 0.5; - Add0~2 0xc0 7.68002e+06 0.5; - Add0~3 0xc0 4.32001e+06 0.25; - Add0~4 0xc0 6.96002e+06 0.5; - Add0~5 0xc0 2.04001e+06 0.875; - Add0~6 0xc0 7.02002e+06 0.5; - Add0~7 0xc0 6.51002e+06 0.0625; - Add0~8 0xc0 1.0035e+07 0.5; - Add0~9 0xc0 1.6875e+06 0.96875; - Add0~10 0xc0 8.05877e+06 0.5; - Add0~11 0xc0 7.63689e+06 0.015625; - Add0~12 0xc0 1.12622e+07 0.5; - Add1~0 0xc0 7.68002e+06 0.5; - Add1~1 0xc0 7.68002e+06 0.5; - Add1~2 0xc0 7.68002e+06 0.5; - Add1~3 0xc0 4.32001e+06 0.25; - Add1~4 0xc0 6.96002e+06 0.5; - Add1~5 0xc0 2.04001e+06 0.875; - Add1~6 0xc0 7.02002e+06 0.5; - Add1~7 0xc0 6.51002e+06 0.0625; - Add1~8 0xc0 1.0035e+07 0.5; - Add1~9 0xc0 1.6875e+06 0.96875; - Add1~10 0xc0 8.05877e+06 0.5; - Add1~11 0xc0 7.63689e+06 0.015625; - Add1~12 0xc0 1.12622e+07 0.5; - Add1~14 0xc0 7.08358e+06 0.5; - Add1~15 0xc0 5.78216e+06 0.5; - Add1~16 0xc0 7.20678e+06 0.5; - Add1~17 0xc0 5.07158e+06 0.5; - Add1~18 0xc0 5.03134e+06 0.5; - Add1~19 0xc0 5.52001e+06 0.5; - Add1~20 0xc0 3.90001e+06 0.5; - Add2~0 0xc0 4.44001e+06 0.5; - Add3~0 0xc0 7.68002e+06 0.5; - Add3~1 0xc0 7.68002e+06 0.5; - Add3~2 0xc0 7.68002e+06 0.5; - Add3~3 0xc0 4.32001e+06 0.25; - Add3~4 0xc0 6.96002e+06 0.5; - Add3~5 0xc0 2.04001e+06 0.875; - Add3~6 0xc0 7.02002e+06 0.5; - Add3~7 0xc0 6.51002e+06 0.0625; - Add3~8 0xc0 1.0035e+07 0.5; - Add3~9 0xc0 1.6875e+06 0.96875; - Add3~10 0xc0 8.05877e+06 0.5; - Add3~11 0xc0 7.63689e+06 0.015625; - Add3~12 0xc0 1.12622e+07 0.5; - Add3~13 0xc0 9.35299e+06 0.492188; - Add3~14 0xc0 8.51744e+06 0.5; - Add3~15 0xc0 6.0592e+06 0.753906; - Add3~16 0xc0 7.85984e+06 0.5; - Add7~0 0xc0 7.68002e+06 0.5; - Add7~1 0xc0 7.68002e+06 0.5; - Add7~2 0xc0 7.68002e+06 0.5; - Add7~2_wirecell 0xc0 7.68002e+06 0.5; - Add7~3 0xc0 4.32001e+06 0.25; - Add7~4 0xc0 6.96002e+06 0.5; - Add7~4_wirecell 0xc0 6.96002e+06 0.5; - Add7~5 0xc0 2.04001e+06 0.875; - Add7~6 0xc0 7.02002e+06 0.5; - Add7~6_wirecell 0xc0 7.02002e+06 0.5; - Add7~7 0xc0 6.51002e+06 0.0625; - Add7~8 0xc0 1.0035e+07 0.5; - Add7~8_wirecell 0xc0 1.0035e+07 0.5; - Add7~9 0xc0 1.6875e+06 0.96875; - Add7~10 0xc0 8.05877e+06 0.5; - Add7~10_wirecell 0xc0 8.05877e+06 0.5; - Add7~11 0xc0 7.63689e+06 0.015625; - Add7~12 0xc0 1.12622e+07 0.5; - Add14~1 0xc0 7.68002e+06 0.5; - Add14~2 0xc0 7.68002e+06 0.5; - Add14~2_wirecell 0xc0 7.68002e+06 0.5; - Add14~3 0xc0 4.32001e+06 0.25; - Add14~4 0xc0 6.96002e+06 0.5; - Add14~4_wirecell 0xc0 6.96002e+06 0.5; - Add14~5 0xc0 2.04001e+06 0.875; - Add14~6 0xc0 7.02002e+06 0.5; - Add14~6_wirecell 0xc0 7.02002e+06 0.5; - Add14~7 0xc0 6.51002e+06 0.0625; - Add14~8 0xc0 1.0035e+07 0.5; - Add14~8_wirecell 0xc0 1.0035e+07 0.5; - Add14~9 0xc0 1.6875e+06 0.96875; - Add14~10 0xc0 8.05877e+06 0.5; - Add14~10_wirecell 0xc0 8.05877e+06 0.5; - Add14~11 0xc0 7.63689e+06 0.015625; - Add14~12 0xc0 1.12622e+07 0.5; - Add14~13 0xc0 9.35299e+06 0.492188; - Add14~14 0xc0 8.51744e+06 0.5; - Add14~15 0xc0 6.0592e+06 0.753906; - Add14~16 0xc0 7.85984e+06 0.5; - dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][0] 0xc0 7.68002e+06 0.5; - delay_signals[2][0]~feeder 0xc0 7.68002e+06 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_15; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[2][0] 0xc0 7.68002e+06 0.5; - delay_signals[2][0]~feeder 0xc0 7.68002e+06 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_16; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][0]~feeder 0xc0 7.68002e+06 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][1] 0xc0 7.68002e+06 0.5; - delay_signals[0][1]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][2] 0xc0 7.68002e+06 0.5; - delay_signals[0][3] 0xc0 7.68002e+06 0.5; - delay_signals[0][4] 0xc0 7.68002e+06 0.5; - delay_signals[0][4]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][5] 0xc0 7.68002e+06 0.5; - delay_signals[0][6] 0xc0 7.68002e+06 0.5; - delay_signals[0][6]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][7] 0xc0 7.68002e+06 0.5; - delay_signals[0][7]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][8] 0xc0 7.68002e+06 0.5; - delay_signals[0][9] 0xc0 7.68002e+06 0.5; - delay_signals[0][10] 0xc0 7.68002e+06 0.5; - delay_signals[0][11] 0xc0 7.68002e+06 0.5; - delay_signals[0][11]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][12] 0xc0 7.68002e+06 0.5; - delay_signals[0][12]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][13] 0xc0 7.68002e+06 0.5; - delay_signals[0][13]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][14] 0xc0 7.68002e+06 0.5; - delay_signals[0][15] 0xc0 7.68002e+06 0.5; - delay_signals[0][15]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][16] 0xc0 7.68002e+06 0.5; - delay_signals[0][16]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][17] 0xc0 7.68002e+06 0.5; - delay_signals[0][17]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][18] 0xc0 7.68002e+06 0.5; - delay_signals[0][18]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][19] 0xc0 7.68002e+06 0.5; - delay_signals[0][19]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][20] 0xc0 7.68002e+06 0.5; - delay_signals[0][21] 0xc0 7.68002e+06 0.5; - delay_signals[0][22] 0xc0 7.68002e+06 0.5; - delay_signals[0][22]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][23] 0xc0 7.68002e+06 0.5; - delay_signals[0][24] 0xc0 7.68002e+06 0.5; - delay_signals[0][24]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][25] 0xc0 7.68002e+06 0.5; - delay_signals[0][25]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][26] 0xc0 7.68002e+06 0.5; - delay_signals[0][26]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][27] 0xc0 7.68002e+06 0.5; - delay_signals[0][28] 0xc0 7.68002e+06 0.5; - delay_signals[0][28]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][29] 0xc0 7.68002e+06 0.5; - delay_signals[0][29]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][30] 0xc0 7.68002e+06 0.5; - delay_signals[0][30]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[0][31] 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][1] 0xc0 7.68002e+06 0.5; - delay_signals[1][1]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][2] 0xc0 7.68002e+06 0.5; - delay_signals[1][2]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][3] 0xc0 7.68002e+06 0.5; - delay_signals[1][4] 0xc0 7.68002e+06 0.5; - delay_signals[1][5] 0xc0 7.68002e+06 0.5; - delay_signals[1][5]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][6] 0xc0 7.68002e+06 0.5; - delay_signals[1][6]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][7] 0xc0 7.68002e+06 0.5; - delay_signals[1][7]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][8] 0xc0 7.68002e+06 0.5; - delay_signals[1][8]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][9] 0xc0 7.68002e+06 0.5; - delay_signals[1][10] 0xc0 7.68002e+06 0.5; - delay_signals[1][10]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][11] 0xc0 7.68002e+06 0.5; - delay_signals[1][11]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][12] 0xc0 7.68002e+06 0.5; - delay_signals[1][12]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][13] 0xc0 7.68002e+06 0.5; - delay_signals[1][13]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][14] 0xc0 7.68002e+06 0.5; - delay_signals[1][14]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][15] 0xc0 7.68002e+06 0.5; - delay_signals[1][15]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][16] 0xc0 7.68002e+06 0.5; - delay_signals[1][16]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][17] 0xc0 7.68002e+06 0.5; - delay_signals[1][17]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][18] 0xc0 7.68002e+06 0.5; - delay_signals[1][18]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][19] 0xc0 7.68002e+06 0.5; - delay_signals[1][19]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][20] 0xc0 7.68002e+06 0.5; - delay_signals[1][21] 0xc0 7.68002e+06 0.5; - delay_signals[1][21]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][22] 0xc0 7.68002e+06 0.5; - delay_signals[1][22]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][23] 0xc0 7.68002e+06 0.5; - delay_signals[1][23]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][24] 0xc0 7.68002e+06 0.5; - delay_signals[1][24]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][25] 0xc0 7.68002e+06 0.5; - delay_signals[1][26] 0xc0 7.68002e+06 0.5; - delay_signals[1][26]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][27] 0xc0 7.68002e+06 0.5; - delay_signals[1][27]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][28] 0xc0 7.68002e+06 0.5; - delay_signals[1][29] 0xc0 7.68002e+06 0.5; - delay_signals[1][29]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][30] 0xc0 7.68002e+06 0.5; - delay_signals[1][30]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][31] 0xc0 7.68002e+06 0.5; - delay_signals[2][0] 0xc0 7.68002e+06 0.5; - delay_signals[2][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][1] 0xc0 7.68002e+06 0.5; - delay_signals[2][1]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][2] 0xc0 7.68002e+06 0.5; - delay_signals[2][3] 0xc0 7.68002e+06 0.5; - delay_signals[2][4] 0xc0 7.68002e+06 0.5; - delay_signals[2][5] 0xc0 7.68002e+06 0.5; - delay_signals[2][6] 0xc0 7.68002e+06 0.5; - delay_signals[2][6]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][7] 0xc0 7.68002e+06 0.5; - delay_signals[2][7]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][8] 0xc0 7.68002e+06 0.5; - delay_signals[2][8]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][9] 0xc0 7.68002e+06 0.5; - delay_signals[2][10] 0xc0 7.68002e+06 0.5; - delay_signals[2][10]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][11] 0xc0 7.68002e+06 0.5; - delay_signals[2][11]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][12] 0xc0 7.68002e+06 0.5; - delay_signals[2][13] 0xc0 7.68002e+06 0.5; - delay_signals[2][14] 0xc0 7.68002e+06 0.5; - delay_signals[2][15] 0xc0 7.68002e+06 0.5; - delay_signals[2][16] 0xc0 7.68002e+06 0.5; - delay_signals[2][16]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][17] 0xc0 7.68002e+06 0.5; - delay_signals[2][17]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][18] 0xc0 7.68002e+06 0.5; - delay_signals[2][18]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][19] 0xc0 7.68002e+06 0.5; - delay_signals[2][20] 0xc0 7.68002e+06 0.5; - delay_signals[2][20]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][21] 0xc0 7.68002e+06 0.5; - delay_signals[2][21]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][22] 0xc0 7.68002e+06 0.5; - delay_signals[2][22]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][23] 0xc0 7.68002e+06 0.5; - delay_signals[2][24] 0xc0 7.68002e+06 0.5; - delay_signals[2][24]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][25] 0xc0 7.68002e+06 0.5; - delay_signals[2][25]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][26] 0xc0 7.68002e+06 0.5; - delay_signals[2][27] 0xc0 7.68002e+06 0.5; - delay_signals[2][27]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][28] 0xc0 7.68002e+06 0.5; - delay_signals[2][29] 0xc0 7.68002e+06 0.5; - delay_signals[2][29]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][30] 0xc0 7.68002e+06 0.5; - delay_signals[2][30]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[2][31] 0xc0 7.68002e+06 0.5; - Equal0~0 0xc0 1.53e+06 0.0625; - Equal0~1 0xc0 945002 0.0625; - Equal0~2 0xc0 10834.4 0.00195313; - Equal1~0 0xc0 804377 0.0625; - Equal1~1 0xc0 691877 0.0625; - Equal1~2 0xc0 5304.08 0.00195313; - Mux2~0 0xc0 5.94374e+06 0.125; - Mux2~1 0xc0 8.8022e+06 0.28125; - Mux3~0 0xc0 3.40313e+06 0.4375; - Mux3~1 0xc0 3.39751e+06 0.4375; - Mux3~2 0xc0 3.92256e+06 0.40625; - Mux3~3 0xc0 8.17903e+06 0.382813; - Mux4~0 0xc0 3.48376e+06 0.5; - Mux4~1 0xc0 3.99001e+06 0.5; - Mux4~2 0xc0 9.36263e+06 0.390625; - Mux4~3 0xc0 7.75589e+06 0.359863; - Mux5~0 0xc0 3.82501e+06 0.625; - Mux5~1 0xc0 2.82376e+06 0.625; - Mux5~2 0xc0 4.22461e+06 0.359375; - Mux5~3 0xc0 8.42689e+06 0.311035; - Mux6~0 0xc0 3.33751e+06 0.3125; - Mux6~1 0xc0 3.54001e+06 0.6875; - Mux6~2 0xc0 3.40797e+06 0.4375; - Mux6~3 0xc0 3.73097e+06 0.425781; - Mux7~0 0xc0 3.23626e+06 0.5; - Mux7~1 0xc0 3.85501e+06 0.5; - Mux7~2 0xc0 7.03893e+06 0.390625; - Mux7~3 0xc0 1.17305e+07 0.359863; - Mux8~0 0xc0 3.87751e+06 0.5; - Mux8~1 0xc0 4.44001e+06 0.5; - Mux8~2 0xc0 7.20034e+06 0.40625; - Mux8~3 0xc0 3.71846e+06 0.376953; - Mux9~0 0xc0 3.96001e+06 0.375; - Mux9~1 0xc0 3.96001e+06 0.625; - Mux9~2 0xc0 691877 0.0625; - Mux9~3 0xc0 4.31484e+06 0.359375; - Mux9~4 0xc0 945002 0.0625; - Mux9~5 0xc0 3.75681e+06 0.311035; - Mux9~6 0xc0 1.83e+06 0.125; - Mux9~7 0xc0 2.28001e+06 0.125; - u0_m0_wo0_accum_o[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[0]~46 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[0]~47 0xc0 4.32001e+06 0.25; - u0_m0_wo0_accum_o[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[1]~48 0xc0 6.48002e+06 0.5; - u0_m0_wo0_accum_o[1]~49 0xc0 3.57751e+06 0.625; - u0_m0_wo0_accum_o[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[2]~50 0xc0 5.48439e+06 0.5; - u0_m0_wo0_accum_o[2]~51 0xc0 6.3722e+06 0.4375; - u0_m0_wo0_accum_o[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[3]~52 0xc0 5.98056e+06 0.5; - u0_m0_wo0_accum_o[3]~53 0xc0 4.88779e+06 0.53125; - u0_m0_wo0_accum_o[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[4]~54 0xc0 5.55883e+06 0.5; - u0_m0_wo0_accum_o[4]~55 0xc0 5.4588e+06 0.484375; - u0_m0_wo0_accum_o[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[5]~56 0xc0 5.68893e+06 0.5; - u0_m0_wo0_accum_o[5]~57 0xc0 5.11181e+06 0.507813; - u0_m0_wo0_accum_o[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[6]~58 0xc0 5.59902e+06 0.5; - u0_m0_wo0_accum_o[6]~59 0xc0 5.2676e+06 0.496094; - u0_m0_wo0_accum_o[7] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[7]~60 0xc0 5.63718e+06 0.5; - u0_m0_wo0_accum_o[7]~61 0xc0 5.18499e+06 0.501953; - u0_m0_wo0_accum_o[8] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[8]~62 0xc0 5.61632e+06 0.5; - u0_m0_wo0_accum_o[8]~63 0xc0 5.22508e+06 0.499023; - u0_m0_wo0_accum_o[9] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[9]~64 0xc0 5.6263e+06 0.5; - u0_m0_wo0_accum_o[9]~65 0xc0 5.20473e+06 0.500488; - u0_m0_wo0_accum_o[10] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[10]~66 0xc0 5.6212e+06 0.5; - u0_m0_wo0_accum_o[10]~67 0xc0 5.21483e+06 0.499756; - u0_m0_wo0_accum_o[11] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[11]~68 0xc0 5.62372e+06 0.5; - u0_m0_wo0_accum_o[11]~69 0xc0 5.20976e+06 0.500122; - u0_m0_wo0_accum_o[12] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[12]~70 0xc0 5.62245e+06 0.5; - u0_m0_wo0_accum_o[12]~71 0xc0 5.21229e+06 0.499939; - u0_m0_wo0_accum_o[13] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[13]~72 0xc0 5.62308e+06 0.5; - u0_m0_wo0_accum_o[13]~73 0xc0 5.21102e+06 0.500031; - u0_m0_wo0_accum_o[14] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[14]~74 0xc0 5.62277e+06 0.5; - u0_m0_wo0_accum_o[14]~75 0xc0 5.21165e+06 0.499985; - u0_m0_wo0_accum_o[15] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[15]~76 0xc0 5.62292e+06 0.5; - u0_m0_wo0_accum_o[15]~77 0xc0 5.21134e+06 0.500008; - u0_m0_wo0_accum_o[16] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[16]~78 0xc0 5.62285e+06 0.5; - u0_m0_wo0_accum_o[16]~79 0xc0 5.21149e+06 0.499996; - u0_m0_wo0_accum_o[17] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[17]~80 0xc0 5.62289e+06 0.5; - u0_m0_wo0_accum_o[17]~81 0xc0 5.21142e+06 0.500002; - u0_m0_wo0_accum_o[18] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[18]~82 0xc0 5.62286e+06 0.5; - u0_m0_wo0_accum_o[18]~83 0xc0 5.21146e+06 0.499999; - u0_m0_wo0_accum_o[19] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[19]~84 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[19]~85 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[20] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[20]~86 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[20]~87 0xc0 5.21145e+06 0.5; - u0_m0_wo0_accum_o[21] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[21]~88 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[21]~89 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[22] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[22]~90 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[22]~91 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[23] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[23]~92 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[23]~93 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[24] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[24]~94 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[24]~95 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[25] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[25]~96 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[25]~97 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[26] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[26]~98 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[26]~99 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[27] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[27]~100 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[27]~101 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[28] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[28]~102 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[28]~103 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[29] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[29]~104 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[29]~105 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[30] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[30]~106 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[30]~107 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[31] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[31]~108 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[31]~109 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[32] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[32]~110 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[32]~111 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[33] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[33]~112 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[33]~113 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[34] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[34]~114 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[34]~115 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[35] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[35]~116 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[35]~117 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[36] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[36]~118 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[36]~119 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[37] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[37]~120 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[37]~121 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[38] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[38]~122 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[38]~123 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[39] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[39]~124 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[39]~125 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[40] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[40]~126 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[40]~127 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[41] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[41]~128 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[41]~129 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[42] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[42]~130 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[42]~131 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[43] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[43]~132 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[43]~133 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[44] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[44]~134 0xc0 5.62287e+06 0.5; - u0_m0_wo0_accum_o[44]~135 0xc0 5.21144e+06 0.5; - u0_m0_wo0_accum_o[45] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_accum_o[45]~136 0xc0 7.06288e+06 0.5; - u0_m0_wo0_aseq_eq 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[0]~5 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_ca0_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_ca0_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_ca0_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_ca0_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 7.68002e+06 0.5; - dspba_delay:u0_m0_wo0_compute; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - delay_signals[0][0]~feeder 0xc0 7.68002e+06 0.5; - delay_signals[1][0] 0xc0 7.68002e+06 0.5; - delay_signals[1][0]~feeder 0xc0 7.68002e+06 0.5; - dspba_delay:u0_m0_wo0_memread; - delay_signals[0][0] 0xc0 7.68002e+06 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_im0_component; - mult_rcu:auto_generated; - mac_mult1 0xc0 3.07201e+07 0.5; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT23 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT24 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT25 0xc0 3.07201e+07 0.5; - result[0] 0xc0 7.68002e+06 0.5; - result[1] 0xc0 7.68002e+06 0.5; - result[2] 0xc0 7.68002e+06 0.5; - result[3] 0xc0 7.68002e+06 0.5; - result[4] 0xc0 7.68002e+06 0.5; - result[5] 0xc0 7.68002e+06 0.5; - result[6] 0xc0 7.68002e+06 0.5; - result[7] 0xc0 7.68002e+06 0.5; - result[8] 0xc0 7.68002e+06 0.5; - result[9] 0xc0 7.68002e+06 0.5; - result[10] 0xc0 7.68002e+06 0.5; - result[11] 0xc0 7.68002e+06 0.5; - result[12] 0xc0 7.68002e+06 0.5; - result[13] 0xc0 7.68002e+06 0.5; - result[14] 0xc0 7.68002e+06 0.5; - result[15] 0xc0 7.68002e+06 0.5; - result[16] 0xc0 7.68002e+06 0.5; - result[17] 0xc0 7.68002e+06 0.5; - result[18] 0xc0 7.68002e+06 0.5; - result[19] 0xc0 7.68002e+06 0.5; - result[20] 0xc0 7.68002e+06 0.5; - result[21] 0xc0 7.68002e+06 0.5; - result[22] 0xc0 7.68002e+06 0.5; - result[23] 0xc0 7.68002e+06 0.5; - result[24] 0xc0 7.68002e+06 0.5; - result[25] 0xc0 7.68002e+06 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_im4_component; - mult_lcu:auto_generated; - mac_mult1 0xc0 3.07201e+07 0.5; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~24 0xc0 0 0; - mac_mult1~25 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.07201e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.07201e+07 0.5; - result[0] 0xc0 7.68002e+06 0.5; - result[1] 0xc0 7.68002e+06 0.5; - result[2] 0xc0 7.68002e+06 0.5; - result[3] 0xc0 7.68002e+06 0.5; - result[4] 0xc0 7.68002e+06 0.5; - result[5] 0xc0 7.68002e+06 0.5; - result[6] 0xc0 7.68002e+06 0.5; - result[7] 0xc0 7.68002e+06 0.5; - result[8] 0xc0 7.68002e+06 0.5; - result[9] 0xc0 7.68002e+06 0.5; - result[10] 0xc0 7.68002e+06 0.5; - result[11] 0xc0 7.68002e+06 0.5; - result[12] 0xc0 7.68002e+06 0.5; - result[13] 0xc0 7.68002e+06 0.5; - result[14] 0xc0 7.68002e+06 0.5; - result[15] 0xc0 7.68002e+06 0.5; - result[16] 0xc0 7.68002e+06 0.5; - result[17] 0xc0 7.68002e+06 0.5; - result[18] 0xc0 7.68002e+06 0.5; - result[19] 0xc0 7.68002e+06 0.5; - result[20] 0xc0 7.68002e+06 0.5; - result[21] 0xc0 7.68002e+06 0.5; - result[22] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[2]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[3]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[4]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[5]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[6]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[7] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[7]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[8] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[8]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[9] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[9]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[10] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[10]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[11] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[11]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[12] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[12]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[13] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[14] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[15] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[15]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[16] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[16]~feeder 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17]~24 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[17]~25 0xc0 4.32001e+06 0.25; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18]~26 0xc0 6.48002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[18]~27 0xc0 3.57751e+06 0.625; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19]~28 0xc0 5.48439e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[19]~29 0xc0 6.3722e+06 0.4375; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20]~30 0xc0 5.98056e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[20]~31 0xc0 4.88779e+06 0.53125; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21]~32 0xc0 5.55883e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[21]~33 0xc0 5.4588e+06 0.484375; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22]~34 0xc0 5.68893e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[22]~35 0xc0 5.11181e+06 0.507813; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23]~36 0xc0 5.59902e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[23]~37 0xc0 5.2676e+06 0.496094; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24]~38 0xc0 5.63718e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[24]~39 0xc0 5.18499e+06 0.501953; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25]~40 0xc0 7.05635e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[25]~41 0xc0 7.39074e+06 0.499023; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26]~42 0xc0 7.60772e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[26]~43 0xc0 7.63264e+06 0.500488; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27]~44 0xc0 7.66818e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[27]~45 0xc0 7.67973e+06 0.499756; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28]~46 0xc0 7.67995e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[28]~47 0xc0 7.67717e+06 0.500122; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29]~48 0xc0 7.67931e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[29]~49 0xc0 7.68107e+06 0.499939; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30]~50 0xc0 7.68028e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[30]~51 0xc0 7.67945e+06 0.500031; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31]~52 0xc0 7.67988e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[31]~53 0xc0 7.6803e+06 0.499985; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32]~54 0xc0 7.68009e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[32]~55 0xc0 7.67988e+06 0.500008; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33]~56 0xc0 7.67998e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[33]~57 0xc0 7.68009e+06 0.499996; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34]~58 0xc0 7.68004e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[34]~59 0xc0 7.67998e+06 0.500002; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35]~60 0xc0 7.68001e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[35]~61 0xc0 7.68004e+06 0.499999; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36]~62 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[36]~63 0xc0 7.68001e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37]~64 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[37]~65 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38]~66 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[38]~67 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39]~68 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[39]~69 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[40] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_mtree_mult1_0_result_add_0_0_o[40]~70 0xc0 7.68002e+06 0.5; - u0_m0_wo0_oseq_eq 0xc0 7.68002e+06 0.5; - u0_m0_wo0_oseq_gated_q[0] 0xc0 5.76001e+06 0.25; - u0_m0_wo0_oseq_gated_reg_q[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_count[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_count[0]~0 0xc0 3.60751e+06 0.5; - u0_m0_wo0_run_count[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_enableQ[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_run_enableQ~0 0xc0 4.51474e+06 0.25; - u0_m0_wo0_run_q[0] 0xc0 7.68002e+06 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_sln3:auto_generated; - q_b[0] 0xc0 7.68002e+06 0.5; - q_b[1] 0xc0 7.68002e+06 0.5; - q_b[2] 0xc0 7.68002e+06 0.5; - q_b[3] 0xc0 7.68002e+06 0.5; - q_b[4] 0xc0 7.68002e+06 0.5; - q_b[5] 0xc0 7.68002e+06 0.5; - q_b[6] 0xc0 7.68002e+06 0.5; - q_b[7] 0xc0 7.68002e+06 0.5; - q_b[8] 0xc0 7.68002e+06 0.5; - q_b[9] 0xc0 7.68002e+06 0.5; - q_b[10] 0xc0 7.68002e+06 0.5; - q_b[11] 0xc0 7.68002e+06 0.5; - q_b[12] 0xc0 7.68002e+06 0.5; - q_b[13] 0xc0 7.68002e+06 0.5; - q_b[14] 0xc0 7.68002e+06 0.5; - q_b[15] 0xc0 7.68002e+06 0.5; - q_b[16] 0xc0 7.68002e+06 0.5; - q_b[17] 0xc0 7.68002e+06 0.5; - q_b[18] 0xc0 7.68002e+06 0.5; - q_b[19] 0xc0 7.68002e+06 0.5; - q_b[20] 0xc0 7.68002e+06 0.5; - q_b[21] 0xc0 7.68002e+06 0.5; - q_b[22] 0xc0 7.68002e+06 0.5; - q_b[23] 0xc0 7.68002e+06 0.5; - q_b[24] 0xc0 7.68002e+06 0.5; - q_b[25] 0xc0 7.68002e+06 0.5; - q_b[26] 0xc0 7.68002e+06 0.5; - q_b[27] 0xc0 7.68002e+06 0.5; - q_b[28] 0xc0 7.68002e+06 0.5; - q_b[29] 0xc0 7.68002e+06 0.5; - q_b[30] 0xc0 7.68002e+06 0.5; - q_b[31] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 6.48002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 3.57751e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 5.48439e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 6.3722e+06 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 5.98056e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 4.88779e+06 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 5.55883e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 5.4588e+06 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 5.68893e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 5.52001e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 2.04001e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 7.02002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 6.51002e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 1.0035e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 1.6875e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 8.05877e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 7.63689e+06 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 1.12622e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~5 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~5 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~7 0xc0 4.32001e+06 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 6.96002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~9 0xc0 1.83e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 6.91502e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~11 0xc0 6.45752e+06 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 1.00088e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~13 0xc0 1.67438e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 7.68002e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~14 0xc0 8.05221e+06 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 7.68002e+06 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 7.68002e+06 0.5; - data_out[1] 0xc0 7.68002e+06 0.5; - data_out[2] 0xc0 7.68002e+06 0.5; - data_out[3] 0xc0 7.68002e+06 0.5; - data_out[4] 0xc0 7.68002e+06 0.5; - data_out[5] 0xc0 7.68002e+06 0.5; - data_out[6] 0xc0 7.68002e+06 0.5; - data_out[7] 0xc0 7.68002e+06 0.5; - data_out[8] 0xc0 7.68002e+06 0.5; - data_out[9] 0xc0 7.68002e+06 0.5; - data_out[10] 0xc0 7.68002e+06 0.5; - data_out[11] 0xc0 7.68002e+06 0.5; - data_out[12] 0xc0 7.68002e+06 0.5; - data_out[13] 0xc0 7.68002e+06 0.5; - data_out[14] 0xc0 7.68002e+06 0.5; - data_out[15] 0xc0 7.68002e+06 0.5; - data_out[16] 0xc0 7.68002e+06 0.5; - data_out[17] 0xc0 7.68002e+06 0.5; - data_out[18] 0xc0 7.68002e+06 0.5; - data_out[19] 0xc0 7.68002e+06 0.5; - data_out[20] 0xc0 7.68002e+06 0.5; - data_out[21] 0xc0 7.68002e+06 0.5; - data_out[22] 0xc0 7.68002e+06 0.5; - data_out[23] 0xc0 7.68002e+06 0.5; - data_out[24] 0xc0 7.68002e+06 0.5; - data_out[25] 0xc0 7.68002e+06 0.5; - data_out[26] 0xc0 7.68002e+06 0.5; - data_out[27] 0xc0 7.68002e+06 0.5; - data_out[28] 0xc0 7.68002e+06 0.5; - data_out[29] 0xc0 7.68002e+06 0.5; - data_out[30] 0xc0 7.68002e+06 0.5; - data_out[31] 0xc0 7.68002e+06 0.5; - data_out[32] 0xc0 7.68002e+06 0.5; - data_out[32]~feeder 0xc0 7.68002e+06 0.5; - data_out[33] 0xc0 7.68002e+06 0.5; - data_out[34] 0xc0 7.68002e+06 0.5; - data_out[34]~feeder 0xc0 7.68002e+06 0.5; - data_out[35] 0xc0 7.68002e+06 0.5; - data_out[36] 0xc0 7.68002e+06 0.5; - data_out[36]~feeder 0xc0 7.68002e+06 0.5; - data_out[37] 0xc0 7.68002e+06 0.5; - data_out[38] 0xc0 7.68002e+06 0.5; - data_out[39] 0xc0 7.68002e+06 0.5; - data_out[39]~feeder 0xc0 7.68002e+06 0.5; - data_out[40] 0xc0 7.68002e+06 0.5; - data_out[40]~feeder 0xc0 7.68002e+06 0.5; - data_out[41] 0xc0 7.68002e+06 0.5; - data_out[41]~feeder 0xc0 7.68002e+06 0.5; - data_out[42] 0xc0 7.68002e+06 0.5; - data_out[43] 0xc0 7.68002e+06 0.5; - data_out[44] 0xc0 7.68002e+06 0.5; - data_out[45] 0xc0 7.68002e+06 0.5; - data_out[45]~feeder 0xc0 7.68002e+06 0.5; - data_valid 0xc0 7.68002e+06 0.5; - mixer:RX_MIXER_I; - lpm_mult:lpm_mult_component; - mult_jnp:auto_generated; - mac_mult1 0xc0 1.92e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT2 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT3 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT4 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT5 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT6 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT7 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT8 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT9 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT10 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT11 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT12 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT13 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT14 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT15 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT16 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT17 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT18 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT19 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT20 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT21 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT22 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT23 0xc0 1.92e+06 0.5; - result[0] 0xc0 7.68002e+06 0.5; - result[1] 0xc0 7.68002e+06 0.5; - result[2] 0xc0 7.68002e+06 0.5; - result[3] 0xc0 7.68002e+06 0.5; - result[4] 0xc0 7.68002e+06 0.5; - result[5] 0xc0 7.68002e+06 0.5; - result[6] 0xc0 7.68002e+06 0.5; - result[7] 0xc0 7.68002e+06 0.5; - result[8] 0xc0 7.68002e+06 0.5; - result[9] 0xc0 7.68002e+06 0.5; - result[10] 0xc0 7.68002e+06 0.5; - result[11] 0xc0 7.68002e+06 0.5; - result[12] 0xc0 7.68002e+06 0.5; - result[13] 0xc0 7.68002e+06 0.5; - result[14] 0xc0 7.68002e+06 0.5; - result[15] 0xc0 7.68002e+06 0.5; - result[16] 0xc0 7.68002e+06 0.5; - result[17] 0xc0 7.68002e+06 0.5; - result[18] 0xc0 7.68002e+06 0.5; - result[19] 0xc0 7.68002e+06 0.5; - result[20] 0xc0 7.68002e+06 0.5; - result[21] 0xc0 7.68002e+06 0.5; - result[22] 0xc0 7.68002e+06 0.5; - mixer:RX_MIXER_Q; - lpm_mult:lpm_mult_component; - mult_jnp:auto_generated; - mac_mult1 0xc0 1.92e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT2 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT3 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT4 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT5 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT6 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT7 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT8 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT9 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT10 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT11 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT12 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT13 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT14 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT15 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT16 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT17 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT18 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT19 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT20 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT21 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT22 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT23 0xc0 1.92e+06 0.5; - result[0] 0xc0 7.68002e+06 0.5; - result[1] 0xc0 7.68002e+06 0.5; - result[2] 0xc0 7.68002e+06 0.5; - result[3] 0xc0 7.68002e+06 0.5; - result[4] 0xc0 7.68002e+06 0.5; - result[5] 0xc0 7.68002e+06 0.5; - result[6] 0xc0 7.68002e+06 0.5; - result[7] 0xc0 7.68002e+06 0.5; - result[8] 0xc0 7.68002e+06 0.5; - result[9] 0xc0 7.68002e+06 0.5; - result[10] 0xc0 7.68002e+06 0.5; - result[11] 0xc0 7.68002e+06 0.5; - result[12] 0xc0 7.68002e+06 0.5; - result[13] 0xc0 7.68002e+06 0.5; - result[14] 0xc0 7.68002e+06 0.5; - result[15] 0xc0 7.68002e+06 0.5; - result[16] 0xc0 7.68002e+06 0.5; - result[17] 0xc0 7.68002e+06 0.5; - result[18] 0xc0 7.68002e+06 0.5; - result[19] 0xc0 7.68002e+06 0.5; - result[20] 0xc0 7.68002e+06 0.5; - result[21] 0xc0 7.68002e+06 0.5; - result[22] 0xc0 7.68002e+06 0.5; - nco:RX_NCO; - nco_nco_ii_0:nco_ii_0; - asj_nco_mob_w:blk0; - Equal0~0 0xc0 945002 0.0625; - Equal0~1 0xc0 945002 0.0625; - Equal0~2 0xc0 945002 0.0625; - Equal0~3 0xc0 89.9113 0.999878; - add_one 0xc0 4.32001e+06 0.25; - data_tmp[0] 0xc0 7.68002e+06 0.5; - data_tmp[1] 0xc0 7.68002e+06 0.5; - data_tmp[2] 0xc0 7.68002e+06 0.5; - data_tmp[3] 0xc0 7.68002e+06 0.5; - data_tmp[4] 0xc0 7.68002e+06 0.5; - data_tmp[5] 0xc0 7.68002e+06 0.5; - data_tmp[6] 0xc0 7.68002e+06 0.5; - data_tmp[7] 0xc0 7.68002e+06 0.5; - data_tmp[8] 0xc0 7.68002e+06 0.5; - data_tmp[9] 0xc0 7.68002e+06 0.5; - data_tmp[10] 0xc0 7.68002e+06 0.5; - data_tmp[11] 0xc0 7.68002e+06 0.5; - data_tmp~0 0xc0 4.32001e+06 0.25; - data_tmp~1 0xc0 4.32001e+06 0.25; - data_tmp~2 0xc0 4.32001e+06 0.25; - data_tmp~3 0xc0 4.32001e+06 0.25; - data_tmp~4 0xc0 4.32001e+06 0.25; - data_tmp~5 0xc0 4.32001e+06 0.25; - data_tmp~6 0xc0 4.32001e+06 0.25; - data_tmp~7 0xc0 4.32001e+06 0.25; - data_tmp~8 0xc0 4.32001e+06 0.25; - data_tmp~9 0xc0 4.32001e+06 0.25; - data_tmp~10 0xc0 4.32001e+06 0.25; - data_tmp~11 0xc0 4.32001e+06 0.25; - is_zero 0xc0 7.68002e+06 0.5; - lpm_add_sub:lpm_add_sub_component; - add_sub_fpk:auto_generated; - pipeline_dffe[0] 0xc0 7.68002e+06 0.5; - pipeline_dffe[0]~12 0xc0 6.96002e+06 0.5; - pipeline_dffe[0]~13 0xc0 5.88002e+06 0.125; - pipeline_dffe[1] 0xc0 7.68002e+06 0.5; - pipeline_dffe[1]~14 0xc0 8.94002e+06 0.5; - pipeline_dffe[1]~15 0xc0 1.71e+06 0.9375; - pipeline_dffe[2] 0xc0 7.68002e+06 0.5; - pipeline_dffe[2]~16 0xc0 7.63502e+06 0.5; - pipeline_dffe[2]~17 0xc0 7.20752e+06 0.03125; - pipeline_dffe[3] 0xc0 7.68002e+06 0.5; - pipeline_dffe[3]~18 0xc0 1.08188e+07 0.5; - pipeline_dffe[3]~19 0xc0 1.81688e+06 0.984375; - pipeline_dffe[4] 0xc0 7.68002e+06 0.5; - pipeline_dffe[4]~20 0xc0 8.35221e+06 0.5; - pipeline_dffe[4]~21 0xc0 7.89799e+06 0.0078125; - pipeline_dffe[5] 0xc0 7.68002e+06 0.5; - pipeline_dffe[5]~22 0xc0 1.151e+07 0.5; - pipeline_dffe[5]~23 0xc0 1.97543e+06 0.996094; - pipeline_dffe[6] 0xc0 7.68002e+06 0.5; - pipeline_dffe[6]~24 0xc0 8.60797e+06 0.5; - pipeline_dffe[6]~25 0xc0 8.11411e+06 0.00195313; - pipeline_dffe[7] 0xc0 7.68002e+06 0.5; - pipeline_dffe[7]~26 0xc0 1.17071e+07 0.5; - pipeline_dffe[7]~27 0xc0 2.02859e+06 0.999023; - pipeline_dffe[8] 0xc0 7.68002e+06 0.5; - pipeline_dffe[8]~28 0xc0 8.67933e+06 0.5; - pipeline_dffe[8]~29 0xc0 8.17218e+06 0.000488281; - pipeline_dffe[9] 0xc0 7.68002e+06 0.5; - pipeline_dffe[9]~30 0xc0 1.17586e+07 0.5; - pipeline_dffe[9]~31 0xc0 2.04305e+06 0.999756; - pipeline_dffe[10] 0xc0 7.68002e+06 0.5; - pipeline_dffe[10]~32 0xc0 8.6978e+06 0.5; - pipeline_dffe[10]~33 0xc0 8.18703e+06 0.00012207; - pipeline_dffe[11] 0xc0 7.68002e+06 0.5; - pipeline_dffe[11]~34 0xc0 1.17717e+07 0.5; - asj_nco_mob_w:blk1; - Equal0~0 0xc0 945002 0.0625; - Equal0~1 0xc0 945002 0.0625; - Equal0~2 0xc0 945002 0.0625; - Equal0~3 0xc0 89.9113 0.999878; - add_one 0xc0 4.32001e+06 0.25; - data_tmp[0] 0xc0 7.68002e+06 0.5; - data_tmp[1] 0xc0 7.68002e+06 0.5; - data_tmp[2] 0xc0 7.68002e+06 0.5; - data_tmp[3] 0xc0 7.68002e+06 0.5; - data_tmp[4] 0xc0 7.68002e+06 0.5; - data_tmp[5] 0xc0 7.68002e+06 0.5; - data_tmp[6] 0xc0 7.68002e+06 0.5; - data_tmp[7] 0xc0 7.68002e+06 0.5; - data_tmp[8] 0xc0 7.68002e+06 0.5; - data_tmp[9] 0xc0 7.68002e+06 0.5; - data_tmp[10] 0xc0 7.68002e+06 0.5; - data_tmp[11] 0xc0 7.68002e+06 0.5; - data_tmp~0 0xc0 4.32001e+06 0.25; - data_tmp~1 0xc0 4.32001e+06 0.25; - data_tmp~2 0xc0 4.32001e+06 0.25; - data_tmp~3 0xc0 4.32001e+06 0.25; - data_tmp~4 0xc0 4.32001e+06 0.25; - data_tmp~5 0xc0 4.32001e+06 0.25; - data_tmp~6 0xc0 4.32001e+06 0.25; - data_tmp~7 0xc0 4.32001e+06 0.25; - data_tmp~8 0xc0 4.32001e+06 0.25; - data_tmp~9 0xc0 4.32001e+06 0.25; - data_tmp~10 0xc0 4.32001e+06 0.25; - data_tmp~11 0xc0 4.32001e+06 0.25; - is_zero 0xc0 7.68002e+06 0.5; - lpm_add_sub:lpm_add_sub_component; - add_sub_fpk:auto_generated; - pipeline_dffe[0] 0xc0 7.68002e+06 0.5; - pipeline_dffe[0]~12 0xc0 6.96002e+06 0.5; - pipeline_dffe[0]~13 0xc0 5.88002e+06 0.125; - pipeline_dffe[1] 0xc0 7.68002e+06 0.5; - pipeline_dffe[1]~14 0xc0 8.94002e+06 0.5; - pipeline_dffe[1]~15 0xc0 1.71e+06 0.9375; - pipeline_dffe[2] 0xc0 7.68002e+06 0.5; - pipeline_dffe[2]~16 0xc0 7.63502e+06 0.5; - pipeline_dffe[2]~17 0xc0 7.20752e+06 0.03125; - pipeline_dffe[3] 0xc0 7.68002e+06 0.5; - pipeline_dffe[3]~18 0xc0 1.08188e+07 0.5; - pipeline_dffe[3]~19 0xc0 1.81688e+06 0.984375; - pipeline_dffe[4] 0xc0 7.68002e+06 0.5; - pipeline_dffe[4]~20 0xc0 8.35221e+06 0.5; - pipeline_dffe[4]~21 0xc0 7.89799e+06 0.0078125; - pipeline_dffe[5] 0xc0 7.68002e+06 0.5; - pipeline_dffe[5]~22 0xc0 1.151e+07 0.5; - pipeline_dffe[5]~23 0xc0 1.97543e+06 0.996094; - pipeline_dffe[6] 0xc0 7.68002e+06 0.5; - pipeline_dffe[6]~24 0xc0 8.60797e+06 0.5; - pipeline_dffe[6]~25 0xc0 8.11411e+06 0.00195313; - pipeline_dffe[7] 0xc0 7.68002e+06 0.5; - pipeline_dffe[7]~26 0xc0 1.17071e+07 0.5; - pipeline_dffe[7]~27 0xc0 2.02859e+06 0.999023; - pipeline_dffe[8] 0xc0 7.68002e+06 0.5; - pipeline_dffe[8]~28 0xc0 8.67933e+06 0.5; - pipeline_dffe[8]~29 0xc0 8.17218e+06 0.000488281; - pipeline_dffe[9] 0xc0 7.68002e+06 0.5; - pipeline_dffe[9]~30 0xc0 1.17586e+07 0.5; - pipeline_dffe[9]~31 0xc0 2.04305e+06 0.999756; - pipeline_dffe[10] 0xc0 7.68002e+06 0.5; - pipeline_dffe[10]~32 0xc0 8.6978e+06 0.5; - pipeline_dffe[10]~33 0xc0 8.18703e+06 0.00012207; - pipeline_dffe[11] 0xc0 7.68002e+06 0.5; - pipeline_dffe[11]~34 0xc0 1.17717e+07 0.5; - asj_nco_mady_cen:m0; - lpm_mult:Mult0; - mult_t5t:auto_generated; - mac_mult1 0xc0 1.92e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT2 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT3 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT4 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT5 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT6 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT7 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT8 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT9 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT10 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT11 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT12 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT13 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT14 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT15 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT16 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT17 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT18 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT19 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT20 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT21 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT22 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT23 0xc0 1.92e+06 0.5; - mac_out2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT1 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT3 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT4 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT5 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT6 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT7 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT8 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT9 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT10 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT11 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT12 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT13 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT14 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT15 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT16 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT17 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT18 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT19 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT20 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT21 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT22 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT23 0xc0 1.92e+06 0.5; - lpm_mult:Mult1; - mult_t5t:auto_generated; - mac_mult1 0xc0 1.92e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT2 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT3 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT4 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT5 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT6 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT7 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT8 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT9 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT10 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT11 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT12 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT13 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT14 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT15 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT16 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT17 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT18 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT19 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT20 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT21 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT22 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT23 0xc0 1.92e+06 0.5; - mac_out2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT1 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT3 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT4 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT5 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT6 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT7 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT8 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT9 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT10 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT11 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT12 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT13 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT14 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT15 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT16 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT17 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT18 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT19 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT20 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT21 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT22 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT23 0xc0 1.92e+06 0.5; - out[11] 0xc0 7.68002e+06 0.5; - out[11]~14 0xc0 990003 0.25; - out[11]~16 0xc0 758938 0.625; - out[11]~18 0xc0 1.41018e+06 0.4375; - out[11]~20 0xc0 1.07323e+06 0.53125; - out[11]~22 0xc0 1.20496e+06 0.484375; - out[11]~24 0xc0 1.12567e+06 0.507813; - out[11]~26 0xc0 1.16142e+06 0.496094; - out[11]~28 0xc0 1.14251e+06 0.501953; - out[11]~30 0xc0 1.1517e+06 0.499023; - out[11]~32 0xc0 1.14704e+06 0.500488; - out[11]~34 0xc0 1.14935e+06 0.499756; - out[11]~35 0xc0 1.27734e+06 0.5; - out[11]~36 0xc0 1.14819e+06 0.500122; - out[12] 0xc0 7.68002e+06 0.5; - out[12]~37 0xc0 1.27705e+06 0.5; - out[12]~38 0xc0 1.14877e+06 0.499939; - out[13] 0xc0 7.68002e+06 0.5; - out[13]~39 0xc0 1.27719e+06 0.5; - out[13]~40 0xc0 1.14848e+06 0.500031; - out[14] 0xc0 7.68002e+06 0.5; - out[14]~41 0xc0 1.27712e+06 0.5; - out[14]~42 0xc0 1.14862e+06 0.499985; - out[15] 0xc0 7.68002e+06 0.5; - out[15]~43 0xc0 1.27716e+06 0.5; - out[15]~44 0xc0 1.14855e+06 0.500008; - out[16] 0xc0 7.68002e+06 0.5; - out[16]~45 0xc0 1.27714e+06 0.5; - out[16]~46 0xc0 1.14859e+06 0.499996; - out[17] 0xc0 7.68002e+06 0.5; - out[17]~47 0xc0 1.27715e+06 0.5; - out[17]~48 0xc0 1.14857e+06 0.500002; - out[18] 0xc0 7.68002e+06 0.5; - out[18]~49 0xc0 1.27714e+06 0.5; - out[18]~50 0xc0 1.14858e+06 0.499999; - out[19] 0xc0 7.68002e+06 0.5; - out[19]~51 0xc0 1.27715e+06 0.5; - out[19]~52 0xc0 1.14857e+06 0.5; - out[20] 0xc0 7.68002e+06 0.5; - out[20]~53 0xc0 1.27715e+06 0.5; - out[20]~54 0xc0 1.14858e+06 0.5; - out[21] 0xc0 7.68002e+06 0.5; - out[21]~55 0xc0 1.27715e+06 0.5; - out[21]~56 0xc0 1.14857e+06 0.5; - out[22] 0xc0 7.68002e+06 0.5; - out[22]~57 0xc0 1.27715e+06 0.5; - out[22]~58 0xc0 1.14857e+06 0.5; - out[23] 0xc0 7.68002e+06 0.5; - out[23]~59 0xc0 1.72715e+06 0.5; - asj_nco_madx_cen:m1; - lpm_mult:Mult0; - mult_t5t:auto_generated; - mac_mult1 0xc0 1.92e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT2 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT3 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT4 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT5 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT6 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT7 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT8 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT9 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT10 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT11 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT12 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT13 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT14 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT15 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT16 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT17 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT18 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT19 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT20 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT21 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT22 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT23 0xc0 1.92e+06 0.5; - mac_out2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT1 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT3 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT4 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT5 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT6 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT7 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT8 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT9 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT10 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT11 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT12 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT13 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT14 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT15 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT16 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT17 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT18 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT19 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT20 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT21 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT22 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT23 0xc0 1.92e+06 0.5; - lpm_mult:Mult1; - mult_t5t:auto_generated; - mac_mult1 0xc0 1.92e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~4 0xc0 0 0; - mac_mult1~5 0xc0 0 0; - mac_mult1~6 0xc0 0 0; - mac_mult1~7 0xc0 0 0; - mac_mult1~8 0xc0 0 0; - mac_mult1~9 0xc0 0 0; - mac_mult1~10 0xc0 0 0; - mac_mult1~11 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT2 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT3 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT4 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT5 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT6 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT7 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT8 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT9 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT10 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT11 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT12 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT13 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT14 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT15 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT16 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT17 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT18 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT19 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT20 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT21 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT22 0xc0 1.92e+06 0.5; - mac_mult1~DATAOUT23 0xc0 1.92e+06 0.5; - mac_out2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT1 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT2 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT3 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT4 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT5 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT6 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT7 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT8 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT9 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT10 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT11 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT12 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT13 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT14 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT15 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT16 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT17 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT18 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT19 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT20 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT21 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT22 0xc0 1.92e+06 0.5; - mac_out2~DATAOUT23 0xc0 1.92e+06 0.5; - out[11] 0xc0 7.68002e+06 0.5; - out[11]~14 0xc0 990003 0.75; - out[11]~16 0xc0 758938 0.375; - out[11]~18 0xc0 915182 0.5625; - out[11]~20 0xc0 1.25885e+06 0.46875; - out[11]~22 0xc0 1.10441e+06 0.515625; - out[11]~24 0xc0 1.17497e+06 0.492188; - out[11]~26 0xc0 1.13665e+06 0.503906; - out[11]~28 0xc0 1.15488e+06 0.498047; - out[11]~30 0xc0 1.14551e+06 0.500977; - out[11]~32 0xc0 1.15013e+06 0.499512; - out[11]~34 0xc0 1.1478e+06 0.500244; - out[11]~35 0xc0 1.27695e+06 0.5; - out[11]~36 0xc0 1.14896e+06 0.499878; - out[12] 0xc0 7.68002e+06 0.5; - out[12]~37 0xc0 1.27724e+06 0.5; - out[12]~38 0xc0 1.14838e+06 0.500061; - out[13] 0xc0 7.68002e+06 0.5; - out[13]~39 0xc0 1.2771e+06 0.5; - out[13]~40 0xc0 1.14867e+06 0.499969; - out[14] 0xc0 7.68002e+06 0.5; - out[14]~41 0xc0 1.27717e+06 0.5; - out[14]~42 0xc0 1.14853e+06 0.500015; - out[15] 0xc0 7.68002e+06 0.5; - out[15]~43 0xc0 1.27713e+06 0.5; - out[15]~44 0xc0 1.1486e+06 0.499992; - out[16] 0xc0 7.68002e+06 0.5; - out[16]~45 0xc0 1.27715e+06 0.5; - out[16]~46 0xc0 1.14856e+06 0.500004; - out[17] 0xc0 7.68002e+06 0.5; - out[17]~47 0xc0 1.27714e+06 0.5; - out[17]~48 0xc0 1.14858e+06 0.499998; - out[18] 0xc0 7.68002e+06 0.5; - out[18]~49 0xc0 1.27715e+06 0.5; - out[18]~50 0xc0 1.14857e+06 0.500001; - out[19] 0xc0 7.68002e+06 0.5; - out[19]~51 0xc0 1.27715e+06 0.5; - out[19]~52 0xc0 1.14858e+06 0.5; - out[20] 0xc0 7.68002e+06 0.5; - out[20]~53 0xc0 1.27715e+06 0.5; - out[20]~54 0xc0 1.14857e+06 0.5; - out[21] 0xc0 7.68002e+06 0.5; - out[21]~55 0xc0 1.27715e+06 0.5; - out[21]~56 0xc0 1.14857e+06 0.5; - out[22] 0xc0 7.68002e+06 0.5; - out[22]~57 0xc0 1.27715e+06 0.5; - out[22]~58 0xc0 1.14857e+06 0.5; - out[23] 0xc0 7.68002e+06 0.5; - out[23]~59 0xc0 1.72715e+06 0.5; - asj_altqmcpipe:ux000; - lpm_add_sub:acc; - add_sub_u4i:auto_generated; - pipeline_dffe[0] 0xc0 7.68002e+06 0.5; - pipeline_dffe[0]~22 0xc0 7.68002e+06 0.5; - pipeline_dffe[0]~23 0xc0 4.32001e+06 0.25; - pipeline_dffe[1] 0xc0 7.68002e+06 0.5; - pipeline_dffe[1]~24 0xc0 6.48002e+06 0.5; - pipeline_dffe[1]~25 0xc0 3.57751e+06 0.625; - pipeline_dffe[2] 0xc0 7.68002e+06 0.5; - pipeline_dffe[2]~26 0xc0 5.48439e+06 0.5; - pipeline_dffe[2]~27 0xc0 6.3722e+06 0.4375; - pipeline_dffe[3] 0xc0 7.68002e+06 0.5; - pipeline_dffe[3]~28 0xc0 5.98056e+06 0.5; - pipeline_dffe[3]~29 0xc0 4.88779e+06 0.53125; - pipeline_dffe[4] 0xc0 7.68002e+06 0.5; - pipeline_dffe[4]~30 0xc0 5.55883e+06 0.5; - pipeline_dffe[4]~31 0xc0 5.4588e+06 0.484375; - pipeline_dffe[5] 0xc0 7.68002e+06 0.5; - pipeline_dffe[5]~32 0xc0 5.68893e+06 0.5; - pipeline_dffe[5]~33 0xc0 5.11181e+06 0.507813; - pipeline_dffe[6] 0xc0 7.68002e+06 0.5; - pipeline_dffe[6]~34 0xc0 5.59902e+06 0.5; - pipeline_dffe[6]~35 0xc0 5.2676e+06 0.496094; - pipeline_dffe[7] 0xc0 7.68002e+06 0.5; - pipeline_dffe[7]~36 0xc0 5.63718e+06 0.5; - pipeline_dffe[7]~37 0xc0 5.18499e+06 0.501953; - pipeline_dffe[8] 0xc0 7.68002e+06 0.5; - pipeline_dffe[8]~38 0xc0 5.61632e+06 0.5; - pipeline_dffe[8]~39 0xc0 5.22508e+06 0.499023; - pipeline_dffe[9] 0xc0 7.68002e+06 0.5; - pipeline_dffe[9]~40 0xc0 5.6263e+06 0.5; - pipeline_dffe[9]~41 0xc0 5.20473e+06 0.500488; - pipeline_dffe[10] 0xc0 7.68002e+06 0.5; - pipeline_dffe[10]~42 0xc0 5.6212e+06 0.5; - pipeline_dffe[10]~43 0xc0 5.21483e+06 0.499756; - pipeline_dffe[11] 0xc0 7.68002e+06 0.5; - pipeline_dffe[11]~44 0xc0 5.62372e+06 0.5; - pipeline_dffe[11]~45 0xc0 5.20976e+06 0.500122; - pipeline_dffe[12] 0xc0 7.68002e+06 0.5; - pipeline_dffe[12]~46 0xc0 5.62245e+06 0.5; - pipeline_dffe[12]~47 0xc0 5.21229e+06 0.499939; - pipeline_dffe[13] 0xc0 7.68002e+06 0.5; - pipeline_dffe[13]~48 0xc0 5.62308e+06 0.5; - pipeline_dffe[13]~49 0xc0 5.21102e+06 0.500031; - pipeline_dffe[14] 0xc0 7.68002e+06 0.5; - pipeline_dffe[14]~50 0xc0 5.62277e+06 0.5; - pipeline_dffe[14]~51 0xc0 5.21165e+06 0.499985; - pipeline_dffe[15] 0xc0 7.68002e+06 0.5; - pipeline_dffe[15]~52 0xc0 5.62292e+06 0.5; - pipeline_dffe[15]~53 0xc0 5.21134e+06 0.500008; - pipeline_dffe[16] 0xc0 7.68002e+06 0.5; - pipeline_dffe[16]~54 0xc0 5.62285e+06 0.5; - pipeline_dffe[16]~55 0xc0 5.21149e+06 0.499996; - pipeline_dffe[17] 0xc0 7.68002e+06 0.5; - pipeline_dffe[17]~56 0xc0 5.62289e+06 0.5; - pipeline_dffe[17]~57 0xc0 5.21142e+06 0.500002; - pipeline_dffe[18] 0xc0 7.68002e+06 0.5; - pipeline_dffe[18]~58 0xc0 5.62286e+06 0.5; - pipeline_dffe[18]~59 0xc0 5.21146e+06 0.499999; - pipeline_dffe[19] 0xc0 7.68002e+06 0.5; - pipeline_dffe[19]~60 0xc0 5.62287e+06 0.5; - pipeline_dffe[19]~61 0xc0 5.21144e+06 0.5; - pipeline_dffe[20] 0xc0 7.68002e+06 0.5; - pipeline_dffe[20]~62 0xc0 5.62287e+06 0.5; - pipeline_dffe[20]~63 0xc0 5.21145e+06 0.5; - pipeline_dffe[21] 0xc0 7.68002e+06 0.5; - pipeline_dffe[21]~64 0xc0 5.62287e+06 0.5; - phi_int_arr_reg[0] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[1] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[2] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[3] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[4] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[5] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[6] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[7] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[8] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[9] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[10] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[11] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[12] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[13] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[14] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[15] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[16] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[17] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[18] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[19] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[20] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg[21] 0xc0 7.68002e+06 0.5; - phi_int_arr_reg~0 0xc0 4.32001e+06 0.75; - phi_int_arr_reg~1 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~2 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~3 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~4 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~5 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~6 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~7 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~8 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~9 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~10 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~11 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~12 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~13 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~14 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~15 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~16 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~17 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~18 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~19 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~20 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~21 0xc0 4.32001e+06 0.25; - phi_int_arr_reg~22 0xc0 4.32001e+06 0.25; - asj_gam_dp:ux008; - rom_add_cc_temp[0] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[1] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[2] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[3] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[4] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[5] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[6] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[7] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[8] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[9] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[9]~11 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[9]~12 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[10] 0xc0 7.68002e+06 0.5; - rom_add_cc_temp[10]~13 0xc0 7.68002e+06 0.5; - rom_add_cc_temp~2 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~3 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~4 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~5 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~6 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~7 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~8 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~9 0xc0 4.32001e+06 0.25; - rom_add_cc_temp~10 0xc0 4.32001e+06 0.25; - rom_add_cs[9] 0xc0 7.68002e+06 0.5; - rom_add_cs[10] 0xc0 7.68002e+06 0.5; - rom_add_cs~0 0xc0 4.32001e+06 0.25; - rom_add_cs~1 0xc0 4.32001e+06 0.25; - rom_add_f[0] 0xc0 7.68002e+06 0.5; - rom_add_f[1] 0xc0 7.68002e+06 0.5; - rom_add_f[2] 0xc0 7.68002e+06 0.5; - rom_add_f[3] 0xc0 7.68002e+06 0.5; - rom_add_f[4] 0xc0 7.68002e+06 0.5; - rom_add_f[5] 0xc0 7.68002e+06 0.5; - rom_add_f[6] 0xc0 7.68002e+06 0.5; - rom_add_f[7] 0xc0 7.68002e+06 0.5; - rom_add_f[8] 0xc0 7.68002e+06 0.5; - rom_add_f[9] 0xc0 7.68002e+06 0.5; - rom_add_f[10] 0xc0 7.68002e+06 0.5; - rom_add_f~0 0xc0 4.32001e+06 0.25; - rom_add_f~1 0xc0 4.32001e+06 0.25; - rom_add_f~2 0xc0 4.32001e+06 0.25; - rom_add_f~3 0xc0 4.32001e+06 0.25; - rom_add_f~4 0xc0 4.32001e+06 0.25; - rom_add_f~5 0xc0 4.32001e+06 0.25; - rom_add_f~6 0xc0 4.32001e+06 0.25; - rom_add_f~7 0xc0 4.32001e+06 0.25; - rom_add_f~8 0xc0 4.32001e+06 0.25; - rom_add_f~9 0xc0 4.32001e+06 0.25; - rom_add_f~10 0xc0 4.32001e+06 0.25; - asj_nco_as_m_cen:ux0122; - altsyncram:altsyncram_component0; - altsyncram_fu91:auto_generated; - q_a[0] 0xc0 7.68002e+06 0.5; - q_a[1] 0xc0 7.68002e+06 0.5; - q_a[2] 0xc0 7.68002e+06 0.5; - q_a[3] 0xc0 7.68002e+06 0.5; - q_a[4] 0xc0 7.68002e+06 0.5; - q_a[5] 0xc0 7.68002e+06 0.5; - q_a[6] 0xc0 7.68002e+06 0.5; - q_a[7] 0xc0 7.68002e+06 0.5; - q_a[8] 0xc0 7.68002e+06 0.5; - q_a[9] 0xc0 7.68002e+06 0.5; - q_a[10] 0xc0 7.68002e+06 0.5; - q_a[11] 0xc0 7.68002e+06 0.5; - asj_nco_as_m_cen:ux0123; - altsyncram:altsyncram_component0; - altsyncram_au91:auto_generated; - q_a[0] 0xc0 7.68002e+06 0.5; - q_a[1] 0xc0 7.68002e+06 0.5; - q_a[2] 0xc0 7.68002e+06 0.5; - q_a[3] 0xc0 7.68002e+06 0.5; - q_a[4] 0xc0 7.68002e+06 0.5; - q_a[5] 0xc0 7.68002e+06 0.5; - q_a[6] 0xc0 7.68002e+06 0.5; - q_a[7] 0xc0 7.68002e+06 0.5; - q_a[8] 0xc0 7.68002e+06 0.5; - q_a[9] 0xc0 7.68002e+06 0.5; - q_a[10] 0xc0 7.68002e+06 0.5; - q_a[11] 0xc0 7.68002e+06 0.5; - asj_nco_as_m_dp_cen:ux0220; - altsyncram:altsyncram_component; - altsyncram_h982:auto_generated; - q_a[0] 0xc0 7.68002e+06 0.5; - q_a[1] 0xc0 7.68002e+06 0.5; - q_a[2] 0xc0 7.68002e+06 0.5; - q_a[3] 0xc0 7.68002e+06 0.5; - q_a[4] 0xc0 7.68002e+06 0.5; - q_a[5] 0xc0 7.68002e+06 0.5; - q_a[6] 0xc0 7.68002e+06 0.5; - q_a[7] 0xc0 7.68002e+06 0.5; - q_a[8] 0xc0 7.68002e+06 0.5; - q_a[9] 0xc0 7.68002e+06 0.5; - q_a[10] 0xc0 7.68002e+06 0.5; - q_a[11] 0xc0 7.68002e+06 0.5; - q_b[0] 0xc0 7.68002e+06 0.5; - q_b[1] 0xc0 7.68002e+06 0.5; - q_b[2] 0xc0 7.68002e+06 0.5; - q_b[3] 0xc0 7.68002e+06 0.5; - q_b[4] 0xc0 7.68002e+06 0.5; - q_b[5] 0xc0 7.68002e+06 0.5; - q_b[6] 0xc0 7.68002e+06 0.5; - q_b[7] 0xc0 7.68002e+06 0.5; - q_b[8] 0xc0 7.68002e+06 0.5; - q_b[9] 0xc0 7.68002e+06 0.5; - q_b[10] 0xc0 7.68002e+06 0.5; - q_b[11] 0xc0 7.68002e+06 0.5; - asj_nco_isdr:ux710isdr; - always0~0 0xc0 691877 0.0625; - data_ready 0xc0 7.68002e+06 0.5; - data_ready~0 0xc0 6.98873e+06 0.515625; - lpm_counter:lpm_counter_component; - cntr_asi:auto_generated; - counter_comb_bita0 0xc0 7.68002e+06 0.5; - counter_comb_bita0~COUT 0xc0 7.68002e+06 0.5; - counter_comb_bita1 0xc0 7.68002e+06 0.5; - counter_comb_bita1~COUT 0xc0 4.32001e+06 0.75; - counter_comb_bita2 0xc0 6.96002e+06 0.5; - counter_comb_bita2~COUT 0xc0 5.88002e+06 0.125; - counter_comb_bita3 0xc0 8.94002e+06 0.5; - counter_reg_bit[0] 0xc0 7.68002e+06 0.5; - counter_reg_bit[1] 0xc0 7.68002e+06 0.5; - counter_reg_bit[2] 0xc0 7.68002e+06 0.5; - counter_reg_bit[3] 0xc0 7.68002e+06 0.5; - STM32_CLK 0xc 5e+07 0.5; - STM32_CLK~input 0xc0 5e+07 0.5; - STM32_DATA_BUS[0]~result 0xc0 1 0.5; - STM32_DATA_BUS[0]~input 0xc0 1 0.5; - STM32_DATA_BUS[0]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[1]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[1]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[1]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[2]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[2]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[2]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[3]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[3]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[3]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[4]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[4]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[4]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[5]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[5]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[5]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[6]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[6]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[6]~output 0xc0 1.5625e+06 0.5; - STM32_DATA_BUS[7]~result 0x30 2e+07 0.5; - STM32_DATA_BUS[7]~input 0xc0 2e+07 0.5; - STM32_DATA_BUS[7]~output 0xc0 1.5625e+06 0.5; - stm32_interface:STM32_INTERFACE; - ADC_MAX[0] 0xc0 7.68002e+06 0.5; - ADC_MAX[0]~20 0xc0 4.32001e+06 0.25; - ADC_MAX[0]~21 0xc0 5.67001e+06 0.375; - ADC_MAX[1] 0xc0 7.68002e+06 0.5; - ADC_MAX[1]~22 0xc0 4.32001e+06 0.25; - ADC_MAX[1]~23 0xc0 3.72912e+06 0.4375; - ADC_MAX[2] 0xc0 7.68002e+06 0.5; - ADC_MAX[2]~24 0xc0 4.32001e+06 0.25; - ADC_MAX[2]~25 0xc0 2.49097e+06 0.65625; - ADC_MAX[3] 0xc0 7.68002e+06 0.5; - ADC_MAX[3]~26 0xc0 4.32001e+06 0.25; - ADC_MAX[3]~27 0xc0 2.01031e+06 0.296875; - ADC_MAX[4] 0xc0 7.68002e+06 0.5; - ADC_MAX[4]~28 0xc0 4.32001e+06 0.75; - ADC_MAX[4]~29 0xc0 3.4101e+06 0.476563; - ADC_MAX[5] 0xc0 7.68002e+06 0.5; - ADC_MAX[5]~30 0xc0 4.32001e+06 0.75; - ADC_MAX[5]~31 0xc0 4.51216e+06 0.636719; - ADC_MAX[6] 0xc0 7.68002e+06 0.5; - ADC_MAX[6]~32 0xc0 4.32001e+06 0.25; - ADC_MAX[6]~33 0xc0 4.17437e+06 0.556641; - ADC_MAX[7] 0xc0 7.68002e+06 0.5; - ADC_MAX[7]~34 0xc0 4.32001e+06 0.25; - ADC_MAX[7]~35 0xc0 2.60694e+06 0.34668; - ADC_MAX[8] 0xc0 7.68002e+06 0.5; - ADC_MAX[8]~40 0xc0 4.32001e+06 0.25; - ADC_MAX[8]~41 0xc0 2.04046e+06 0.70166; - ADC_MAX[9] 0xc0 7.68002e+06 0.5; - ADC_MAX[9]~42 0xc0 4.32001e+06 0.25; - ADC_MAX[9]~43 0xc0 2.88552e+06 0.27417; - ADC_MAX[10] 0xc0 7.68002e+06 0.5; - ADC_MAX[10]~44 0xc0 4.32001e+06 0.25; - ADC_MAX[10]~45 0xc0 2.02997e+06 0.737915; - ADC_MAX[11] 0xc0 7.68002e+06 0.5; - ADC_MAX[11]~46 0xc0 4.32001e+06 0.75; - ADC_MAX[11]~47 0xc0 2.38385e+06 0.256042; - ADC_MAX~12 0xc0 4.32001e+06 0.25; - ADC_MAX~13 0xc0 4.32001e+06 0.25; - ADC_MAX~14 0xc0 4.32001e+06 0.75; - ADC_MAX~15 0xc0 4.32001e+06 0.75; - ADC_MAX~16 0xc0 4.32001e+06 0.25; - ADC_MAX~17 0xc0 4.32001e+06 0.25; - ADC_MAX~18 0xc0 4.32001e+06 0.25; - ADC_MAX~19 0xc0 4.32001e+06 0.25; - ADC_MAX~36 0xc0 4.32001e+06 0.75; - ADC_MAX~37 0xc0 4.32001e+06 0.25; - ADC_MAX~38 0xc0 4.32001e+06 0.25; - ADC_MAX~39 0xc0 4.32001e+06 0.25; - ADC_MINMAX_RESET 0xc0 3.125e+06 0.5; - ADC_MINMAX_RESET~0 0xc0 1.38336e+06 0.500488; - ADC_MIN[0] 0xc0 7.68002e+06 0.5; - ADC_MIN[0]~20 0xc0 4.32001e+06 0.25; - ADC_MIN[0]~21 0xc0 1.83e+06 0.125; - ADC_MIN[1] 0xc0 7.68002e+06 0.5; - ADC_MIN[1]~22 0xc0 4.32001e+06 0.25; - ADC_MIN[1]~23 0xc0 1.89537e+06 0.8125; - ADC_MIN[2] 0xc0 7.68002e+06 0.5; - ADC_MIN[2]~24 0xc0 4.32001e+06 0.25; - ADC_MIN[2]~25 0xc0 1.82759e+06 0.21875; - ADC_MIN[3] 0xc0 7.68002e+06 0.5; - ADC_MIN[3]~26 0xc0 4.32001e+06 0.25; - ADC_MIN[3]~27 0xc0 1.79341e+06 0.765625; - ADC_MIN[4] 0xc0 7.68002e+06 0.5; - ADC_MIN[4]~28 0xc0 4.32001e+06 0.75; - ADC_MIN[4]~29 0xc0 3.82175e+06 0.492188; - ADC_MIN[5] 0xc0 7.68002e+06 0.5; - ADC_MIN[5]~30 0xc0 4.32001e+06 0.25; - ADC_MIN[5]~31 0xc0 4.5117e+06 0.628906; - ADC_MIN[6] 0xc0 7.68002e+06 0.5; - ADC_MIN[6]~32 0xc0 4.32001e+06 0.75; - ADC_MIN[6]~33 0xc0 4.15824e+06 0.560547; - ADC_MIN[7] 0xc0 7.68002e+06 0.5; - ADC_MIN[7]~34 0xc0 4.32001e+06 0.75; - ADC_MIN[7]~35 0xc0 2.5918e+06 0.344727; - ADC_MIN[8] 0xc0 7.68002e+06 0.5; - ADC_MIN[8]~40 0xc0 4.32001e+06 0.75; - ADC_MIN[8]~41 0xc0 2.03413e+06 0.702637; - ADC_MIN[9] 0xc0 7.68002e+06 0.5; - ADC_MIN[9]~42 0xc0 4.32001e+06 0.75; - ADC_MIN[9]~43 0xc0 2.8864e+06 0.273682; - ADC_MIN[10] 0xc0 7.68002e+06 0.5; - ADC_MIN[10]~44 0xc0 4.32001e+06 0.75; - ADC_MIN[10]~45 0xc0 2.02995e+06 0.738159; - ADC_MIN[11] 0xc0 7.68002e+06 0.5; - ADC_MIN[11]~46 0xc0 4.32001e+06 0.25; - ADC_MIN[11]~47 0xc0 2.38288e+06 0.25592; - ADC_MIN~12 0xc0 4.32001e+06 0.75; - ADC_MIN~13 0xc0 4.32001e+06 0.75; - ADC_MIN~14 0xc0 4.32001e+06 0.25; - ADC_MIN~15 0xc0 4.32001e+06 0.75; - ADC_MIN~16 0xc0 4.32001e+06 0.25; - ADC_MIN~17 0xc0 4.32001e+06 0.25; - ADC_MIN~18 0xc0 4.32001e+06 0.25; - ADC_MIN~19 0xc0 4.32001e+06 0.25; - ADC_MIN~36 0xc0 4.32001e+06 0.25; - ADC_MIN~37 0xc0 4.32001e+06 0.75; - ADC_MIN~38 0xc0 4.32001e+06 0.75; - ADC_MIN~39 0xc0 4.32001e+06 0.75; - ATT_1 0xc0 3.125e+06 0.5; - ATT_2 0xc0 3.125e+06 0.5; - ATT_4 0xc0 3.125e+06 0.5; - ATT_4~feeder 0xc0 2e+07 0.5; - ATT_05 0xc0 3.125e+06 0.5; - ATT_05~feeder 0xc0 2e+07 0.5; - ATT_8 0xc0 3.125e+06 0.5; - ATT_8~feeder 0xc0 2e+07 0.5; - ATT_16 0xc0 3.125e+06 0.5; - ATT_16~feeder 0xc0 2e+07 0.5; - BPF_A 0xc0 3.125e+06 0.5; - BPF_A~0 0xc0 2.12891e+06 0.125; - BPF_A~1 0xc0 1306.64 0.000488281; - BPF_B 0xc0 3.125e+06 0.5; - BPF_B~feeder 0xc0 2e+07 0.5; - BPF_OE1 0xc0 3.125e+06 0.5; - BPF_OE2 0xc0 3.125e+06 0.5; - BPF_OE2~feeder 0xc0 2e+07 0.5; - BUFFER_RX_I[0][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[0][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][6]~feeder 0xc0 1.63473e+06 0.204102; - BUFFER_RX_I[1][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][7]~feeder 0xc0 1.62892e+06 0.202209; - BUFFER_RX_I[1][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][11]~feeder 0xc0 1.609e+06 0.192406; - BUFFER_RX_I[1][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][12]~feeder 0xc0 1.61979e+06 0.190918; - BUFFER_RX_I[1][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[1][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[2][15]~feeder 0xc0 532322 0.166748; - BUFFER_RX_I[3][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[3][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[4][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][0]~feeder 0xc0 606799 0.165039; - BUFFER_RX_I[5][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][6]~feeder 0xc0 1.63473e+06 0.204102; - BUFFER_RX_I[5][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][10]~feeder 0xc0 1.61718e+06 0.194885; - BUFFER_RX_I[5][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[5][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][2]~feeder 0xc0 1.66256e+06 0.210449; - BUFFER_RX_I[6][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][5]~feeder 0xc0 1.62784e+06 0.20385; - BUFFER_RX_I[6][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][7]~feeder 0xc0 1.62892e+06 0.202209; - BUFFER_RX_I[6][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][8]~feeder 0xc0 1.62651e+06 0.200195; - BUFFER_RX_I[6][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][11]~feeder 0xc0 1.609e+06 0.192406; - BUFFER_RX_I[6][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][13]~feeder 0xc0 1.64325e+06 0.18985; - BUFFER_RX_I[6][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[6][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_I[7][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[0][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][3]~feeder 0xc0 1.63122e+06 0.206322; - BUFFER_RX_Q[1][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][4]~feeder 0xc0 1.6299e+06 0.205139; - BUFFER_RX_Q[1][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][9]~feeder 0xc0 1.61758e+06 0.197258; - BUFFER_RX_Q[1][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][10]~feeder 0xc0 1.61718e+06 0.194885; - BUFFER_RX_Q[1][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][11]~feeder 0xc0 1.609e+06 0.192406; - BUFFER_RX_Q[1][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][12]~feeder 0xc0 1.61979e+06 0.190918; - BUFFER_RX_Q[1][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][13]~feeder 0xc0 1.64325e+06 0.18985; - BUFFER_RX_Q[1][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[1][15]~feeder 0xc0 532322 0.166748; - BUFFER_RX_Q[2][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][3]~feeder 0xc0 1.63122e+06 0.206322; - BUFFER_RX_Q[2][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][10]~feeder 0xc0 1.61718e+06 0.194885; - BUFFER_RX_Q[2][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[2][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[3][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[4][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][1]~feeder 0xc0 1.67933e+06 0.211731; - BUFFER_RX_Q[5][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][10]~feeder 0xc0 1.61718e+06 0.194885; - BUFFER_RX_Q[5][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[5][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][6]~feeder 0xc0 1.63473e+06 0.204102; - BUFFER_RX_Q[6][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][11]~feeder 0xc0 1.609e+06 0.192406; - BUFFER_RX_Q[6][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[6][15]~feeder 0xc0 532322 0.166748; - BUFFER_RX_Q[7][0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_Q[7][15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[0] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[0]~16 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[0]~17 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[1] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[1]~18 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[1]~19 0xc0 4.32001e+06 0.75; - BUFFER_RX_head[2] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[2]~20 0xc0 6.96002e+06 0.5; - BUFFER_RX_head[2]~21 0xc0 5.88002e+06 0.125; - BUFFER_RX_head[3] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[3]~22 0xc0 8.94002e+06 0.5; - BUFFER_RX_head[3]~23 0xc0 1.95751e+06 0.9375; - BUFFER_RX_head[4] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[4]~24 0xc0 7.75877e+06 0.5; - BUFFER_RX_head[4]~25 0xc0 641720 0.03125; - BUFFER_RX_head[5] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[5]~26 0xc0 7.53588e+06 0.5; - BUFFER_RX_head[5]~27 0xc0 7.37545e+06 0.984375; - BUFFER_RX_head[6] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[6]~28 0xc0 1.11315e+07 0.5; - BUFFER_RX_head[6]~29 0xc0 2.3067e+06 0.0078125; - BUFFER_RX_head[7] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[7]~30 0xc0 8.71431e+06 0.5; - BUFFER_RX_head[7]~31 0xc0 721313 0.996094; - BUFFER_RX_head[8] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[8]~32 0xc0 7.98091e+06 0.5; - BUFFER_RX_head[8]~33 0xc0 225528 0.00195313; - BUFFER_RX_head[9] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[9]~34 0xc0 7.76284e+06 0.5; - BUFFER_RX_head[9]~35 0xc0 56440.5 0.999023; - BUFFER_RX_head[10] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[10]~36 0xc0 7.69325e+06 0.5; - BUFFER_RX_head[10]~37 0xc0 7.67914e+06 0.000488281; - BUFFER_RX_head[11] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[11]~38 0xc0 1.15121e+07 0.5; - BUFFER_RX_head[11]~39 0xc0 1.91979e+06 0.999756; - BUFFER_RX_head[12] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[12]~40 0xc0 8.63617e+06 0.5; - BUFFER_RX_head[12]~41 0xc0 8.15622e+06 0.00012207; - BUFFER_RX_head[13] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[13]~42 0xc0 1.17563e+07 0.5; - BUFFER_RX_head[13]~43 0xc0 2.03905e+06 0.999939; - BUFFER_RX_head[14] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[14]~44 0xc0 8.69861e+06 0.5; - BUFFER_RX_head[14]~45 0xc0 8.18885e+06 3.05176e-05; - BUFFER_RX_head[15] 0xc0 7.68002e+06 0.5; - BUFFER_RX_head[15]~46 0xc0 1.1774e+07 0.5; - BUFFER_RX_tail[0] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[0]~17 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[0]~18 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[1] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[1]~20 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[1]~21 0xc0 1.75781e+06 0.75; - BUFFER_RX_tail[2] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[2]~16 0xc0 3113.05 0.000976548; - BUFFER_RX_tail[2]~19 0xc0 1702.35 0.000486366; - BUFFER_RX_tail[2]~22 0xc0 2.83203e+06 0.5; - BUFFER_RX_tail[2]~23 0xc0 744629 0.125; - BUFFER_RX_tail[3] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[3]~24 0xc0 2.81372e+06 0.5; - BUFFER_RX_tail[3]~25 0xc0 2.62756e+06 0.9375; - BUFFER_RX_tail[4] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[4]~26 0xc0 4.07257e+06 0.5; - BUFFER_RX_tail[4]~27 0xc0 833321 0.03125; - BUFFER_RX_tail[5] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[5]~28 0xc0 3.35245e+06 0.5; - BUFFER_RX_tail[5]~29 0xc0 263464 0.984375; - BUFFER_RX_tail[6] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[6]~30 0xc0 3.1606e+06 0.5; - BUFFER_RX_tail[6]~31 0xc0 83095.6 0.0078125; - BUFFER_RX_tail[7] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[7]~32 0xc0 3.1181e+06 0.5; - BUFFER_RX_tail[7]~33 0xc0 3.09733e+06 0.996094; - BUFFER_RX_tail[8] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[8]~34 0xc0 4.64935e+06 0.5; - BUFFER_RX_tail[8]~35 0xc0 967963 0.00195313; - BUFFER_RX_tail[9] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[9]~36 0xc0 3.5968e+06 0.5; - BUFFER_RX_tail[9]~37 0xc0 302500 0.999023; - BUFFER_RX_tail[10] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[10]~38 0xc0 3.27015e+06 0.5; - BUFFER_RX_tail[10]~39 0xc0 94534.3 0.000488281; - BUFFER_RX_tail[11] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[11]~40 0xc0 3.16922e+06 0.5; - BUFFER_RX_tail[11]~41 0xc0 3.14558e+06 0.999756; - BUFFER_RX_tail[12] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[12]~42 0xc0 4.69627e+06 0.5; - BUFFER_RX_tail[12]~43 0xc0 982995 0.00012207; - BUFFER_RX_tail[13] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[13]~44 0xc0 3.61573e+06 0.5; - BUFFER_RX_tail[13]~45 0xc0 307186 0.999939; - BUFFER_RX_tail[14] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[14]~46 0xc0 3.27821e+06 0.5; - BUFFER_RX_tail[14]~47 0xc0 76796.5 3.05176e-05; - BUFFER_RX_tail[15] 0xc0 3.125e+06 0.5; - BUFFER_RX_tail[15]~48 0xc0 3.16321e+06 0.5; - CICFIR_GAIN[0] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[0]~0 0xc0 971.469 0.000488281; - CICFIR_GAIN[1] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[2] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[3] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[4] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[5] 0xc0 3.125e+06 0.5; - CICFIR_GAIN[5]~1 0xc0 2e+07 0.5; - DAC_GAIN[0] 0xc0 3.125e+06 0.5; - DAC_GAIN[0]~0 0xc0 1306.64 0.000488281; - DAC_GAIN[1] 0xc0 3.125e+06 0.5; - DAC_GAIN[2] 0xc0 3.125e+06 0.5; - DAC_GAIN[3] 0xc0 3.125e+06 0.5; - DAC_GAIN[4] 0xc0 3.125e+06 0.5; - DAC_GAIN[5] 0xc0 3.125e+06 0.5; - DAC_GAIN[5]~1 0xc0 2e+07 0.5; - DAC_GAIN[6] 0xc0 3.125e+06 0.5; - DAC_GAIN[6]~feeder 0xc0 2e+07 0.5; - DAC_GAIN[7] 0xc0 3.125e+06 0.5; - DATA_BUS_OE 0xc0 3.125e+06 0.5; - DATA_BUS_OE~0 0xc0 6.67634e+06 0.500476; - DATA_BUS_OE~1 0xc0 6.99442e+06 0.500488; - DATA_BUS_OE~2 0xc0 8.60519e+06 0.0078125; - DATA_BUS_OUT[0] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[1] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[2] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[3] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[3]~54 0xc0 9.65487e+06 0.493658; - DATA_BUS_OUT[4] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[4]~3 0xc0 1.70143e+06 0.499998; - DATA_BUS_OUT[4]~12 0xc0 2.34375e+06 0.75; - DATA_BUS_OUT[4]~14 0xc0 16481.2 0.998047; - DATA_BUS_OUT[4]~21 0xc0 2.18129e+07 0.494141; - DATA_BUS_OUT[4]~23 0xc0 51126.7 0.995125; - DATA_BUS_OUT[4]~24 0xc0 2.15207e+07 0.490774; - DATA_BUS_OUT[5] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[5]~2 0xc0 457968 0.500365; - DATA_BUS_OUT[6] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[6]~1 0xc0 459697 0.500365; - DATA_BUS_OUT[7] 0xc0 3.125e+06 0.5; - DATA_BUS_OUT[7]~0 0xc0 1.70146e+06 0.499998; - DATA_BUS_OUT~13 0xc0 27278.5 0.992203; - DATA_BUS_OUT~15 0xc0 2.19727e+06 0.25; - DATA_BUS_OUT~16 0xc0 2191.19 0.00146436; - DATA_BUS_OUT~17 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~18 0xc0 3.88802e+06 0.500732; - DATA_BUS_OUT~19 0xc0 2.05078e+06 0.25; - DATA_BUS_OUT~20 0xc0 2.72495e+06 0.499998; - DATA_BUS_OUT~22 0xc0 73278 0.996094; - DATA_BUS_OUT~25 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~26 0xc0 3.89471e+06 0.500244; - DATA_BUS_OUT~27 0xc0 2.83203e+06 0.5; - DATA_BUS_OUT~28 0xc0 1.40021e+06 0.500365; - DATA_BUS_OUT~29 0xc0 734413 0.500365; - DATA_BUS_OUT~30 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~31 0xc0 3.89471e+06 0.500244; - DATA_BUS_OUT~32 0xc0 2.17285e+06 0.5; - DATA_BUS_OUT~33 0xc0 1.39492e+06 0.500365; - DATA_BUS_OUT~34 0xc0 731641 0.500365; - DATA_BUS_OUT~35 0xc0 1.68457e+06 0.25; - DATA_BUS_OUT~36 0xc0 2013.36 0.00146436; - DATA_BUS_OUT~37 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~38 0xc0 3.88802e+06 0.500732; - DATA_BUS_OUT~39 0xc0 2.05078e+06 0.25; - DATA_BUS_OUT~40 0xc0 2.72491e+06 0.499998; - DATA_BUS_OUT~41 0xc0 831.855 0.000488274; - DATA_BUS_OUT~42 0xc0 1.07422e+06 0.125; - DATA_BUS_OUT~43 0xc0 3.6717e+07 0.000976324; - DATA_BUS_OUT~44 0xc0 2.05078e+06 0.25; - DATA_BUS_OUT~45 0xc0 1.93606e+06 0.00292778; - DATA_BUS_OUT~46 0xc0 1.9125e+06 0.25; - DATA_BUS_OUT~47 0xc0 1274.69 0.000976563; - DATA_BUS_OUT~48 0xc0 2.9636e+06 0.00438786; - DATA_BUS_OUT~49 0xc0 5.90073e+07 0.00584556; - DATA_BUS_OUT~50 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~51 0xc0 63528.8 0.990266; - DATA_BUS_OUT~52 0xc0 26518 0.987365; - DATA_BUS_OUT~53 0xc0 1.86852e+07 0.497127; - DATA_BUS_OUT~55 0xc0 831.855 0.000488274; - DATA_BUS_OUT~56 0xc0 1.9125e+06 0.25; - DATA_BUS_OUT~57 0xc0 37514.9 0.00292778; - DATA_BUS_OUT~58 0xc0 23929.3 0.00341463; - DATA_BUS_OUT~59 0xc0 1.9043e+06 0.25; - DATA_BUS_OUT~60 0xc0 2.27051e+06 0.34375; - DATA_BUS_OUT~61 0xc0 6.93554e+06 0.0018304; - DATA_BUS_OUT~62 0xc0 1.0581e+07 0.0057245; - DATA_BUS_OUT~63 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~64 0xc0 5.73156e+06 0.497066; - DATA_BUS_OUT~65 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~66 0xc0 1.53809e+06 0.25; - DATA_BUS_OUT~67 0xc0 2.47617e+07 0.00146437; - DATA_BUS_OUT~68 0xc0 1.2207e+06 0.125; - DATA_BUS_OUT~69 0xc0 266761 0.00244045; - DATA_BUS_OUT~70 0xc0 4.78156e+06 0.25; - DATA_BUS_OUT~71 0xc0 2125.68 0.00134277; - DATA_BUS_OUT~72 0xc0 1.02235e+06 0.00426638; - DATA_BUS_OUT~73 0xc0 9.50681e+07 0.00620999; - DATA_BUS_OUT~74 0xc0 1.5916e+07 0.496831; - DATA_BUS_OUT~75 0xc0 2.99452e+06 0.500244; - DATA_BUS_OUT~76 0xc0 5.27401e+06 0.25; - DATA_BUS_OUT~77 0xc0 1073.94 0.00134277; - DATA_BUS_OUT~78 0xc0 5660.04 0.000976324; - DATA_BUS_OUT~79 0xc0 1.53809e+06 0.25; - DATA_BUS_OUT~80 0xc0 7.24861e+06 0.00134277; - DATA_BUS_OUT~81 0xc0 1.11102e+07 0.00426638; - DATA_BUS_OUT~82 0xc0 6.9131e+06 0.00608897; - DATA_BUS_OUT~83 0xc0 1.93662e+06 0.49677; - DATA_BUS_OUT~84 0xc0 1.46713e+06 0.375; - DATA_BUS_OUT~85 0xc0 2.07138e+06 0.375; - DATA_BUS_OUT~86 0xc0 1.8384e+06 0.49344; - DATA_BUS_OUT~87 0xc0 1.8384e+06 0.49344; - Decoder0~0 0xc0 33.1019 6.10352e-05; - Decoder0~1 0xc0 3.2487 1.52588e-05; - Decoder0~2 0xc0 4.32001e+06 0.25; - Decoder0~3 0xc0 3.28793 1.52588e-05; - Decoder0~4 0xc0 33.1019 6.10352e-05; - Decoder0~5 0xc0 3.2487 1.52588e-05; - Decoder0~6 0xc0 3.28793 1.52588e-05; - Decoder0~7 0xc0 3.2487 1.52588e-05; - Decoder0~8 0xc0 3.2487 1.52588e-05; - Decoder0~9 0xc0 3.2487 1.52588e-05; - Decoder0~10 0xc0 3.2487 1.52588e-05; - Equal0~0 0xc0 1.5e+07 0.25; - Equal0~1 0xc0 4.92875e+06 0.03125; - Equal2~0 0xc0 4.30455e+06 0.00390625; - Equal4~0 0xc0 4.5e+06 0.125; - Equal8~0 0xc0 62441.8 0.015625; - Equal8~1 0xc0 2.34375e+06 0.25; - Equal9~0 0xc0 7401.78 0.00390625; - Equal12~0 0xc0 7401.78 0.00390625; - Equal13~0 0xc0 1.75781e+06 0.25; - Equal15~0 0xc0 1.75781e+06 0.25; - Equal17~0 0xc0 10328.7 0.00390625; - Equal20~0 0xc0 384522 0.0625; - Equal20~1 0xc0 6492.06 0.00390625; - Equal22~0 0xc0 681.686 0.000976563; - Equal24~0 0xc0 20848.2 0.0078125; - Equal24~1 0xc0 1.2207e+06 0.125; - Equal24~2 0xc0 4021.78 0.000976563; - Equal25~0 0xc0 16057.5 0.00390625; - Equal25~1 0xc0 1057.24 0.000976563; - Equal27~0 0xc0 1057.24 0.000976563; - Equal28~0 0xc0 1057.24 0.000976563; - Equal29~2 0xc0 11435.2 0.00390625; - Equal29~3 0xc0 457764 0.0625; - Equal29~4 0xc0 1041.59 0.000976563; - Equal30~0 0xc0 2.52001e+06 0.25; - Equal30~1 0xc0 2.52001e+06 0.25; - Equal30~2 0xc0 2.52001e+06 0.25; - Equal30~3 0xc0 2.52001e+06 0.25; - Equal30~4 0xc0 3958.88 0.00390625; - Equal30~5 0xc0 2.52001e+06 0.25; - Equal30~6 0xc0 2.52001e+06 0.25; - Equal30~7 0xc0 2.52001e+06 0.25; - Equal30~8 0xc0 2.52001e+06 0.25; - Equal30~9 0xc0 3958.88 0.00390625; - Equal30~10 0xc0 0.248361 1.52588e-05; - Equal33~2 0xc0 1041.59 0.000976563; - Equal34~0 0xc0 2.34375e+06 0.25; - Equal34~1 0xc0 384522 0.0625; - Equal34~2 0xc0 622559 0.0625; - Equal34~3 0xc0 10735 0.000976563; - Equal35~0 0xc0 457764 0.0625; - Equal35~1 0xc0 549316 0.0625; - Equal35~2 0xc0 2908.71 0.00195313; - Equal36~0 0xc0 1221.9 0.000976563; - FLASH_continue_read 0xc0 3.125e+06 0.5; - FLASH_continue_read~0 0xc0 377.763 0.000487804; - FLASH_continue_read~1 0xc0 2.97452e+06 0.499761; - FLASH_data_out[0] 0xc0 3.125e+06 0.5; - FLASH_data_out[1] 0xc0 3.125e+06 0.5; - FLASH_data_out[2] 0xc0 3.125e+06 0.5; - FLASH_data_out[3] 0xc0 3.125e+06 0.5; - FLASH_data_out[4] 0xc0 3.125e+06 0.5; - FLASH_data_out[5] 0xc0 3.125e+06 0.5; - FLASH_data_out[5]~feeder 0xc0 2e+07 0.5; - FLASH_data_out[6] 0xc0 3.125e+06 0.5; - FLASH_data_out[6]~feeder 0xc0 2e+07 0.5; - FLASH_data_out[7] 0xc0 3.125e+06 0.5; - FLASH_data_out[7]~0 0xc0 122.725 0.000487328; - FLASH_enable 0xc0 3.125e+06 0.5; - FLASH_enable~0 0xc0 6.5625e+06 0.75; - FLASH_enable~1 0xc0 1070.05 0.000488281; - FLASH_enable~2 0xc0 3.2375e+06 0.0625; - FLASH_enable~3 0xc0 7.85364e+06 0.499268; - FLASH_enable~feeder 0xc0 7.85364e+06 0.499268; - I_HOLD[0] 0xc0 3.125e+06 0.5; - I_HOLD[0]~5 0xc0 5203.95 0.000959003; - I_HOLD[0]~32 0xc0 1.5539e+06 0.5; - I_HOLD[0]~33 0xc0 775751 0.499992; - I_HOLD[1] 0xc0 3.125e+06 0.5; - I_HOLD[1]~28 0xc0 1.5539e+06 0.5; - I_HOLD[1]~29 0xc0 2.01698e+06 0.499992; - I_HOLD[2] 0xc0 3.125e+06 0.5; - I_HOLD[2]~24 0xc0 1.5539e+06 0.5; - I_HOLD[2]~25 0xc0 2.01698e+06 0.499992; - I_HOLD[3] 0xc0 3.125e+06 0.5; - I_HOLD[3]~18 0xc0 1.5539e+06 0.5; - I_HOLD[3]~19 0xc0 2.01698e+06 0.499992; - I_HOLD[4] 0xc0 3.125e+06 0.5; - I_HOLD[4]~16 0xc0 1.5539e+06 0.5; - I_HOLD[4]~17 0xc0 2.01698e+06 0.499992; - I_HOLD[5] 0xc0 3.125e+06 0.5; - I_HOLD[5]~12 0xc0 1.5539e+06 0.5; - I_HOLD[5]~13 0xc0 2.01698e+06 0.499992; - I_HOLD[6] 0xc0 3.125e+06 0.5; - I_HOLD[6]~8 0xc0 1.5539e+06 0.5; - I_HOLD[6]~9 0xc0 2.01698e+06 0.499992; - I_HOLD[7] 0xc0 3.125e+06 0.5; - I_HOLD[7]~3 0xc0 1.5539e+06 0.5; - I_HOLD[7]~4 0xc0 2.01698e+06 0.499992; - I_HOLD[8] 0xc0 3.125e+06 0.5; - I_HOLD[8]~2 0xc0 1406.25 0.000959003; - I_HOLD[8]~30 0xc0 1.5539e+06 0.5; - I_HOLD[8]~31 0xc0 775751 0.499992; - I_HOLD[9] 0xc0 3.125e+06 0.5; - I_HOLD[9]~26 0xc0 1.5539e+06 0.5; - I_HOLD[9]~27 0xc0 2.01698e+06 0.499992; - I_HOLD[10] 0xc0 3.125e+06 0.5; - I_HOLD[10]~22 0xc0 1.5539e+06 0.5; - I_HOLD[10]~23 0xc0 2.01698e+06 0.499992; - I_HOLD[11] 0xc0 3.125e+06 0.5; - I_HOLD[11]~20 0xc0 1.5539e+06 0.5; - I_HOLD[11]~21 0xc0 2.01698e+06 0.499992; - I_HOLD[12] 0xc0 3.125e+06 0.5; - I_HOLD[12]~14 0xc0 1.5539e+06 0.5; - I_HOLD[12]~15 0xc0 2.01698e+06 0.499992; - I_HOLD[13] 0xc0 3.125e+06 0.5; - I_HOLD[13]~10 0xc0 1.5539e+06 0.5; - I_HOLD[13]~11 0xc0 2.01698e+06 0.499992; - I_HOLD[14] 0xc0 3.125e+06 0.5; - I_HOLD[14]~6 0xc0 1.5539e+06 0.5; - I_HOLD[14]~7 0xc0 2.01698e+06 0.499992; - I_HOLD[15] 0xc0 3.125e+06 0.5; - I_HOLD[15]~0 0xc0 1.5539e+06 0.5; - I_HOLD[15]~1 0xc0 2.01698e+06 0.499992; - LPF_1 0xc0 3.125e+06 0.5; - LPF_2 0xc0 3.125e+06 0.5; - LPF_3 0xc0 3.125e+06 0.5; - LPF_3~feeder 0xc0 2e+07 0.5; - LessThan2~0 0xc0 691877 0.0625; - LessThan2~1 0xc0 945002 0.0625; - LessThan2~2 0xc0 945002 0.0625; - LessThan2~3 0xc0 131.378 0.000244141; - LessThan2~4 0xc0 5.15754e+06 0.499893; - LessThan3~0 0xc0 281525 0.0625; - LessThan3~1 0xc0 281525 0.0625; - LessThan3~2 0xc0 281525 0.0625; - LessThan3~3 0xc0 63048.9 0.0546875; - LessThan3~4 0xc0 2.24605e+06 0.499893; - LessThan4~0 0xc0 2.38385e+06 0.743958; - LessThan5~0 0xc0 2.38288e+06 0.74408; - Mux0~0 0xc0 2.66251e+06 0.5; - Mux0~1 0xc0 1.80502e+06 0.5; - Mux0~2 0xc0 2.66251e+06 0.5; - Mux0~3 0xc0 1.80502e+06 0.5; - Mux1~0 0xc0 2.66251e+06 0.5; - Mux1~1 0xc0 1.80502e+06 0.5; - Mux1~2 0xc0 2.66251e+06 0.5; - Mux1~3 0xc0 1.80502e+06 0.5; - Mux2~0 0xc0 2.66251e+06 0.5; - Mux2~1 0xc0 1.80502e+06 0.5; - Mux2~2 0xc0 2.66251e+06 0.5; - Mux2~3 0xc0 1.80502e+06 0.5; - Mux3~0 0xc0 2.66251e+06 0.5; - Mux3~1 0xc0 1.80502e+06 0.5; - Mux3~2 0xc0 2.66251e+06 0.5; - Mux3~3 0xc0 1.80502e+06 0.5; - Mux4~0 0xc0 2.66251e+06 0.5; - Mux4~1 0xc0 1.80502e+06 0.5; - Mux4~2 0xc0 2.66251e+06 0.5; - Mux4~3 0xc0 1.80502e+06 0.5; - Mux5~0 0xc0 2.66251e+06 0.5; - Mux5~1 0xc0 1.80502e+06 0.5; - Mux5~2 0xc0 2.66251e+06 0.5; - Mux5~3 0xc0 1.80502e+06 0.5; - Mux6~0 0xc0 2.66251e+06 0.5; - Mux6~1 0xc0 1.80502e+06 0.5; - Mux6~2 0xc0 2.66251e+06 0.5; - Mux6~3 0xc0 1.80502e+06 0.5; - Mux7~0 0xc0 2.66251e+06 0.5; - Mux7~1 0xc0 1.80502e+06 0.5; - Mux7~2 0xc0 2.66251e+06 0.5; - Mux7~3 0xc0 1.80502e+06 0.5; - Mux8~0 0xc0 2.66251e+06 0.5; - Mux8~1 0xc0 1.80502e+06 0.5; - Mux8~2 0xc0 2.66251e+06 0.5; - Mux8~3 0xc0 1.80502e+06 0.5; - Mux9~0 0xc0 2.66251e+06 0.5; - Mux9~1 0xc0 1.80502e+06 0.5; - Mux9~2 0xc0 2.66251e+06 0.5; - Mux9~3 0xc0 1.80502e+06 0.5; - Mux10~0 0xc0 2.66251e+06 0.5; - Mux10~1 0xc0 1.80502e+06 0.5; - Mux10~2 0xc0 2.66251e+06 0.5; - Mux10~3 0xc0 1.80502e+06 0.5; - Mux11~0 0xc0 2.66251e+06 0.5; - Mux11~1 0xc0 1.80502e+06 0.5; - Mux11~2 0xc0 2.66251e+06 0.5; - Mux11~3 0xc0 1.80502e+06 0.5; - Mux12~0 0xc0 2.66251e+06 0.5; - Mux12~1 0xc0 1.80502e+06 0.5; - Mux12~2 0xc0 2.66251e+06 0.5; - Mux12~3 0xc0 1.80502e+06 0.5; - Mux13~0 0xc0 2.66251e+06 0.5; - Mux13~1 0xc0 1.80502e+06 0.5; - Mux13~2 0xc0 2.66251e+06 0.5; - Mux13~3 0xc0 1.80502e+06 0.5; - Mux14~0 0xc0 2.66251e+06 0.5; - Mux14~1 0xc0 1.80502e+06 0.5; - Mux14~2 0xc0 2.66251e+06 0.5; - Mux14~3 0xc0 1.80502e+06 0.5; - Mux15~0 0xc0 2.66251e+06 0.5; - Mux15~1 0xc0 1.80502e+06 0.5; - Mux15~2 0xc0 2.66251e+06 0.5; - Mux15~3 0xc0 1.80502e+06 0.5; - Mux16~0 0xc0 2.66251e+06 0.5; - Mux16~1 0xc0 1.80502e+06 0.5; - Mux16~2 0xc0 2.66251e+06 0.5; - Mux16~3 0xc0 1.80502e+06 0.5; - Mux16~4 0xc0 1.5539e+06 0.5; - Mux17~0 0xc0 2.66251e+06 0.5; - Mux17~1 0xc0 1.80502e+06 0.5; - Mux17~2 0xc0 2.66251e+06 0.5; - Mux17~3 0xc0 1.80502e+06 0.5; - Mux17~4 0xc0 1.5539e+06 0.5; - Mux18~0 0xc0 2.66251e+06 0.5; - Mux18~1 0xc0 1.80502e+06 0.5; - Mux18~2 0xc0 2.66251e+06 0.5; - Mux18~3 0xc0 1.80502e+06 0.5; - Mux18~4 0xc0 1.5539e+06 0.5; - Mux19~0 0xc0 2.66251e+06 0.5; - Mux19~1 0xc0 1.80502e+06 0.5; - Mux19~2 0xc0 2.66251e+06 0.5; - Mux19~3 0xc0 1.80502e+06 0.5; - Mux19~4 0xc0 1.5539e+06 0.5; - Mux20~0 0xc0 2.66251e+06 0.5; - Mux20~1 0xc0 1.80502e+06 0.5; - Mux20~2 0xc0 2.66251e+06 0.5; - Mux20~3 0xc0 1.80502e+06 0.5; - Mux20~4 0xc0 1.5539e+06 0.5; - Mux21~0 0xc0 2.66251e+06 0.5; - Mux21~1 0xc0 1.80502e+06 0.5; - Mux21~2 0xc0 2.66251e+06 0.5; - Mux21~3 0xc0 1.80502e+06 0.5; - Mux21~4 0xc0 1.5539e+06 0.5; - Mux22~0 0xc0 2.66251e+06 0.5; - Mux22~1 0xc0 1.80502e+06 0.5; - Mux22~2 0xc0 2.66251e+06 0.5; - Mux22~3 0xc0 1.80502e+06 0.5; - Mux22~4 0xc0 1.5539e+06 0.5; - Mux23~0 0xc0 2.66251e+06 0.5; - Mux23~1 0xc0 1.80502e+06 0.5; - Mux23~2 0xc0 2.66251e+06 0.5; - Mux23~3 0xc0 1.80502e+06 0.5; - Mux23~4 0xc0 1.5539e+06 0.5; - Mux24~0 0xc0 2.66251e+06 0.5; - Mux24~1 0xc0 1.80502e+06 0.5; - Mux24~2 0xc0 2.66251e+06 0.5; - Mux24~3 0xc0 1.80502e+06 0.5; - Mux25~0 0xc0 2.66251e+06 0.5; - Mux25~1 0xc0 1.80502e+06 0.5; - Mux25~2 0xc0 2.66251e+06 0.5; - Mux25~3 0xc0 1.80502e+06 0.5; - Mux26~0 0xc0 2.66251e+06 0.5; - Mux26~1 0xc0 1.80502e+06 0.5; - Mux26~2 0xc0 2.66251e+06 0.5; - Mux26~3 0xc0 1.80502e+06 0.5; - Mux27~0 0xc0 2.66251e+06 0.5; - Mux27~1 0xc0 1.80502e+06 0.5; - Mux27~2 0xc0 2.66251e+06 0.5; - Mux27~3 0xc0 1.80502e+06 0.5; - Mux28~0 0xc0 2.66251e+06 0.5; - Mux28~1 0xc0 1.80502e+06 0.5; - Mux28~2 0xc0 2.66251e+06 0.5; - Mux28~3 0xc0 1.80502e+06 0.5; - Mux29~0 0xc0 2.66251e+06 0.5; - Mux29~1 0xc0 1.80502e+06 0.5; - Mux29~2 0xc0 2.66251e+06 0.5; - Mux29~3 0xc0 1.80502e+06 0.5; - Mux30~0 0xc0 2.66251e+06 0.5; - Mux30~1 0xc0 1.80502e+06 0.5; - Mux30~2 0xc0 2.66251e+06 0.5; - Mux30~3 0xc0 1.80502e+06 0.5; - Mux31~0 0xc0 2.66251e+06 0.5; - Mux31~1 0xc0 1.80502e+06 0.5; - Mux31~2 0xc0 2.66251e+06 0.5; - Mux31~3 0xc0 1.80502e+06 0.5; - NCO_freq[0] 0xc0 3.125e+06 0.5; - NCO_freq[0]~8 0xc0 1 0.5; - NCO_freq[1] 0xc0 3.125e+06 0.5; - NCO_freq[1]~7 0xc0 2e+07 0.5; - NCO_freq[2] 0xc0 3.125e+06 0.5; - NCO_freq[2]~feeder 0xc0 2e+07 0.5; - NCO_freq[3] 0xc0 3.125e+06 0.5; - NCO_freq[3]~6 0xc0 2e+07 0.5; - NCO_freq[4] 0xc0 3.125e+06 0.5; - NCO_freq[4]~feeder 0xc0 2e+07 0.5; - NCO_freq[5] 0xc0 3.125e+06 0.5; - NCO_freq[5]~5 0xc0 2e+07 0.5; - NCO_freq[6] 0xc0 3.125e+06 0.5; - NCO_freq[6]~feeder 0xc0 2e+07 0.5; - NCO_freq[7] 0xc0 3.125e+06 0.5; - NCO_freq[7]~1 0xc0 2077.36 0.000488281; - NCO_freq[7]~4 0xc0 2e+07 0.5; - NCO_freq[8] 0xc0 3.125e+06 0.5; - NCO_freq[8]~feeder 0xc0 1 0.5; - NCO_freq[9] 0xc0 3.125e+06 0.5; - NCO_freq[9]~0 0xc0 3.10087e+06 0.000488281; - NCO_freq[9]~3 0xc0 2e+07 0.5; - NCO_freq[10] 0xc0 3.125e+06 0.5; - NCO_freq[10]~feeder 0xc0 2e+07 0.5; - NCO_freq[11] 0xc0 3.125e+06 0.5; - NCO_freq[12] 0xc0 3.125e+06 0.5; - NCO_freq[12]~9 0xc0 2e+07 0.5; - NCO_freq[13] 0xc0 3.125e+06 0.5; - NCO_freq[13]~10 0xc0 2e+07 0.5; - NCO_freq[14] 0xc0 3.125e+06 0.5; - NCO_freq[14]~feeder 0xc0 2e+07 0.5; - NCO_freq[15] 0xc0 3.125e+06 0.5; - NCO_freq[15]~11 0xc0 2e+07 0.5; - NCO_freq[16] 0xc0 3.125e+06 0.5; - NCO_freq[16]~12 0xc0 1 0.5; - NCO_freq[17] 0xc0 3.125e+06 0.5; - NCO_freq[17]~2 0xc0 1.93822e+06 0.000488281; - NCO_freq[17]~13 0xc0 2e+07 0.5; - NCO_freq[18] 0xc0 3.125e+06 0.5; - NCO_freq[18]~feeder 0xc0 2e+07 0.5; - NCO_freq[19] 0xc0 3.125e+06 0.5; - NCO_freq[19]~feeder 0xc0 2e+07 0.5; - NCO_freq[20] 0xc0 3.125e+06 0.5; - NCO_freq[20]~feeder 0xc0 2e+07 0.5; - NCO_freq[21] 0xc0 3.125e+06 0.5; - NCO_freq[21]~feeder 0xc0 2e+07 0.5; - Q_HOLD[0] 0xc0 3.125e+06 0.5; - Q_HOLD[0]~2 0xc0 2.15659e+07 0.49125; - Q_HOLD[0]~5 0xc0 7292.45 0.00194836; - Q_HOLD[0]~6 0xc0 5171.73 0.00143593; - Q_HOLD[0]~19 0xc0 1.5539e+06 0.5; - Q_HOLD[0]~20 0xc0 541.193 0.499756; - Q_HOLD[1] 0xc0 3.125e+06 0.5; - Q_HOLD[1]~17 0xc0 1.5539e+06 0.5; - Q_HOLD[1]~18 0xc0 2.57205e+07 0.499756; - Q_HOLD[2] 0xc0 3.125e+06 0.5; - Q_HOLD[2]~15 0xc0 1.5539e+06 0.5; - Q_HOLD[2]~16 0xc0 2.57205e+07 0.499756; - Q_HOLD[3] 0xc0 3.125e+06 0.5; - Q_HOLD[3]~13 0xc0 1.5539e+06 0.5; - Q_HOLD[3]~14 0xc0 2.57689e+07 0.5; - Q_HOLD[4] 0xc0 3.125e+06 0.5; - Q_HOLD[4]~11 0xc0 1.5539e+06 0.5; - Q_HOLD[4]~12 0xc0 2.57689e+07 0.5; - Q_HOLD[5] 0xc0 3.125e+06 0.5; - Q_HOLD[5]~9 0xc0 1.5539e+06 0.5; - Q_HOLD[5]~10 0xc0 2.57205e+07 0.499756; - Q_HOLD[6] 0xc0 3.125e+06 0.5; - Q_HOLD[6]~7 0xc0 1.5539e+06 0.5; - Q_HOLD[6]~8 0xc0 2.57205e+07 0.499756; - Q_HOLD[7] 0xc0 3.125e+06 0.5; - Q_HOLD[7]~3 0xc0 1.5539e+06 0.5; - Q_HOLD[7]~4 0xc0 2.57205e+07 0.499756; - Q_HOLD[8] 0xc0 3.125e+06 0.5; - Q_HOLD[8]~21 0xc0 775751 0.499992; - Q_HOLD[8]~22 0xc0 42511.1 0.99221; - Q_HOLD[8]~23 0xc0 1289.84 0.000958532; - Q_HOLD[9] 0xc0 3.125e+06 0.5; - Q_HOLD[9]~24 0xc0 2.01698e+06 0.499992; - Q_HOLD[10] 0xc0 3.125e+06 0.5; - Q_HOLD[10]~25 0xc0 2.01698e+06 0.499992; - Q_HOLD[11] 0xc0 3.125e+06 0.5; - Q_HOLD[11]~26 0xc0 2.01698e+06 0.499992; - Q_HOLD[12] 0xc0 3.125e+06 0.5; - Q_HOLD[12]~27 0xc0 2.01698e+06 0.499992; - Q_HOLD[13] 0xc0 3.125e+06 0.5; - Q_HOLD[13]~28 0xc0 2.01698e+06 0.499992; - Q_HOLD[14] 0xc0 3.125e+06 0.5; - Q_HOLD[14]~29 0xc0 2.01698e+06 0.499992; - Q_HOLD[15] 0xc0 3.125e+06 0.5; - Q_HOLD[15]~30 0xc0 2.01698e+06 0.499992; - TX_CICFIR_GAIN[0] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[0]~0 0xc0 971.469 0.000488281; - TX_CICFIR_GAIN[0]~feeder 0xc0 1 0.5; - TX_CICFIR_GAIN[1] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[1]~feeder 0xc0 2e+07 0.5; - TX_CICFIR_GAIN[2] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[3] 0xc0 3.125e+06 0.5; - TX_CICFIR_GAIN[4] 0xc0 3.125e+06 0.5; - TX_I[0] 0xc0 3.125e+06 0.5; - TX_I[0]~feeder 0xc0 1 0.5; - TX_I[1] 0xc0 3.125e+06 0.5; - TX_I[1]~feeder 0xc0 2e+07 0.5; - TX_I[2] 0xc0 3.125e+06 0.5; - TX_I[3] 0xc0 3.125e+06 0.5; - TX_I[3]~feeder 0xc0 2e+07 0.5; - TX_I[4] 0xc0 3.125e+06 0.5; - TX_I[4]~feeder 0xc0 2e+07 0.5; - TX_I[5] 0xc0 3.125e+06 0.5; - TX_I[5]~feeder 0xc0 2e+07 0.5; - TX_I[6] 0xc0 3.125e+06 0.5; - TX_I[6]~feeder 0xc0 2e+07 0.5; - TX_I[7] 0xc0 3.125e+06 0.5; - TX_I[7]~feeder 0xc0 2e+07 0.5; - TX_I[8] 0xc0 3.125e+06 0.5; - TX_I[9] 0xc0 3.125e+06 0.5; - TX_I[9]~feeder 0xc0 3.125e+06 0.5; - TX_I[10] 0xc0 3.125e+06 0.5; - TX_I[11] 0xc0 3.125e+06 0.5; - TX_I[12] 0xc0 3.125e+06 0.5; - TX_I[13] 0xc0 3.125e+06 0.5; - TX_I[14] 0xc0 3.125e+06 0.5; - TX_I[15] 0xc0 3.125e+06 0.5; - TX_I[15]~feeder 0xc0 3.125e+06 0.5; - TX_NCO_freq[0] 0xc0 3.125e+06 0.5; - TX_NCO_freq[0]~8 0xc0 1 0.5; - TX_NCO_freq[1] 0xc0 3.125e+06 0.5; - TX_NCO_freq[1]~7 0xc0 2e+07 0.5; - TX_NCO_freq[2] 0xc0 3.125e+06 0.5; - TX_NCO_freq[3] 0xc0 3.125e+06 0.5; - TX_NCO_freq[3]~6 0xc0 2e+07 0.5; - TX_NCO_freq[4] 0xc0 3.125e+06 0.5; - TX_NCO_freq[5] 0xc0 3.125e+06 0.5; - TX_NCO_freq[5]~5 0xc0 2e+07 0.5; - TX_NCO_freq[6] 0xc0 3.125e+06 0.5; - TX_NCO_freq[6]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[7] 0xc0 3.125e+06 0.5; - TX_NCO_freq[7]~1 0xc0 465.501 0.000488281; - TX_NCO_freq[7]~4 0xc0 2e+07 0.5; - TX_NCO_freq[8] 0xc0 3.125e+06 0.5; - TX_NCO_freq[8]~feeder 0xc0 1 0.5; - TX_NCO_freq[9] 0xc0 3.125e+06 0.5; - TX_NCO_freq[9]~3 0xc0 2e+07 0.5; - TX_NCO_freq[10] 0xc0 3.125e+06 0.5; - TX_NCO_freq[10]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[11] 0xc0 3.125e+06 0.5; - TX_NCO_freq[11]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[12] 0xc0 3.125e+06 0.5; - TX_NCO_freq[12]~9 0xc0 2e+07 0.5; - TX_NCO_freq[13] 0xc0 3.125e+06 0.5; - TX_NCO_freq[13]~10 0xc0 2e+07 0.5; - TX_NCO_freq[14] 0xc0 3.125e+06 0.5; - TX_NCO_freq[14]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[15] 0xc0 3.125e+06 0.5; - TX_NCO_freq[15]~0 0xc0 465.501 0.000488281; - TX_NCO_freq[15]~11 0xc0 2e+07 0.5; - TX_NCO_freq[16] 0xc0 3.125e+06 0.5; - TX_NCO_freq[16]~12 0xc0 1 0.5; - TX_NCO_freq[17] 0xc0 3.125e+06 0.5; - TX_NCO_freq[17]~13 0xc0 2e+07 0.5; - TX_NCO_freq[18] 0xc0 3.125e+06 0.5; - TX_NCO_freq[19] 0xc0 3.125e+06 0.5; - TX_NCO_freq[19]~feeder 0xc0 2e+07 0.5; - TX_NCO_freq[20] 0xc0 3.125e+06 0.5; - TX_NCO_freq[21] 0xc0 3.125e+06 0.5; - TX_NCO_freq[21]~2 0xc0 739798 0.000488281; - TX_NCO_freq[21]~feeder 0xc0 2e+07 0.5; - TX_Q[0] 0xc0 3.125e+06 0.5; - TX_Q[0]~feeder 0xc0 3.125e+06 0.5; - TX_Q[1] 0xc0 3.125e+06 0.5; - TX_Q[2] 0xc0 3.125e+06 0.5; - TX_Q[2]~feeder 0xc0 3.125e+06 0.5; - TX_Q[3] 0xc0 3.125e+06 0.5; - TX_Q[3]~feeder 0xc0 3.125e+06 0.5; - TX_Q[4] 0xc0 3.125e+06 0.5; - TX_Q[4]~feeder 0xc0 3.125e+06 0.5; - TX_Q[5] 0xc0 3.125e+06 0.5; - TX_Q[5]~feeder 0xc0 3.125e+06 0.5; - TX_Q[6] 0xc0 3.125e+06 0.5; - TX_Q[6]~feeder 0xc0 3.125e+06 0.5; - TX_Q[7] 0xc0 3.125e+06 0.5; - TX_Q[8] 0xc0 3.125e+06 0.5; - TX_Q[8]~feeder 0xc0 3.125e+06 0.5; - TX_Q[9] 0xc0 3.125e+06 0.5; - TX_Q[9]~feeder 0xc0 3.125e+06 0.5; - TX_Q[10] 0xc0 3.125e+06 0.5; - TX_Q[10]~feeder 0xc0 3.125e+06 0.5; - TX_Q[11] 0xc0 3.125e+06 0.5; - TX_Q[12] 0xc0 3.125e+06 0.5; - TX_Q[13] 0xc0 3.125e+06 0.5; - TX_Q[13]~feeder 0xc0 3.125e+06 0.5; - TX_Q[14] 0xc0 3.125e+06 0.5; - TX_Q[14]~feeder 0xc0 3.125e+06 0.5; - TX_Q[15] 0xc0 3.125e+06 0.5; - k[0] 0xc0 3.125e+06 0.5; - k[1] 0xc0 3.125e+06 0.5; - k[2] 0xc0 3.125e+06 0.5; - k[3] 0xc0 3.125e+06 0.5; - k[4] 0xc0 3.125e+06 0.5; - k[5] 0xc0 3.125e+06 0.5; - k[6] 0xc0 3.125e+06 0.5; - k[7] 0xc0 3.125e+06 0.5; - k[8] 0xc0 3.125e+06 0.5; - k[9] 0xc0 3.125e+06 0.5; - k~2 0xc0 1.1e+07 0.375; - k~3 0xc0 9.102e+06 0.251953; - k~4 0xc0 1.48558e+07 0.496105; - k~5 0xc0 6705.8 0.996094; - k~6 0xc0 56077.2 0.980575; - k~7 0xc0 2.02491e+07 0.442; - k~8 0xc0 2.99344e+06 0.487419; - k~9 0xc0 3.98902e+07 0.498535; - k~10 0xc0 1.1e+07 0.375; - k~11 0xc0 2.22444e+07 0.251953; - k~12 0xc0 4.94522e+07 0.557265; - k~13 0xc0 1.43127e+06 0.00292778; - k~14 0xc0 1.48745e+06 0.972934; - k~15 0xc0 8.71161e+06 0.997073; - k~16 0xc0 1.54868e+07 0.491963; - k~17 0xc0 5e+06 0.25; - k~18 0xc0 6.51864e+06 0.507813; - k~19 0xc0 2.13812e+07 0.498896; - k~20 0xc0 5519.15 0.998048; - k~21 0xc0 8.57709e+06 0.995135; - k~22 0xc0 2.52841e+07 0.496596; - k~23 0xc0 1.1e+07 0.375; - k~24 0xc0 2.22444e+07 0.251953; - k~25 0xc0 2.00959e+07 0.557812; - k~26 0xc0 3.85003e+07 0.254305; - k~27 0xc0 4.5218e+07 0.445055; - k~28 0xc0 8.01966e+07 0.506793; - k~29 0xc0 5e+06 0.25; - k~30 0xc0 6.8288e+06 0.246094; - k~31 0xc0 6.51417e+07 0.562958; - k~32 0xc0 3.96649e+07 0.255802; - k~33 0xc0 12845.4 0.996094; - k~34 0xc0 3.93789e+07 0.495134; - k~35 0xc0 5.8073e+07 0.444501; - k~36 0xc0 1.1e+07 0.375; - k~37 0xc0 8.27298e+06 0.248047; - k~38 0xc0 5.94569e+06 0.513533; - k~39 0xc0 1.70742e+07 0.497076; - k~40 0xc0 1.61507e+07 0.43906; - k~41 0xc0 171602 0.992188; - k~42 0xc0 1.82594e+07 0.244202; - k~43 0xc0 16832.2 0.0116882; - k~44 0xc0 1.35679e+07 0.498052; - k~45 0xc0 5061.26 0.00581375; - k~46 0xc0 1.38388e+07 0.494673; - k~47 0xc0 2.67746e+07 0.436198; - k~48 0xc0 1.61011e+07 0.244202; - k~49 0xc0 9.02679e+06 0.495157; - k~50 0xc0 2.87931e+07 0.563615; - k~51 0xc0 43277.2 0.975791; - preamp_enable 0xc0 3.125e+06 0.5; - preamp_enable~0 0xc0 2.12891e+06 0.875; - preamp_enable~1 0xc0 739798 0.000488281; - preamp_enable~feeder 0xc0 2e+07 0.5; - reset_n 0xc0 7.68002e+06 0.5; - reset_n~clkctrl 0xc0 7.68002e+06 0.5; - reset_n~feeder 0xc0 3.125e+06 0.5; - rx 0xc0 3.125e+06 0.5; - rx~0 0xc0 1 0.5; - sync_reset_n 0xc0 3.125e+06 0.5; - sync_reset_n~0 0xc0 4.03199e+07 0.496101; - sync_reset_n~1 0xc0 1.72182e+07 0.0078125; - sync_reset_n~2 0xc0 1.49186e+07 0.5; - sync_reset_n~feeder 0xc0 1.49186e+07 0.5; - tx 0xc0 3.125e+06 0.5; - tx_iq_valid 0xc0 3.125e+06 0.5; - tx_iq_valid~0 0xc0 698.231 0.000488281; - tx_iq_valid~1 0xc0 3.2375e+06 0.0625; - tx_iq_valid~2 0xc0 7.01132e+06 0.499268; - tx_iq_valid~feeder 0xc0 7.01132e+06 0.499268; - tx~0 0xc0 1 0.5; - tx~clkctrl 0xc0 3.125e+06 0.5; - STM32_SYNC 0x30 2e+07 0.5; - STM32_SYNC~input 0xc0 2e+07 0.5; - clock_buffer:SYSCLK_BUFFER; - clock_buffer_altclkctrl_0:altclkctrl_0; - clock_buffer_altclkctrl_0_sub:clock_buffer_altclkctrl_0_sub_component; - wire_clkctrl1_outclk 0xc0 1.2288e+08 0.5; - tx_cic:TX_CIC_I; - tx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Equal2~0 0xc0 5.70036e+06 0.125; - fifo_array[0][0] 0xc0 1.92012e+07 0.5; - fifo_array[0][0]~7 0xc0 5.70036e+06 0.875; - fifo_array[0][0]~8 0xc0 1.13241e+07 0.5; - fifo_array[1][0] 0xc0 1.92012e+07 0.5; - fifo_array[1][0]~5 0xc0 5.70036e+06 0.125; - fifo_array[1][0]~6 0xc0 1.13241e+07 0.5; - fifo_array[2][0] 0xc0 1.92012e+07 0.5; - fifo_array[2][0]~3 0xc0 4.57529e+06 0.125; - fifo_array[2][0]~4 0xc0 1.09859e+07 0.5; - fifo_array[3][0] 0xc0 1.92012e+07 0.5; - fifo_array[3][0]~9 0xc0 1.09859e+07 0.5; - fifo_array[4][0] 0xc0 1.92012e+07 0.5; - fifo_array[4][0]~1 0xc0 5.70036e+06 0.125; - fifo_array[4][0]~2 0xc0 1.13241e+07 0.5; - fifo_array[5][0] 0xc0 1.92012e+07 0.5; - fifo_array[5][0]~0 0xc0 1.13241e+07 0.5; - fifo_usedw[0] 0xc0 1.92012e+07 0.5; - fifo_usedw[0]~3 0xc0 1.90623e+07 0.5; - fifo_usedw[1] 0xc0 1.92012e+07 0.5; - fifo_usedw[2] 0xc0 1.92012e+07 0.5; - fifo_usedw~0 0xc0 1.11681e+07 0.328125; - fifo_usedw~1 0xc0 1.18133e+07 0.28125; - fifo_usedw~2 0xc0 1.10735e+07 0.452759; - fifo_usedw~4 0xc0 1.24233e+07 0.5; - Mux0~0 0xc0 6.65668e+06 0.5; - Mux0~1 0xc0 9.14062e+06 0.5; - rd_addr_ptr[0] 0xc0 1.92012e+07 0.5; - rd_addr_ptr[0]~2 0xc0 1.92012e+07 0.5; - rd_addr_ptr[1] 0xc0 1.92012e+07 0.5; - rd_addr_ptr[2] 0xc0 1.92012e+07 0.5; - rd_addr_ptr~0 0xc0 1.05757e+07 0.375; - rd_addr_ptr~1 0xc0 1.6201e+07 0.375; - usedw_process~0 0xc0 1.79449e+07 0.4375; - usedw_process~1 0xc0 9.80688e+06 0.21875; - usedw_process~2 0xc0 1.37658e+07 0.423828; - wr_addr_ptr[0] 0xc0 1.92012e+07 0.5; - wr_addr_ptr[0]~4 0xc0 1.92012e+07 0.5; - wr_addr_ptr[1] 0xc0 1.92012e+07 0.5; - wr_addr_ptr[2] 0xc0 1.92012e+07 0.5; - wr_addr_ptr~0 0xc0 5.70036e+06 0.875; - wr_addr_ptr~1 0xc0 4.57529e+06 0.125; - wr_addr_ptr~2 0xc0 1.05757e+07 0.375; - wr_addr_ptr~3 0xc0 1.05757e+07 0.375; - sink_ready_ctrl~0 0xc0 7.02115e+06 0.234375; - sink_ready_ctrl~1 0xc0 1.20008e+07 0.5; - sink_ready_ctrl~2 0xc0 7.24792e+06 0.234375; - sink_ready_ctrl~3 0xc0 4.07081e+06 0.0997925; - stall_reg 0xc0 1.92012e+07 0.5; - stall_w~0 0xc0 1.08007e+07 0.75; - auk_dspip_avalon_streaming_sink:input_sink; - data_valid 0xc0 1.92012e+07 0.5; - scfifo:sink_FIFO; - scfifo_gf71:auto_generated; - dffe_nae 0xc0 1.92012e+07 0.5; - dffe_nae~0 0xc0 4.12526e+06 0.125; - dffe_nae~1 0xc0 1.74881e+07 0.509388; - a_dpfifo_1lv:dpfifo; - _~0 0xc0 1.54672e+07 0.162422; - _~1 0xc0 615567 0.03125; - _~2 0xc0 1.44087e+07 0.489897; - _~3 0xc0 2.82069e+07 0.559381; - _~4 0xc0 1.33579e+06 0.0593815; - _~5 0xc0 5.70036e+06 0.125; - _~6 0xc0 1.35951e+06 0.0054574; - _~7 0xc0 3.56498e+07 0.527477; - _~8 0xc0 9.11458e+06 0.0249481; - empty_dff 0xc0 1.92012e+07 0.5; - altsyncram_l7h1:FIFOram; - q_b[0] 0xc0 1.92012e+07 0.5; - q_b[1] 0xc0 1.92012e+07 0.5; - q_b[2] 0xc0 1.92012e+07 0.5; - q_b[3] 0xc0 1.92012e+07 0.5; - q_b[4] 0xc0 1.92012e+07 0.5; - q_b[5] 0xc0 1.92012e+07 0.5; - q_b[6] 0xc0 1.92012e+07 0.5; - q_b[7] 0xc0 1.92012e+07 0.5; - q_b[8] 0xc0 1.92012e+07 0.5; - q_b[9] 0xc0 1.92012e+07 0.5; - q_b[10] 0xc0 1.92012e+07 0.5; - q_b[11] 0xc0 1.92012e+07 0.5; - q_b[12] 0xc0 1.92012e+07 0.5; - q_b[13] 0xc0 1.92012e+07 0.5; - q_b[14] 0xc0 1.92012e+07 0.5; - q_b[15] 0xc0 1.92012e+07 0.5; - full_dff 0xc0 1.92012e+07 0.5; - low_addressa[0] 0xc0 1.92012e+07 0.5; - low_addressa[1] 0xc0 1.92012e+07 0.5; - low_addressa[2] 0xc0 1.92012e+07 0.5; - ram_read_address[0]~0 0xc0 1.31322e+07 0.5; - ram_read_address[1]~1 0xc0 1.31322e+07 0.5; - ram_read_address[2]~2 0xc0 1.31322e+07 0.5; - rd_ptr_lsb 0xc0 1.92012e+07 0.5; - rd_ptr_lsb~0 0xc0 1.92012e+07 0.5; - cntr_r9b:rd_ptr_msb; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - cntr_8a7:usedw_counter; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.72886e+07 0.5; - counter_comb_bita1 0xc0 1.82449e+07 0.5; - counter_comb_bita1~COUT 0xc0 8.70392e+06 0.75; - counter_comb_bita2 0xc0 1.63527e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - usedw_is_0_dff 0xc0 1.92012e+07 0.5; - usedw_is_1_dff 0xc0 1.92012e+07 0.5; - usedw_will_be_1~0 0xc0 5.31027e+07 0.456286; - valid_rreq 0xc0 1.67691e+07 0.0498962; - valid_wreq 0xc0 4.57529e+06 0.125; - cntr_s9b:wr_ptr; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.08007e+07 0.75; - counter_comb_bita2 0xc0 1.74011e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - alt_cic_int_siso:int_one; - auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~17 0xc0 1.92012e+07 0.5; - dout[0]~18 0xc0 1.08007e+07 0.75; - dout[0]~51 0xc0 1.44009e+07 0.25; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~19 0xc0 1.6201e+07 0.5; - dout[1]~20 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~21 0xc0 1.37118e+07 0.5; - dout[2]~22 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~23 0xc0 1.36022e+07 0.5; - dout[3]~24 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~25 0xc0 1.44042e+07 0.5; - dout[4]~26 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~27 0xc0 1.3949e+07 0.5; - dout[5]~28 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~29 0xc0 1.41329e+07 0.5; - dout[6]~30 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~31 0xc0 1.40262e+07 0.5; - dout[7]~32 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~33 0xc0 1.40754e+07 0.5; - dout[8]~34 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~35 0xc0 1.40497e+07 0.5; - dout[9]~36 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~37 0xc0 1.40623e+07 0.5; - dout[10]~38 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~39 0xc0 1.40559e+07 0.5; - dout[11]~40 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~41 0xc0 1.40591e+07 0.5; - dout[12]~42 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~43 0xc0 1.40575e+07 0.5; - dout[13]~44 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~45 0xc0 1.40583e+07 0.5; - dout[14]~46 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~47 0xc0 1.40579e+07 0.5; - dout[15]~48 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~49 0xc0 1.40581e+07 0.5; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~18 0xc0 1.92012e+07 0.5; - dout[0]~19 0xc0 1.08007e+07 0.75; - dout[0]~feeder 0xc0 1.92012e+07 0.5; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~20 0xc0 1.6201e+07 0.5; - dout[1]~21 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~22 0xc0 1.37118e+07 0.5; - dout[2]~23 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~24 0xc0 1.36022e+07 0.5; - dout[3]~25 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~26 0xc0 1.44042e+07 0.5; - dout[4]~27 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~28 0xc0 1.3949e+07 0.5; - dout[5]~29 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~30 0xc0 1.41329e+07 0.5; - dout[6]~31 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~32 0xc0 1.40262e+07 0.5; - dout[7]~33 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~34 0xc0 1.40754e+07 0.5; - dout[8]~35 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~36 0xc0 1.40497e+07 0.5; - dout[9]~37 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~38 0xc0 1.40623e+07 0.5; - dout[10]~39 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~40 0xc0 1.40559e+07 0.5; - dout[11]~41 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~42 0xc0 1.40591e+07 0.5; - dout[12]~43 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~44 0xc0 1.40575e+07 0.5; - dout[13]~45 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~46 0xc0 1.40583e+07 0.5; - dout[14]~47 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~48 0xc0 1.40579e+07 0.5; - dout[15]~49 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~50 0xc0 1.40581e+07 0.5; - dout[16]~51 0xc0 1.30293e+07 0.500004; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~52 0xc0 1.76582e+07 0.5; - dout[74]~54 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~19 0xc0 1.92012e+07 0.5; - dout[0]~20 0xc0 1.08007e+07 0.75; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~21 0xc0 1.6201e+07 0.5; - dout[1]~22 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~23 0xc0 1.37118e+07 0.5; - dout[2]~24 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~25 0xc0 1.36022e+07 0.5; - dout[3]~26 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~27 0xc0 1.44042e+07 0.5; - dout[4]~28 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~29 0xc0 1.3949e+07 0.5; - dout[5]~30 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~31 0xc0 1.41329e+07 0.5; - dout[6]~32 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~33 0xc0 1.40262e+07 0.5; - dout[7]~34 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~35 0xc0 1.40754e+07 0.5; - dout[8]~36 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~37 0xc0 1.40497e+07 0.5; - dout[9]~38 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~39 0xc0 1.40623e+07 0.5; - dout[10]~40 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~41 0xc0 1.40559e+07 0.5; - dout[11]~42 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~43 0xc0 1.40591e+07 0.5; - dout[12]~44 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~45 0xc0 1.40575e+07 0.5; - dout[13]~46 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~47 0xc0 1.40583e+07 0.5; - dout[14]~48 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~49 0xc0 1.40579e+07 0.5; - dout[15]~50 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~51 0xc0 1.40581e+07 0.5; - dout[16]~52 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~53 0xc0 1.4058e+07 0.5; - dout[17]~54 0xc0 1.30295e+07 0.499998; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~55 0xc0 1.40581e+07 0.5; - dout[74]~57 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~20 0xc0 1.92012e+07 0.5; - dout[0]~21 0xc0 1.08007e+07 0.75; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~22 0xc0 1.6201e+07 0.5; - dout[1]~23 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~24 0xc0 1.37118e+07 0.5; - dout[2]~25 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~26 0xc0 1.36022e+07 0.5; - dout[3]~27 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~28 0xc0 1.44042e+07 0.5; - dout[4]~29 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~30 0xc0 1.3949e+07 0.5; - dout[5]~31 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~32 0xc0 1.41329e+07 0.5; - dout[6]~33 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~34 0xc0 1.40262e+07 0.5; - dout[7]~35 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~36 0xc0 1.40754e+07 0.5; - dout[8]~37 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~38 0xc0 1.40497e+07 0.5; - dout[9]~39 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~40 0xc0 1.40623e+07 0.5; - dout[10]~41 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~42 0xc0 1.40559e+07 0.5; - dout[11]~43 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~44 0xc0 1.40591e+07 0.5; - dout[12]~45 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~46 0xc0 1.40575e+07 0.5; - dout[13]~47 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~48 0xc0 1.40583e+07 0.5; - dout[14]~49 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~50 0xc0 1.40579e+07 0.5; - dout[15]~51 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~52 0xc0 1.40581e+07 0.5; - dout[16]~53 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~54 0xc0 1.4058e+07 0.5; - dout[17]~55 0xc0 1.30295e+07 0.499998; - dout[18] 0xc0 1.92012e+07 0.5; - dout[18]~56 0xc0 1.40581e+07 0.5; - dout[18]~57 0xc0 1.30294e+07 0.500001; - dout[19] 0xc0 1.92012e+07 0.5; - dout[19]~58 0xc0 1.4058e+07 0.5; - dout[74]~60 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~21 0xc0 1.92012e+07 0.5; - dout[0]~22 0xc0 1.08007e+07 0.75; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~23 0xc0 1.6201e+07 0.5; - dout[1]~24 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~25 0xc0 1.37118e+07 0.5; - dout[2]~26 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~27 0xc0 1.36022e+07 0.5; - dout[3]~28 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~29 0xc0 1.44042e+07 0.5; - dout[4]~30 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~31 0xc0 1.3949e+07 0.5; - dout[5]~32 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~33 0xc0 1.41329e+07 0.5; - dout[6]~34 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~35 0xc0 1.40262e+07 0.5; - dout[7]~36 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~37 0xc0 1.40754e+07 0.5; - dout[8]~38 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~39 0xc0 1.40497e+07 0.5; - dout[9]~40 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~41 0xc0 1.40623e+07 0.5; - dout[10]~42 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~43 0xc0 1.40559e+07 0.5; - dout[11]~44 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~45 0xc0 1.40591e+07 0.5; - dout[12]~46 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~47 0xc0 1.40575e+07 0.5; - dout[13]~48 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~49 0xc0 1.40583e+07 0.5; - dout[14]~50 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~51 0xc0 1.40579e+07 0.5; - dout[15]~52 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~53 0xc0 1.40581e+07 0.5; - dout[16]~54 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~55 0xc0 1.4058e+07 0.5; - dout[17]~56 0xc0 1.30295e+07 0.499998; - dout[18] 0xc0 1.92012e+07 0.5; - dout[18]~57 0xc0 1.40581e+07 0.5; - dout[18]~58 0xc0 1.30294e+07 0.500001; - dout[19] 0xc0 1.92012e+07 0.5; - dout[19]~59 0xc0 1.4058e+07 0.5; - dout[19]~60 0xc0 1.30294e+07 0.5; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~61 0xc0 1.4058e+07 0.5; - dout[74]~63 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~22 0xc0 1.92012e+07 0.5; - dout[0]~23 0xc0 1.08007e+07 0.75; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~24 0xc0 1.6201e+07 0.5; - dout[1]~25 0xc0 8.94432e+06 0.375; - dout[1]~feeder 0xc0 1.6201e+07 0.5; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~26 0xc0 1.37118e+07 0.5; - dout[2]~27 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~28 0xc0 1.36022e+07 0.5; - dout[3]~29 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~30 0xc0 1.44042e+07 0.5; - dout[4]~31 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~32 0xc0 1.3949e+07 0.5; - dout[5]~33 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~34 0xc0 1.41329e+07 0.5; - dout[6]~35 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~36 0xc0 1.40262e+07 0.5; - dout[7]~37 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~38 0xc0 1.40754e+07 0.5; - dout[8]~39 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~40 0xc0 1.40497e+07 0.5; - dout[9]~41 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~42 0xc0 1.40623e+07 0.5; - dout[10]~43 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~44 0xc0 1.40559e+07 0.5; - dout[11]~45 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~46 0xc0 1.40591e+07 0.5; - dout[12]~47 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~48 0xc0 1.40575e+07 0.5; - dout[13]~49 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~50 0xc0 1.40583e+07 0.5; - dout[14]~51 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~52 0xc0 1.40579e+07 0.5; - dout[15]~53 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~54 0xc0 1.40581e+07 0.5; - dout[16]~55 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~56 0xc0 1.4058e+07 0.5; - dout[17]~57 0xc0 1.30295e+07 0.499998; - dout[18] 0xc0 1.92012e+07 0.5; - dout[18]~58 0xc0 1.40581e+07 0.5; - dout[18]~59 0xc0 1.30294e+07 0.500001; - dout[19] 0xc0 1.92012e+07 0.5; - dout[19]~60 0xc0 1.4058e+07 0.5; - dout[19]~61 0xc0 1.30294e+07 0.5; - dout[20] 0xc0 1.92012e+07 0.5; - dout[20]~62 0xc0 1.4058e+07 0.5; - dout[20]~63 0xc0 1.30294e+07 0.5; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~64 0xc0 1.76583e+07 0.5; - dout[74]~66 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - dout_valid~feeder 0xc0 1.92012e+07 0.5; - Equal0~0 0xc0 5.70036e+06 0.125; - Equal0~1 0xc0 2.36265e+06 0.0625; - Equal0~2 0xc0 2.36265e+06 0.0625; - Equal0~3 0xc0 674.035 0.000244141; - counter_module:counter_fs_inst; - Add0~0 0xc0 1.92012e+07 0.5; - Add0~1 0xc0 1.92012e+07 0.5; - Add0~2 0xc0 1.92012e+07 0.5; - Add0~3 0xc0 1.08007e+07 0.75; - Add0~4 0xc0 1.74011e+07 0.5; - Add0~5 0xc0 1.47009e+07 0.125; - Add0~6 0xc0 2.23514e+07 0.5; - Add0~7 0xc0 4.27527e+06 0.9375; - Add0~8 0xc0 1.90887e+07 0.5; - Add0~9 0xc0 1.80199e+07 0.03125; - Add0~10 0xc0 2.70486e+07 0.5; - Add0~11 0xc0 4.54248e+06 0.984375; - Add0~12 0xc0 2.08818e+07 0.5; - Add0~13 0xc0 1.97462e+07 0.0078125; - Add0~14 0xc0 2.87766e+07 0.5; - Add0~15 0xc0 4.93889e+06 0.996094; - Add0~16 0xc0 2.15213e+07 0.5; - Add0~17 0xc0 2.02865e+07 0.00195313; - Add0~18 0xc0 2.92696e+07 0.5; - Add0~19 0xc0 5.07178e+06 0.999023; - Add0~20 0xc0 2.16997e+07 0.5; - Add0~21 0xc0 2.04317e+07 0.000488281; - Add0~22 0xc0 2.93983e+07 0.5; - Equal0~0 0xc0 1.7298e+06 0.0625; - Equal0~1 0xc0 1.7298e+06 0.0625; - Equal0~2 0xc0 445.47 0.000244141; - count[0] 0xc0 1.92012e+07 0.5; - count[1] 0xc0 1.92012e+07 0.5; - count[2] 0xc0 1.92012e+07 0.5; - count[3] 0xc0 1.92012e+07 0.5; - count[4] 0xc0 1.92012e+07 0.5; - count[5] 0xc0 1.92012e+07 0.5; - count[6] 0xc0 1.92012e+07 0.5; - count[7] 0xc0 1.92012e+07 0.5; - count[8] 0xc0 1.92012e+07 0.5; - count[9] 0xc0 1.92012e+07 0.5; - count[10] 0xc0 1.92012e+07 0.5; - count[11] 0xc0 1.92012e+07 0.5; - count~0 0xc0 2.87628e+07 0.499878; - count~1 0xc0 4.33782e+07 0.499878; - count~2 0xc0 5.87681e+07 0.499878; - auk_dspip_upsample:first_upsample; - dout[0]~21 0xc0 1.44009e+07 0.25; - dout[1]~20 0xc0 1.44009e+07 0.25; - dout[2]~19 0xc0 1.44009e+07 0.25; - dout[3]~18 0xc0 1.44009e+07 0.25; - dout[4]~17 0xc0 1.44009e+07 0.25; - dout[5]~16 0xc0 1.44009e+07 0.25; - dout[6]~15 0xc0 1.44009e+07 0.25; - dout[7]~14 0xc0 1.44009e+07 0.25; - dout[8]~13 0xc0 1.44009e+07 0.25; - dout[9]~12 0xc0 1.44009e+07 0.25; - dout[10]~11 0xc0 1.44009e+07 0.25; - dout[11]~10 0xc0 1.44009e+07 0.25; - dout[12]~9 0xc0 1.44009e+07 0.25; - dout[13]~8 0xc0 1.44009e+07 0.25; - dout[14]~7 0xc0 1.44009e+07 0.25; - dout[15]~6 0xc0 1.44009e+07 0.25; - dout[16]~5 0xc0 1.44009e+07 0.25; - dout[17]~4 0xc0 1.44009e+07 0.25; - dout[18]~3 0xc0 1.44009e+07 0.25; - dout[19]~2 0xc0 1.44009e+07 0.25; - dout[20]~1 0xc0 1.44009e+07 0.25; - dout[59]~0 0xc0 1.44009e+07 0.25; - auk_dspip_integrator:integrator_loop[0].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 8.40054e+06 0.125; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.90325e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 6.53948e+06 0.8125; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 2.37387e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 3.58146e+07 0.21875; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 3.17293e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22879e+07 0.765625; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 2.07664e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.57317e+07 0.242188; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 2.16267e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.01482e+07 0.753906; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 2.27954e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 3.65065e+07 0.248047; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 2.79611e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.54433e+07 0.750977; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 2.43578e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 3.71321e+07 0.249512; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 3.11203e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.28459e+07 0.750244; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 2.35232e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 3.66761e+07 0.249878; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 3.09663e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.27795e+07 0.750061; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.71207e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 3.66532e+07 0.249969; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 3.09563e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.27771e+07 0.750015; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.7119e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.85355e+07 0.249992; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 2.22936e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.26467e+07 0.750004; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.7078e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 3.66285e+07 0.249998; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 2.79475e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.54738e+07 0.750001; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 2.43368e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 3.70701e+07 0.25; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 3.10857e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.28426e+07 0.75; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.71392e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.85452e+07 0.25; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 2.52966e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 9.94814e+06 0.75; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 2.261e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 3.62066e+07 0.25; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 3.08158e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.27077e+07 0.75; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 2.34724e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 3.66378e+07 0.25; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 3.09506e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.27751e+07 0.75; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 2.34935e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 3.66483e+07 0.25; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 3.09538e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 2.3494e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 3.66486e+07 0.25; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 3.09539e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 2.3494e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 3.66486e+07 0.25; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 3.09539e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 2.3494e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 3.66486e+07 0.25; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 3.09539e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 2.3494e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 3.66486e+07 0.25; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 3.09539e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 2.04938e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 2.49478e+07 0.25; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 2.72975e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.09486e+07 0.75; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.99225e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 2.46622e+07 0.25; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 2.4208e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.36041e+07 0.75; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 2.07523e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 2.50771e+07 0.25; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 2.43377e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.36689e+07 0.75; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 2.07726e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 2.50872e+07 0.25; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 2.43408e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 2.37733e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 3.67882e+07 0.25; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 3.09976e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.27986e+07 0.75; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 2.35008e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 3.6652e+07 0.25; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 3.0955e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.27773e+07 0.75; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 2.0494e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 2.49479e+07 0.25; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 2.72975e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.09486e+07 0.75; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 2.29227e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 3.63629e+07 0.25; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 2.78645e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.54323e+07 0.75; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 2.43239e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 3.70635e+07 0.25; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 2.80834e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.55418e+07 0.75; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 2.13579e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 2.53799e+07 0.25; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 2.74325e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.10161e+07 0.75; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.99436e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 2.46727e+07 0.25; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 2.42113e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.36057e+07 0.75; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 2.07528e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 2.50774e+07 0.25; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 2.43377e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.3669e+07 0.75; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 2.07726e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 2.50872e+07 0.25; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 2.43408e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 2.37733e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 3.67882e+07 0.25; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 3.09976e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.27986e+07 0.75; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 2.35008e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 3.6652e+07 0.25; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 3.0955e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.27773e+07 0.75; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 2.34942e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 3.66487e+07 0.25; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 3.0954e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 2.04938e+07 0.5; - auk_dspip_integrator:integrator_loop[1].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[2].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[3].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[4].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[5].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - sample_valid 0xc0 1.92012e+07 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ci71:auto_generated; - dffe_af 0xc0 1.92012e+07 0.5; - dffe_af~0 0xc0 2.01107e+06 0.0625; - dffe_af~1 0xc0 5.48473e+06 0.25; - dffe_af~2 0xc0 2.16354e+07 0.5; - a_dpfifo_9qv:dpfifo; - _~0 0xc0 3.37522e+06 0.0625; - _~1 0xc0 6.60042e+06 0.125; - _~2 0xc0 1.20409e+07 0.314941; - _~3 0xc0 1.32585e+07 0.578125; - _~4 0xc0 1.76172e+07 0.509035; - _~5 0xc0 3.83931e+06 0.1875; - _~6 0xc0 7.93879e+06 0.4375; - empty_dff 0xc0 1.92012e+07 0.5; - altsyncram_hah1:FIFOram; - q_b[0] 0xc0 1.92012e+07 0.5; - q_b[1] 0xc0 1.92012e+07 0.5; - q_b[2] 0xc0 1.92012e+07 0.5; - q_b[3] 0xc0 1.92012e+07 0.5; - q_b[4] 0xc0 1.92012e+07 0.5; - q_b[5] 0xc0 1.92012e+07 0.5; - q_b[6] 0xc0 1.92012e+07 0.5; - q_b[7] 0xc0 1.92012e+07 0.5; - q_b[8] 0xc0 1.92012e+07 0.5; - q_b[9] 0xc0 1.92012e+07 0.5; - q_b[10] 0xc0 1.92012e+07 0.5; - q_b[11] 0xc0 1.92012e+07 0.5; - q_b[12] 0xc0 1.92012e+07 0.5; - q_b[13] 0xc0 1.92012e+07 0.5; - q_b[14] 0xc0 1.92012e+07 0.5; - q_b[15] 0xc0 1.92012e+07 0.5; - full_dff 0xc0 1.92012e+07 0.5; - low_addressa[0] 0xc0 1.92012e+07 0.5; - low_addressa[1] 0xc0 1.92012e+07 0.5; - low_addressa[2] 0xc0 1.92012e+07 0.5; - low_addressa[3] 0xc0 1.92012e+07 0.5; - low_addressa[4] 0xc0 1.92012e+07 0.5; - ram_read_address[0]~0 0xc0 1.05382e+07 0.5; - ram_read_address[1]~1 0xc0 8.97714e+06 0.5; - ram_read_address[2]~2 0xc0 8.97714e+06 0.5; - ram_read_address[3]~3 0xc0 8.00149e+06 0.5; - ram_read_address[4]~4 0xc0 8.97714e+06 0.5; - rd_ptr_lsb 0xc0 1.92012e+07 0.5; - rd_ptr_lsb~0 0xc0 1.92012e+07 0.5; - cntr_t9b:rd_ptr_msb; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.08007e+07 0.75; - counter_comb_bita2 0xc0 1.74011e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.47009e+07 0.125; - counter_comb_bita3 0xc0 2.23514e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - counter_reg_bit[3] 0xc0 1.92012e+07 0.5; - cntr_aa7:usedw_counter; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.42509e+07 0.75; - counter_comb_bita2 0xc0 1.91262e+07 0.5; - counter_comb_bita2~COUT 0xc0 2.09779e+07 0.125; - counter_comb_bita3 0xc0 2.54899e+07 0.5; - counter_comb_bita3~COUT 0xc0 4.06535e+06 0.9375; - counter_comb_bita4 0xc0 1.89838e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - counter_reg_bit[3] 0xc0 1.92012e+07 0.5; - counter_reg_bit[4] 0xc0 1.92012e+07 0.5; - usedw_is_0_dff 0xc0 1.92012e+07 0.5; - usedw_is_1_dff 0xc0 1.92012e+07 0.5; - usedw_will_be_1~0 0xc0 1.7298e+06 0.0625; - usedw_will_be_1~1 0xc0 8.32634e+06 0.324219; - usedw_will_be_1~2 0xc0 1.64359e+07 0.478027; - valid_wreq 0xc0 1.44009e+07 0.75; - cntr_u9b:wr_ptr; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.08007e+07 0.75; - counter_comb_bita2 0xc0 1.74011e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.47009e+07 0.125; - counter_comb_bita3 0xc0 2.23514e+07 0.5; - counter_comb_bita3~COUT 0xc0 4.27527e+06 0.9375; - counter_comb_bita4 0xc0 1.90887e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - counter_reg_bit[3] 0xc0 1.92012e+07 0.5; - counter_reg_bit[4] 0xc0 1.92012e+07 0.5; - source_valid_s 0xc0 1.92012e+07 0.5; - source_valid_s_process~0 0xc0 8.32553e+06 0.375; - source_valid_s_process~1 0xc0 8.32553e+06 0.625; - tx_cic:TX_CIC_Q; - tx_cic_cic_ii_0:cic_ii_0; - alt_cic_core:core; - auk_dspip_avalon_streaming_controller:avalon_controller; - auk_dspip_avalon_streaming_small_fifo:ready_FIFO; - Equal2~0 0xc0 5.70036e+06 0.125; - fifo_array[0][0] 0xc0 1.92012e+07 0.5; - fifo_array[0][0]~4 0xc0 5.70036e+06 0.875; - fifo_array[0][0]~5 0xc0 1.23258e+07 0.5; - fifo_array[1][0] 0xc0 1.92012e+07 0.5; - fifo_array[1][0]~2 0xc0 4.57529e+06 0.125; - fifo_array[1][0]~3 0xc0 1.19837e+07 0.5; - fifo_array[2][0] 0xc0 1.92012e+07 0.5; - fifo_array[2][0]~0 0xc0 4.57529e+06 0.125; - fifo_array[2][0]~1 0xc0 1.19837e+07 0.5; - fifo_array[3][0] 0xc0 1.92012e+07 0.5; - fifo_array[3][0]~6 0xc0 1.19837e+07 0.5; - fifo_array[4][0] 0xc0 1.92012e+07 0.5; - fifo_array[4][0]~8 0xc0 5.70036e+06 0.125; - fifo_array[4][0]~9 0xc0 1.23258e+07 0.5; - fifo_array[5][0] 0xc0 1.92012e+07 0.5; - fifo_array[5][0]~7 0xc0 1.1346e+07 0.5; - fifo_usedw[0] 0xc0 1.92012e+07 0.5; - fifo_usedw[0]~3 0xc0 1.48893e+07 0.5; - fifo_usedw[1] 0xc0 1.92012e+07 0.5; - fifo_usedw[2] 0xc0 1.92012e+07 0.5; - fifo_usedw~0 0xc0 1.5526e+07 0.328125; - fifo_usedw~1 0xc0 1.45697e+07 0.28125; - fifo_usedw~2 0xc0 1.15498e+07 0.452759; - fifo_usedw~4 0xc0 1.28297e+07 0.5; - Mux0~0 0xc0 8.62555e+06 0.5; - Mux0~1 0xc0 8.07181e+06 0.5; - rd_addr_ptr[0] 0xc0 1.92012e+07 0.5; - rd_addr_ptr[0]~2 0xc0 1.92012e+07 0.5; - rd_addr_ptr[1] 0xc0 1.92012e+07 0.5; - rd_addr_ptr[2] 0xc0 1.92012e+07 0.5; - rd_addr_ptr~0 0xc0 1.05757e+07 0.375; - rd_addr_ptr~1 0xc0 1.35009e+07 0.375; - usedw_process~0 0xc0 1.79449e+07 0.4375; - usedw_process~1 0xc0 9.09316e+06 0.21875; - usedw_process~2 0xc0 1.48371e+07 0.423828; - wr_addr_ptr[0] 0xc0 1.92012e+07 0.5; - wr_addr_ptr[0]~4 0xc0 1.92012e+07 0.5; - wr_addr_ptr[1] 0xc0 1.92012e+07 0.5; - wr_addr_ptr[2] 0xc0 1.92012e+07 0.5; - wr_addr_ptr~0 0xc0 4.57529e+06 0.125; - wr_addr_ptr~1 0xc0 5.70036e+06 0.875; - wr_addr_ptr~2 0xc0 1.35009e+07 0.375; - wr_addr_ptr~3 0xc0 1.05757e+07 0.375; - sink_ready_ctrl~0 0xc0 7.02115e+06 0.234375; - sink_ready_ctrl~1 0xc0 6.1879e+06 0.25; - sink_ready_ctrl~2 0xc0 2.06782e+07 0.191406; - sink_ready_ctrl~3 0xc0 3.98882e+06 0.200729; - stall_reg 0xc0 1.92012e+07 0.5; - stall_w~0 0xc0 1.08007e+07 0.75; - auk_dspip_avalon_streaming_sink:input_sink; - data_valid 0xc0 1.92012e+07 0.5; - scfifo:sink_FIFO; - scfifo_gf71:auto_generated; - dffe_nae 0xc0 1.92012e+07 0.5; - dffe_nae~0 0xc0 4.6878e+06 0.125; - dffe_nae~1 0xc0 1.45889e+07 0.503079; - a_dpfifo_1lv:dpfifo; - _~0 0xc0 7.6405e+06 0.200274; - _~1 0xc0 813333 0.03125; - _~2 0xc0 9.91621e+06 0.463874; - _~3 0xc0 1.7037e+07 0.556227; - _~4 0xc0 1.55731e+07 0.399863; - _~5 0xc0 1.7298e+06 0.0625; - _~6 0xc0 8.34675e+06 0.525621; - _~7 0xc0 7.58433e+06 0.0501823; - empty_dff 0xc0 1.92012e+07 0.5; - altsyncram_l7h1:FIFOram; - q_b[0] 0xc0 1.92012e+07 0.5; - q_b[1] 0xc0 1.92012e+07 0.5; - q_b[2] 0xc0 1.92012e+07 0.5; - q_b[3] 0xc0 1.92012e+07 0.5; - q_b[4] 0xc0 1.92012e+07 0.5; - q_b[5] 0xc0 1.92012e+07 0.5; - q_b[6] 0xc0 1.92012e+07 0.5; - q_b[7] 0xc0 1.92012e+07 0.5; - q_b[8] 0xc0 1.92012e+07 0.5; - q_b[9] 0xc0 1.92012e+07 0.5; - q_b[10] 0xc0 1.92012e+07 0.5; - q_b[11] 0xc0 1.92012e+07 0.5; - q_b[12] 0xc0 1.92012e+07 0.5; - q_b[13] 0xc0 1.92012e+07 0.5; - q_b[14] 0xc0 1.92012e+07 0.5; - q_b[15] 0xc0 1.92012e+07 0.5; - full_dff 0xc0 1.92012e+07 0.5; - low_addressa[0] 0xc0 1.92012e+07 0.5; - low_addressa[1] 0xc0 1.92012e+07 0.5; - low_addressa[2] 0xc0 1.92012e+07 0.5; - ram_read_address[0]~0 0xc0 1.09632e+07 0.5; - ram_read_address[1]~1 0xc0 7.96446e+06 0.5; - ram_read_address[2]~2 0xc0 7.96446e+06 0.5; - rd_ptr_lsb 0xc0 1.92012e+07 0.5; - rd_ptr_lsb~0 0xc0 1.92012e+07 0.5; - cntr_r9b:rd_ptr_msb; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - cntr_8a7:usedw_counter; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.72886e+07 0.5; - counter_comb_bita1 0xc0 1.82449e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.17208e+07 0.75; - counter_comb_bita2 0xc0 1.78612e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - usedw_is_0_dff 0xc0 1.92012e+07 0.5; - usedw_is_1_dff 0xc0 1.92012e+07 0.5; - usedw_will_be_1~0 0xc0 3.70961e+06 0.109375; - usedw_will_be_1~1 0xc0 1.64012e+07 0.440195; - valid_rreq 0xc0 1.40373e+07 0.100365; - valid_wreq 0xc0 4.57529e+06 0.125; - cntr_s9b:wr_ptr; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.08007e+07 0.75; - counter_comb_bita2 0xc0 1.74011e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - alt_cic_int_siso:int_one; - auk_dspip_differentiator:COMB_LOOP[0].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~17 0xc0 1.92012e+07 0.5; - dout[0]~18 0xc0 1.08007e+07 0.75; - dout[0]~51 0xc0 1.44009e+07 0.25; - dout[0]~feeder 0xc0 1.92012e+07 0.5; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~19 0xc0 1.6201e+07 0.5; - dout[1]~20 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~21 0xc0 1.37118e+07 0.5; - dout[2]~22 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~23 0xc0 1.36022e+07 0.5; - dout[3]~24 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~25 0xc0 1.44042e+07 0.5; - dout[4]~26 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~27 0xc0 1.3949e+07 0.5; - dout[5]~28 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~29 0xc0 1.41329e+07 0.5; - dout[6]~30 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~31 0xc0 1.40262e+07 0.5; - dout[7]~32 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~33 0xc0 1.40754e+07 0.5; - dout[8]~34 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~35 0xc0 1.40497e+07 0.5; - dout[9]~36 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~37 0xc0 1.40623e+07 0.5; - dout[10]~38 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~39 0xc0 1.40559e+07 0.5; - dout[11]~40 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~41 0xc0 1.40591e+07 0.5; - dout[12]~42 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~43 0xc0 1.40575e+07 0.5; - dout[13]~44 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~45 0xc0 1.40583e+07 0.5; - dout[14]~46 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~47 0xc0 1.40579e+07 0.5; - dout[15]~48 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~49 0xc0 1.40581e+07 0.5; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[1].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~18 0xc0 1.92012e+07 0.5; - dout[0]~19 0xc0 1.08007e+07 0.75; - dout[0]~feeder 0xc0 1.92012e+07 0.5; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~20 0xc0 1.6201e+07 0.5; - dout[1]~21 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~22 0xc0 1.37118e+07 0.5; - dout[2]~23 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~24 0xc0 1.36022e+07 0.5; - dout[3]~25 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~26 0xc0 1.44042e+07 0.5; - dout[4]~27 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~28 0xc0 1.3949e+07 0.5; - dout[5]~29 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~30 0xc0 1.41329e+07 0.5; - dout[6]~31 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~32 0xc0 1.40262e+07 0.5; - dout[7]~33 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~34 0xc0 1.40754e+07 0.5; - dout[8]~35 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~36 0xc0 1.40497e+07 0.5; - dout[9]~37 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~38 0xc0 1.40623e+07 0.5; - dout[10]~39 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~40 0xc0 1.40559e+07 0.5; - dout[11]~41 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~42 0xc0 1.40591e+07 0.5; - dout[12]~43 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~44 0xc0 1.40575e+07 0.5; - dout[13]~45 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~46 0xc0 1.40583e+07 0.5; - dout[14]~47 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~48 0xc0 1.40579e+07 0.5; - dout[15]~49 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~50 0xc0 1.40581e+07 0.5; - dout[16]~51 0xc0 1.30293e+07 0.500004; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~52 0xc0 1.76582e+07 0.5; - dout[74]~54 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[2].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~19 0xc0 1.92012e+07 0.5; - dout[0]~20 0xc0 1.08007e+07 0.75; - dout[0]~feeder 0xc0 1.92012e+07 0.5; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~21 0xc0 1.6201e+07 0.5; - dout[1]~22 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~23 0xc0 1.37118e+07 0.5; - dout[2]~24 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~25 0xc0 1.36022e+07 0.5; - dout[3]~26 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~27 0xc0 1.44042e+07 0.5; - dout[4]~28 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~29 0xc0 1.3949e+07 0.5; - dout[5]~30 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~31 0xc0 1.41329e+07 0.5; - dout[6]~32 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~33 0xc0 1.40262e+07 0.5; - dout[7]~34 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~35 0xc0 1.40754e+07 0.5; - dout[8]~36 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~37 0xc0 1.40497e+07 0.5; - dout[9]~38 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~39 0xc0 1.40623e+07 0.5; - dout[10]~40 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~41 0xc0 1.40559e+07 0.5; - dout[11]~42 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~43 0xc0 1.40591e+07 0.5; - dout[12]~44 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~45 0xc0 1.40575e+07 0.5; - dout[13]~46 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~47 0xc0 1.40583e+07 0.5; - dout[14]~48 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~49 0xc0 1.40579e+07 0.5; - dout[15]~50 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~51 0xc0 1.40581e+07 0.5; - dout[16]~52 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~53 0xc0 1.4058e+07 0.5; - dout[17]~54 0xc0 1.30295e+07 0.499998; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~55 0xc0 1.76583e+07 0.5; - dout[74]~57 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[3].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~20 0xc0 1.92012e+07 0.5; - dout[0]~21 0xc0 1.08007e+07 0.75; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~22 0xc0 1.6201e+07 0.5; - dout[1]~23 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~24 0xc0 1.37118e+07 0.5; - dout[2]~25 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~26 0xc0 1.36022e+07 0.5; - dout[3]~27 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~28 0xc0 1.44042e+07 0.5; - dout[4]~29 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~30 0xc0 1.3949e+07 0.5; - dout[5]~31 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~32 0xc0 1.41329e+07 0.5; - dout[6]~33 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~34 0xc0 1.40262e+07 0.5; - dout[7]~35 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~36 0xc0 1.40754e+07 0.5; - dout[8]~37 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~38 0xc0 1.40497e+07 0.5; - dout[9]~39 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~40 0xc0 1.40623e+07 0.5; - dout[10]~41 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~42 0xc0 1.40559e+07 0.5; - dout[11]~43 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~44 0xc0 1.40591e+07 0.5; - dout[12]~45 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~46 0xc0 1.40575e+07 0.5; - dout[13]~47 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~48 0xc0 1.40583e+07 0.5; - dout[14]~49 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~50 0xc0 1.40579e+07 0.5; - dout[15]~51 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~52 0xc0 1.40581e+07 0.5; - dout[16]~53 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~54 0xc0 1.4058e+07 0.5; - dout[17]~55 0xc0 1.30295e+07 0.499998; - dout[18] 0xc0 1.92012e+07 0.5; - dout[18]~56 0xc0 1.40581e+07 0.5; - dout[18]~57 0xc0 1.30294e+07 0.500001; - dout[19] 0xc0 1.92012e+07 0.5; - dout[19]~58 0xc0 1.76583e+07 0.5; - dout[74]~60 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[4].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~21 0xc0 1.92012e+07 0.5; - dout[0]~22 0xc0 1.08007e+07 0.75; - dout[0]~feeder 0xc0 1.92012e+07 0.5; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~23 0xc0 1.6201e+07 0.5; - dout[1]~24 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~25 0xc0 1.37118e+07 0.5; - dout[2]~26 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~27 0xc0 1.36022e+07 0.5; - dout[3]~28 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~29 0xc0 1.44042e+07 0.5; - dout[4]~30 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~31 0xc0 1.3949e+07 0.5; - dout[5]~32 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~33 0xc0 1.41329e+07 0.5; - dout[6]~34 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~35 0xc0 1.40262e+07 0.5; - dout[7]~36 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~37 0xc0 1.40754e+07 0.5; - dout[8]~38 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~39 0xc0 1.40497e+07 0.5; - dout[9]~40 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~41 0xc0 1.40623e+07 0.5; - dout[10]~42 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~43 0xc0 1.40559e+07 0.5; - dout[11]~44 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~45 0xc0 1.40591e+07 0.5; - dout[12]~46 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~47 0xc0 1.40575e+07 0.5; - dout[13]~48 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~49 0xc0 1.40583e+07 0.5; - dout[14]~50 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~51 0xc0 1.40579e+07 0.5; - dout[15]~52 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~53 0xc0 1.40581e+07 0.5; - dout[16]~54 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~55 0xc0 1.4058e+07 0.5; - dout[17]~56 0xc0 1.30295e+07 0.499998; - dout[18] 0xc0 1.92012e+07 0.5; - dout[18]~57 0xc0 1.40581e+07 0.5; - dout[18]~58 0xc0 1.30294e+07 0.500001; - dout[19] 0xc0 1.92012e+07 0.5; - dout[19]~59 0xc0 1.4058e+07 0.5; - dout[19]~60 0xc0 1.30294e+07 0.5; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~61 0xc0 1.4058e+07 0.5; - dout[74]~63 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - auk_dspip_differentiator:COMB_LOOP[5].auk_dsp_diff; - auk_dspip_delay:\glogic:u0; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~feeder 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~feeder 0xc0 1.92012e+07 0.5; - dout[0] 0xc0 1.92012e+07 0.5; - dout[0]~22 0xc0 1.92012e+07 0.5; - dout[0]~23 0xc0 1.08007e+07 0.75; - dout[1] 0xc0 1.92012e+07 0.5; - dout[1]~24 0xc0 1.6201e+07 0.5; - dout[1]~25 0xc0 8.94432e+06 0.375; - dout[2] 0xc0 1.92012e+07 0.5; - dout[2]~26 0xc0 1.37118e+07 0.5; - dout[2]~27 0xc0 1.05311e+07 0.5625; - dout[3] 0xc0 1.92012e+07 0.5; - dout[3]~28 0xc0 1.36022e+07 0.5; - dout[3]~29 0xc0 1.42453e+07 0.46875; - dout[4] 0xc0 1.92012e+07 0.5; - dout[4]~30 0xc0 1.44042e+07 0.5; - dout[4]~31 0xc0 1.25509e+07 0.515625; - dout[5] 0xc0 1.92012e+07 0.5; - dout[5]~32 0xc0 1.3949e+07 0.5; - dout[5]~33 0xc0 1.33182e+07 0.492188; - dout[6] 0xc0 1.92012e+07 0.5; - dout[6]~34 0xc0 1.41329e+07 0.5; - dout[6]~35 0xc0 1.28995e+07 0.503906; - dout[7] 0xc0 1.92012e+07 0.5; - dout[7]~36 0xc0 1.40262e+07 0.5; - dout[7]~37 0xc0 1.30982e+07 0.498047; - dout[8] 0xc0 1.92012e+07 0.5; - dout[8]~38 0xc0 1.40754e+07 0.5; - dout[8]~39 0xc0 1.2996e+07 0.500977; - dout[9] 0xc0 1.92012e+07 0.5; - dout[9]~40 0xc0 1.40497e+07 0.5; - dout[9]~41 0xc0 1.30464e+07 0.499512; - dout[10] 0xc0 1.92012e+07 0.5; - dout[10]~42 0xc0 1.40623e+07 0.5; - dout[10]~43 0xc0 1.3021e+07 0.500244; - dout[11] 0xc0 1.92012e+07 0.5; - dout[11]~44 0xc0 1.40559e+07 0.5; - dout[11]~45 0xc0 1.30336e+07 0.499878; - dout[12] 0xc0 1.92012e+07 0.5; - dout[12]~46 0xc0 1.40591e+07 0.5; - dout[12]~47 0xc0 1.30273e+07 0.500061; - dout[13] 0xc0 1.92012e+07 0.5; - dout[13]~48 0xc0 1.40575e+07 0.5; - dout[13]~49 0xc0 1.30305e+07 0.499969; - dout[14] 0xc0 1.92012e+07 0.5; - dout[14]~50 0xc0 1.40583e+07 0.5; - dout[14]~51 0xc0 1.30289e+07 0.500015; - dout[15] 0xc0 1.92012e+07 0.5; - dout[15]~52 0xc0 1.40579e+07 0.5; - dout[15]~53 0xc0 1.30297e+07 0.499992; - dout[16] 0xc0 1.92012e+07 0.5; - dout[16]~54 0xc0 1.40581e+07 0.5; - dout[16]~55 0xc0 1.30293e+07 0.500004; - dout[17] 0xc0 1.92012e+07 0.5; - dout[17]~56 0xc0 1.4058e+07 0.5; - dout[17]~57 0xc0 1.30295e+07 0.499998; - dout[18] 0xc0 1.92012e+07 0.5; - dout[18]~58 0xc0 1.40581e+07 0.5; - dout[18]~59 0xc0 1.30294e+07 0.500001; - dout[19] 0xc0 1.92012e+07 0.5; - dout[19]~60 0xc0 1.4058e+07 0.5; - dout[19]~61 0xc0 1.30294e+07 0.5; - dout[20] 0xc0 1.92012e+07 0.5; - dout[20]~62 0xc0 1.4058e+07 0.5; - dout[20]~63 0xc0 1.30294e+07 0.5; - dout[74] 0xc0 1.92012e+07 0.5; - dout[74]~64 0xc0 1.76583e+07 0.5; - dout[74]~66 0xc0 1.44009e+07 0.25; - dout_valid 0xc0 1.92012e+07 0.5; - Equal0~0 0xc0 5.70036e+06 0.125; - Equal0~1 0xc0 2.36265e+06 0.0625; - Equal0~2 0xc0 2.36265e+06 0.0625; - Equal0~3 0xc0 422.989 0.000244141; - counter_module:counter_fs_inst; - Add0~0 0xc0 1.92012e+07 0.5; - Add0~1 0xc0 1.92012e+07 0.5; - Add0~2 0xc0 1.92012e+07 0.5; - Add0~3 0xc0 1.08007e+07 0.75; - Add0~4 0xc0 1.74011e+07 0.5; - Add0~5 0xc0 1.47009e+07 0.125; - Add0~6 0xc0 2.23514e+07 0.5; - Add0~7 0xc0 4.27527e+06 0.9375; - Add0~8 0xc0 1.90887e+07 0.5; - Add0~9 0xc0 1.80199e+07 0.03125; - Add0~10 0xc0 2.70486e+07 0.5; - Add0~11 0xc0 4.54248e+06 0.984375; - Add0~12 0xc0 2.08818e+07 0.5; - Add0~13 0xc0 1.97462e+07 0.0078125; - Add0~14 0xc0 2.87766e+07 0.5; - Add0~15 0xc0 4.93889e+06 0.996094; - Add0~16 0xc0 2.15213e+07 0.5; - Add0~17 0xc0 2.02865e+07 0.00195313; - Add0~18 0xc0 2.92696e+07 0.5; - Add0~19 0xc0 5.07178e+06 0.999023; - Add0~20 0xc0 2.16997e+07 0.5; - Add0~21 0xc0 2.04317e+07 0.000488281; - Add0~22 0xc0 2.93983e+07 0.5; - Equal0~0 0xc0 1.7298e+06 0.0625; - Equal0~1 0xc0 1.7298e+06 0.0625; - Equal0~2 0xc0 445.47 0.000244141; - count[0] 0xc0 1.92012e+07 0.5; - count[1] 0xc0 1.92012e+07 0.5; - count[2] 0xc0 1.92012e+07 0.5; - count[3] 0xc0 1.92012e+07 0.5; - count[4] 0xc0 1.92012e+07 0.5; - count[5] 0xc0 1.92012e+07 0.5; - count[6] 0xc0 1.92012e+07 0.5; - count[7] 0xc0 1.92012e+07 0.5; - count[8] 0xc0 1.92012e+07 0.5; - count[9] 0xc0 1.92012e+07 0.5; - count[10] 0xc0 1.92012e+07 0.5; - count[11] 0xc0 1.92012e+07 0.5; - count~0 0xc0 2.87628e+07 0.499878; - count~1 0xc0 2.16893e+07 0.499878; - count~2 0xc0 2.93842e+07 0.499878; - auk_dspip_upsample:first_upsample; - dout[0]~21 0xc0 1.44009e+07 0.25; - dout[1]~20 0xc0 1.44009e+07 0.25; - dout[2]~19 0xc0 1.44009e+07 0.25; - dout[3]~18 0xc0 1.44009e+07 0.25; - dout[4]~17 0xc0 1.44009e+07 0.25; - dout[5]~16 0xc0 1.44009e+07 0.25; - dout[6]~15 0xc0 1.44009e+07 0.25; - dout[7]~14 0xc0 1.44009e+07 0.25; - dout[8]~13 0xc0 1.44009e+07 0.25; - dout[9]~12 0xc0 1.44009e+07 0.25; - dout[10]~11 0xc0 1.44009e+07 0.25; - dout[11]~10 0xc0 1.44009e+07 0.25; - dout[12]~9 0xc0 1.44009e+07 0.25; - dout[13]~8 0xc0 1.44009e+07 0.25; - dout[14]~7 0xc0 1.44009e+07 0.25; - dout[15]~6 0xc0 1.44009e+07 0.25; - dout[16]~5 0xc0 1.44009e+07 0.25; - dout[17]~4 0xc0 1.44009e+07 0.25; - dout[18]~3 0xc0 1.44009e+07 0.25; - dout[19]~2 0xc0 1.44009e+07 0.25; - dout[20]~1 0xc0 1.44009e+07 0.25; - dout[59]~0 0xc0 1.44009e+07 0.25; - auk_dspip_integrator:integrator_loop[0].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.5601e+07 0.125; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 2.12826e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.07239e+06 0.8125; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 2.42178e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 4.05544e+07 0.21875; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 2.64964e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.21454e+07 0.765625; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.72597e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.89673e+07 0.242188; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 2.56761e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 9.91433e+06 0.753906; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.63067e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.82184e+07 0.248047; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.88603e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 8.86769e+06 0.750977; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 2.23029e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 3.61047e+07 0.249512; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 2.44188e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.1675e+07 0.750244; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.67794e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.83709e+07 0.249878; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 2.22452e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.26203e+07 0.750061; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 2.3447e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 3.66283e+07 0.249969; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 2.79482e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.54736e+07 0.750015; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 2.1337e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 2.53699e+07 0.249992; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 2.44294e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.37146e+07 0.750004; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.74117e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 3.67954e+07 0.249998; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 2.79997e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.54999e+07 0.750001; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 2.4345e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 3.70741e+07 0.25; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 3.10869e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.28433e+07 0.75; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.71394e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.85453e+07 0.25; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 2.22965e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.26483e+07 0.75; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 2.04537e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 2.49278e+07 0.25; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 2.4291e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.36456e+07 0.75; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 2.07653e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 2.50836e+07 0.25; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 2.43397e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.36699e+07 0.75; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 2.07729e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 2.50874e+07 0.25; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.36705e+07 0.75; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 2.07731e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 2.50875e+07 0.25; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 2.73411e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.09704e+07 0.75; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 2.29295e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 3.63663e+07 0.25; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 3.08657e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.27327e+07 0.75; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 2.34802e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 3.66417e+07 0.25; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 3.09518e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.27757e+07 0.75; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 2.34937e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 3.66484e+07 0.25; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 3.09539e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 2.3494e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 3.66486e+07 0.25; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 2.79537e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.5477e+07 0.75; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 2.13376e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 2.53697e+07 0.25; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 2.44291e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.37146e+07 0.75; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 2.07869e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 2.50944e+07 0.25; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 2.4343e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.36716e+07 0.75; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 2.37736e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 3.67884e+07 0.25; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 3.09976e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.27986e+07 0.75; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 2.05006e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 2.49513e+07 0.25; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 2.42983e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.36493e+07 0.75; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 2.07665e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 2.50842e+07 0.25; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 2.734e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.09699e+07 0.75; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 2.29293e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 3.63663e+07 0.25; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 3.08657e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.27327e+07 0.75; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 2.34802e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 3.66417e+07 0.25; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 3.09518e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.27757e+07 0.75; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 2.34937e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 3.66484e+07 0.25; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 2.79537e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.54769e+07 0.75; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 2.13376e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 2.53697e+07 0.25; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 2.44291e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.37146e+07 0.75; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 2.07869e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 2.50944e+07 0.25; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 2.4343e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.36716e+07 0.75; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 2.07734e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 2.50877e+07 0.25; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 2.43409e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.36706e+07 0.75; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 2.37733e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 3.67882e+07 0.25; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 3.09976e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.27986e+07 0.75; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 2.35008e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 3.6652e+07 0.25; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 3.0955e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.27773e+07 0.75; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 2.34942e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 3.66487e+07 0.25; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 3.0954e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.27768e+07 0.75; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 2.04938e+07 0.5; - auk_dspip_integrator:integrator_loop[1].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[2].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[3].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[4].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - auk_dspip_integrator:integrator_loop[5].auK_integrator; - auk_dspip_delay:\glogic:integrator_pipeline_0_generate:u1; - \register_fifo:fifo_data[0][0] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~1 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][0]~2 0xc0 1.08007e+07 0.25; - \register_fifo:fifo_data[0][1] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][1]~1 0xc0 1.6201e+07 0.5; - \register_fifo:fifo_data[0][1]~2 0xc0 8.94432e+06 0.625; - \register_fifo:fifo_data[0][2] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][2]~1 0xc0 1.37118e+07 0.5; - \register_fifo:fifo_data[0][2]~2 0xc0 1.59315e+07 0.4375; - \register_fifo:fifo_data[0][3] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][3]~1 0xc0 1.49523e+07 0.5; - \register_fifo:fifo_data[0][3]~2 0xc0 1.22202e+07 0.53125; - \register_fifo:fifo_data[0][4] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][4]~1 0xc0 1.38979e+07 0.5; - \register_fifo:fifo_data[0][4]~2 0xc0 1.36478e+07 0.484375; - \register_fifo:fifo_data[0][5] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][5]~1 0xc0 1.42232e+07 0.5; - \register_fifo:fifo_data[0][5]~2 0xc0 1.27803e+07 0.507813; - \register_fifo:fifo_data[0][6] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][6]~1 0xc0 1.39984e+07 0.5; - \register_fifo:fifo_data[0][6]~2 0xc0 1.31698e+07 0.496094; - \register_fifo:fifo_data[0][7] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][7]~1 0xc0 1.40938e+07 0.5; - \register_fifo:fifo_data[0][7]~2 0xc0 1.29633e+07 0.501953; - \register_fifo:fifo_data[0][8] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][8]~1 0xc0 1.40417e+07 0.5; - \register_fifo:fifo_data[0][8]~2 0xc0 1.30635e+07 0.499023; - \register_fifo:fifo_data[0][9] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][9]~1 0xc0 1.40666e+07 0.5; - \register_fifo:fifo_data[0][9]~2 0xc0 1.30126e+07 0.500488; - \register_fifo:fifo_data[0][10] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][10]~1 0xc0 1.40539e+07 0.5; - \register_fifo:fifo_data[0][10]~2 0xc0 1.30379e+07 0.499756; - \register_fifo:fifo_data[0][11] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][11]~1 0xc0 1.40602e+07 0.5; - \register_fifo:fifo_data[0][11]~2 0xc0 1.30252e+07 0.500122; - \register_fifo:fifo_data[0][12] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][12]~1 0xc0 1.4057e+07 0.5; - \register_fifo:fifo_data[0][12]~2 0xc0 1.30315e+07 0.499939; - \register_fifo:fifo_data[0][13] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][13]~1 0xc0 1.40586e+07 0.5; - \register_fifo:fifo_data[0][13]~2 0xc0 1.30284e+07 0.500031; - \register_fifo:fifo_data[0][14] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][14]~1 0xc0 1.40578e+07 0.5; - \register_fifo:fifo_data[0][14]~2 0xc0 1.30299e+07 0.499985; - \register_fifo:fifo_data[0][15] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][15]~1 0xc0 1.40582e+07 0.5; - \register_fifo:fifo_data[0][15]~2 0xc0 1.30291e+07 0.500008; - \register_fifo:fifo_data[0][16] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][16]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][16]~2 0xc0 1.30295e+07 0.499996; - \register_fifo:fifo_data[0][17] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][17]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][17]~2 0xc0 1.30293e+07 0.500002; - \register_fifo:fifo_data[0][18] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][18]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][18]~2 0xc0 1.30294e+07 0.499999; - \register_fifo:fifo_data[0][19] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][19]~1 0xc0 1.40581e+07 0.5; - \register_fifo:fifo_data[0][19]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][20] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][20]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][20]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][21] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][21]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][21]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][22] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][22]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][22]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][23] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][23]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][23]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][24] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][24]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][24]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][25] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][25]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][25]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][26] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][26]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][26]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][27] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][27]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][27]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][28] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][28]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][28]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][29] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][29]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][29]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][30] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][30]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][30]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][31] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][31]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][31]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][32] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][32]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][32]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][33] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][33]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][33]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][34] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][34]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][34]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][35] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][35]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][35]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][36] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][36]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][36]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][37] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][37]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][37]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][38] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][38]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][38]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][39] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][39]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][39]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][40] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][40]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][40]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][41] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][41]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][41]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][42] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][42]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][42]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][43] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][43]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][43]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][44] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][44]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][44]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][45] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][45]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][45]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][46] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][46]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][46]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][47] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][47]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][47]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][48] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][48]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][48]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][49] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][49]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][49]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][50] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][50]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][50]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][51] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][51]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][51]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][52] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][52]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][52]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][53] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][53]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][53]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][54] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][54]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][54]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][55] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][55]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][55]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][56] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][56]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][56]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][57] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][57]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][57]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][58] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][58]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][58]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][59] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][59]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][59]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][60] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][60]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][60]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][61] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][61]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][61]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][62] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][62]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][62]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][63] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][63]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][63]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][64] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][64]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][64]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][65] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][65]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][65]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][66] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][66]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][66]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][67] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][67]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][67]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][68] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][68]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][68]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][69] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][69]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][69]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][70] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][70]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][70]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][71] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][71]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][71]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][72] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][72]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][72]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][73] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][73]~1 0xc0 1.4058e+07 0.5; - \register_fifo:fifo_data[0][73]~2 0xc0 1.30294e+07 0.5; - \register_fifo:fifo_data[0][74] 0xc0 1.92012e+07 0.5; - \register_fifo:fifo_data[0][74]~1 0xc0 1.76583e+07 0.5; - sample_valid 0xc0 1.92012e+07 0.5; - auk_dspip_avalon_streaming_source:output_source_0; - scfifo:source_FIFO; - scfifo_ci71:auto_generated; - dffe_af 0xc0 1.92012e+07 0.5; - dffe_af~0 0xc0 2.36265e+06 0.0625; - dffe_af~1 0xc0 5.48473e+06 0.25; - dffe_af~2 0xc0 2.17151e+07 0.5; - a_dpfifo_9qv:dpfifo; - _~0 0xc0 3.37522e+06 0.0625; - _~1 0xc0 4.57529e+06 0.125; - _~2 0xc0 7.42271e+06 0.314941; - _~3 0xc0 1.23711e+07 0.578125; - _~4 0xc0 1.18035e+07 0.509035; - _~5 0xc0 3.83931e+06 0.1875; - _~6 0xc0 7.93879e+06 0.4375; - empty_dff 0xc0 1.92012e+07 0.5; - altsyncram_hah1:FIFOram; - q_b[0] 0xc0 1.92012e+07 0.5; - q_b[1] 0xc0 1.92012e+07 0.5; - q_b[2] 0xc0 1.92012e+07 0.5; - q_b[3] 0xc0 1.92012e+07 0.5; - q_b[4] 0xc0 1.92012e+07 0.5; - q_b[5] 0xc0 1.92012e+07 0.5; - q_b[6] 0xc0 1.92012e+07 0.5; - q_b[7] 0xc0 1.92012e+07 0.5; - q_b[8] 0xc0 1.92012e+07 0.5; - q_b[9] 0xc0 1.92012e+07 0.5; - q_b[10] 0xc0 1.92012e+07 0.5; - q_b[11] 0xc0 1.92012e+07 0.5; - q_b[12] 0xc0 1.92012e+07 0.5; - q_b[13] 0xc0 1.92012e+07 0.5; - q_b[14] 0xc0 1.92012e+07 0.5; - q_b[15] 0xc0 1.92012e+07 0.5; - full_dff 0xc0 1.92012e+07 0.5; - low_addressa[0] 0xc0 1.92012e+07 0.5; - low_addressa[1] 0xc0 1.92012e+07 0.5; - low_addressa[2] 0xc0 1.92012e+07 0.5; - low_addressa[3] 0xc0 1.92012e+07 0.5; - low_addressa[4] 0xc0 1.92012e+07 0.5; - ram_read_address[0]~0 0xc0 8.00149e+06 0.5; - ram_read_address[1]~1 0xc0 8.00149e+06 0.5; - ram_read_address[2]~2 0xc0 8.00149e+06 0.5; - ram_read_address[3]~3 0xc0 8.00149e+06 0.5; - ram_read_address[4]~4 0xc0 8.00149e+06 0.5; - rd_ptr_lsb 0xc0 1.92012e+07 0.5; - rd_ptr_lsb~0 0xc0 1.92012e+07 0.5; - cntr_t9b:rd_ptr_msb; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.08007e+07 0.75; - counter_comb_bita2 0xc0 1.74011e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.47009e+07 0.125; - counter_comb_bita3 0xc0 2.23514e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - counter_reg_bit[3] 0xc0 1.92012e+07 0.5; - cntr_aa7:usedw_counter; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.21508e+07 0.75; - counter_comb_bita2 0xc0 1.80762e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.04186e+07 0.125; - counter_comb_bita3 0xc0 2.02103e+07 0.5; - counter_comb_bita3~COUT 0xc0 2.60382e+06 0.9375; - counter_comb_bita4 0xc0 1.8253e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - counter_reg_bit[3] 0xc0 1.92012e+07 0.5; - counter_reg_bit[4] 0xc0 1.92012e+07 0.5; - usedw_is_0_dff 0xc0 1.92012e+07 0.5; - usedw_is_1_dff 0xc0 1.92012e+07 0.5; - usedw_will_be_1~0 0xc0 1.7298e+06 0.0625; - usedw_will_be_1~1 0xc0 5.69918e+06 0.324219; - usedw_will_be_1~2 0xc0 1.41813e+07 0.478027; - valid_rreq~0 0xc0 8.32553e+06 0.375; - valid_wreq 0xc0 1.44009e+07 0.75; - cntr_u9b:wr_ptr; - counter_comb_bita0 0xc0 1.92012e+07 0.5; - counter_comb_bita0~COUT 0xc0 1.92012e+07 0.5; - counter_comb_bita1 0xc0 1.92012e+07 0.5; - counter_comb_bita1~COUT 0xc0 1.08007e+07 0.75; - counter_comb_bita2 0xc0 1.74011e+07 0.5; - counter_comb_bita2~COUT 0xc0 1.47009e+07 0.125; - counter_comb_bita3 0xc0 2.23514e+07 0.5; - counter_comb_bita3~COUT 0xc0 4.27527e+06 0.9375; - counter_comb_bita4 0xc0 1.90887e+07 0.5; - counter_reg_bit[0] 0xc0 1.92012e+07 0.5; - counter_reg_bit[1] 0xc0 1.92012e+07 0.5; - counter_reg_bit[2] 0xc0 1.92012e+07 0.5; - counter_reg_bit[3] 0xc0 1.92012e+07 0.5; - counter_reg_bit[4] 0xc0 1.92012e+07 0.5; - source_valid_s 0xc0 1.92012e+07 0.5; - source_valid_s_process~0 0xc0 8.32553e+06 0.625; - data_shifter:TX_CICCOMP_GAINER; - Mux0~0 0xc0 3.99805e+06 0.5; - Mux0~1 0xc0 9.75062e+06 0.5; - Mux0~2 0xc0 4.58687e+06 0.375; - Mux0~3 0xc0 2.55659e+06 0.46875; - Mux0~4 0xc0 3.99296e+06 0.46875; - Mux0~5 0xc0 2.13985e+06 0.484375; - Mux1~0 0xc0 3.99805e+06 0.5; - Mux1~1 0xc0 6.65668e+06 0.5; - Mux1~2 0xc0 4.51283e+06 0.5; - Mux1~3 0xc0 2.13405e+06 0.5; - Mux1~4 0xc0 4.45198e+06 0.4375; - Mux1~5 0xc0 2.21539e+06 0.46875; - Mux2~0 0xc0 3.99805e+06 0.5; - Mux2~1 0xc0 6.65668e+06 0.5; - Mux2~2 0xc0 4.51283e+06 0.5; - Mux2~3 0xc0 2.13405e+06 0.5; - Mux2~4 0xc0 5.48473e+06 0.25; - Mux2~5 0xc0 4.50852e+06 0.4375; - Mux2~6 0xc0 2.22233e+06 0.46875; - Mux3~0 0xc0 3.99805e+06 0.5; - Mux3~1 0xc0 6.65668e+06 0.5; - Mux3~2 0xc0 4.51283e+06 0.5; - Mux3~3 0xc0 2.13405e+06 0.5; - Mux3~4 0xc0 4.57529e+06 0.125; - Mux3~5 0xc0 5.33314e+06 0.40625; - Mux3~6 0xc0 2.35554e+06 0.453125; - Mux4~0 0xc0 6.65668e+06 0.5; - Mux4~1 0xc0 4.51283e+06 0.5; - Mux4~2 0xc0 3.99805e+06 0.5; - Mux4~3 0xc0 2.13405e+06 0.5; - Mux4~4 0xc0 4.57529e+06 0.125; - Mux4~5 0xc0 5.55782e+06 0.375; - Mux4~6 0xc0 2.42803e+06 0.4375; - Mux5~0 0xc0 6.65668e+06 0.5; - Mux5~1 0xc0 4.51283e+06 0.5; - Mux5~2 0xc0 3.99805e+06 0.5; - Mux5~3 0xc0 2.13405e+06 0.5; - Mux5~4 0xc0 4.57529e+06 0.125; - Mux5~5 0xc0 6.39882e+06 0.34375; - Mux5~6 0xc0 2.58894e+06 0.421875; - Mux6~0 0xc0 6.65668e+06 0.5; - Mux6~1 0xc0 4.51283e+06 0.5; - Mux6~2 0xc0 3.99805e+06 0.5; - Mux6~3 0xc0 2.13405e+06 0.5; - Mux6~4 0xc0 4.57529e+06 0.125; - Mux6~5 0xc0 7.79698e+06 0.3125; - Mux6~6 0xc0 2.83099e+06 0.40625; - Mux7~0 0xc0 6.65668e+06 0.5; - Mux7~1 0xc0 4.51283e+06 0.5; - Mux7~2 0xc0 3.99805e+06 0.5; - Mux7~3 0xc0 2.13405e+06 0.5; - Mux8~0 0xc0 6.65668e+06 0.5; - Mux8~1 0xc0 4.51283e+06 0.5; - Mux8~2 0xc0 3.99805e+06 0.5; - Mux8~3 0xc0 2.13405e+06 0.5; - Mux9~0 0xc0 6.65668e+06 0.5; - Mux9~1 0xc0 4.51283e+06 0.5; - Mux9~2 0xc0 3.99805e+06 0.5; - Mux9~3 0xc0 2.13405e+06 0.5; - Mux10~0 0xc0 6.65668e+06 0.5; - Mux10~1 0xc0 4.51283e+06 0.5; - Mux10~2 0xc0 3.99805e+06 0.5; - Mux10~3 0xc0 2.13405e+06 0.5; - Mux11~0 0xc0 6.65668e+06 0.5; - Mux11~1 0xc0 4.51283e+06 0.5; - Mux11~2 0xc0 3.99805e+06 0.5; - Mux11~3 0xc0 2.13405e+06 0.5; - Mux12~0 0xc0 6.65668e+06 0.5; - Mux12~1 0xc0 4.51283e+06 0.5; - Mux12~2 0xc0 6.65668e+06 0.5; - Mux12~3 0xc0 4.51283e+06 0.5; - Mux12~4 0xc0 6.65668e+06 0.5; - Mux12~5 0xc0 4.51283e+06 0.5; - Mux12~6 0xc0 9.75062e+06 0.5; - Mux12~7 0xc0 4.58687e+06 0.375; - Mux12~8 0xc0 6.65668e+06 0.5; - Mux12~9 0xc0 4.51283e+06 0.5; - Mux12~10 0xc0 3.99805e+06 0.5; - Mux12~11 0xc0 2.13405e+06 0.5; - Mux13~0 0xc0 6.65668e+06 0.5; - Mux13~1 0xc0 4.51283e+06 0.5; - Mux13~2 0xc0 6.65668e+06 0.5; - Mux13~3 0xc0 4.51283e+06 0.5; - Mux13~4 0xc0 6.65668e+06 0.5; - Mux13~5 0xc0 4.51283e+06 0.5; - Mux13~6 0xc0 4.78156e+06 0.25; - Mux13~7 0xc0 6.65668e+06 0.5; - Mux13~8 0xc0 4.51283e+06 0.5; - Mux13~9 0xc0 3.99805e+06 0.5; - Mux13~10 0xc0 2.13405e+06 0.5; - Mux14~0 0xc0 6.65668e+06 0.5; - Mux14~1 0xc0 4.51283e+06 0.5; - Mux14~2 0xc0 6.65668e+06 0.5; - Mux14~3 0xc0 4.51283e+06 0.5; - Mux14~4 0xc0 6.65668e+06 0.5; - Mux14~5 0xc0 4.51283e+06 0.5; - Mux14~6 0xc0 6.65668e+06 0.5; - Mux14~7 0xc0 4.51283e+06 0.5; - Mux14~8 0xc0 4.57529e+06 0.125; - Mux14~9 0xc0 3.99805e+06 0.5; - Mux14~10 0xc0 2.13405e+06 0.5; - Mux15~0 0xc0 6.65668e+06 0.5; - Mux15~1 0xc0 4.51283e+06 0.5; - Mux15~2 0xc0 6.65668e+06 0.5; - Mux15~3 0xc0 4.51283e+06 0.5; - Mux15~4 0xc0 6.65668e+06 0.5; - Mux15~5 0xc0 4.51283e+06 0.5; - Mux15~6 0xc0 6.65668e+06 0.5; - Mux15~7 0xc0 4.51283e+06 0.5; - Mux15~8 0xc0 3.99805e+06 0.5; - Mux15~9 0xc0 2.13405e+06 0.5; - Mux16~0 0xc0 3.99805e+06 0.5; - Mux16~1 0xc0 9.75062e+06 0.5; - Mux16~2 0xc0 4.58687e+06 0.375; - Mux16~3 0xc0 2.55659e+06 0.46875; - Mux16~4 0xc0 3.99296e+06 0.46875; - Mux16~5 0xc0 2.13985e+06 0.484375; - Mux17~0 0xc0 3.99805e+06 0.5; - Mux17~1 0xc0 6.65668e+06 0.5; - Mux17~2 0xc0 4.51283e+06 0.5; - Mux17~3 0xc0 2.13405e+06 0.5; - Mux17~4 0xc0 4.45198e+06 0.4375; - Mux17~5 0xc0 2.21539e+06 0.46875; - Mux18~0 0xc0 3.99805e+06 0.5; - Mux18~1 0xc0 6.65668e+06 0.5; - Mux18~2 0xc0 4.51283e+06 0.5; - Mux18~3 0xc0 2.13405e+06 0.5; - Mux18~4 0xc0 5.48473e+06 0.25; - Mux18~5 0xc0 4.50852e+06 0.4375; - Mux18~6 0xc0 2.22233e+06 0.46875; - Mux19~0 0xc0 3.99805e+06 0.5; - Mux19~1 0xc0 6.65668e+06 0.5; - Mux19~2 0xc0 4.51283e+06 0.5; - Mux19~3 0xc0 2.13405e+06 0.5; - Mux19~4 0xc0 4.57529e+06 0.125; - Mux19~5 0xc0 5.33314e+06 0.40625; - Mux19~6 0xc0 2.35554e+06 0.453125; - Mux20~0 0xc0 6.65668e+06 0.5; - Mux20~1 0xc0 4.51283e+06 0.5; - Mux20~2 0xc0 3.99805e+06 0.5; - Mux20~3 0xc0 2.13405e+06 0.5; - Mux20~4 0xc0 4.57529e+06 0.125; - Mux20~5 0xc0 5.33314e+06 0.40625; - Mux20~6 0xc0 2.06169e+06 0.416016; - Mux21~0 0xc0 6.65668e+06 0.5; - Mux21~1 0xc0 4.51283e+06 0.5; - Mux21~2 0xc0 3.99805e+06 0.5; - Mux21~3 0xc0 2.13405e+06 0.5; - Mux21~4 0xc0 4.57529e+06 0.125; - Mux21~5 0xc0 5.33314e+06 0.40625; - Mux21~6 0xc0 2.0299e+06 0.378906; - Mux22~0 0xc0 6.65668e+06 0.5; - Mux22~1 0xc0 4.51283e+06 0.5; - Mux22~2 0xc0 3.99805e+06 0.5; - Mux22~3 0xc0 2.13405e+06 0.5; - Mux22~4 0xc0 4.57529e+06 0.125; - Mux22~5 0xc0 5.33314e+06 0.40625; - Mux22~6 0xc0 2.22206e+06 0.341797; - Mux23~0 0xc0 6.65668e+06 0.5; - Mux23~1 0xc0 4.51283e+06 0.5; - Mux23~2 0xc0 3.99805e+06 0.5; - Mux23~3 0xc0 2.13405e+06 0.5; - Mux24~0 0xc0 6.65668e+06 0.5; - Mux24~1 0xc0 4.51283e+06 0.5; - Mux24~2 0xc0 3.99805e+06 0.5; - Mux24~3 0xc0 2.13405e+06 0.5; - Mux25~0 0xc0 6.65668e+06 0.5; - Mux25~1 0xc0 4.51283e+06 0.5; - Mux25~2 0xc0 3.99805e+06 0.5; - Mux25~3 0xc0 2.13405e+06 0.5; - Mux26~0 0xc0 6.65668e+06 0.5; - Mux26~1 0xc0 4.51283e+06 0.5; - Mux26~2 0xc0 3.99805e+06 0.5; - Mux26~3 0xc0 2.13405e+06 0.5; - Mux27~0 0xc0 6.65668e+06 0.5; - Mux27~1 0xc0 4.51283e+06 0.5; - Mux27~2 0xc0 3.99805e+06 0.5; - Mux27~3 0xc0 2.13405e+06 0.5; - Mux28~0 0xc0 6.65668e+06 0.5; - Mux28~1 0xc0 4.51283e+06 0.5; - Mux28~2 0xc0 6.65668e+06 0.5; - Mux28~3 0xc0 4.51283e+06 0.5; - Mux28~4 0xc0 6.65668e+06 0.5; - Mux28~5 0xc0 4.51283e+06 0.5; - Mux28~6 0xc0 9.75062e+06 0.5; - Mux28~7 0xc0 4.58687e+06 0.375; - Mux28~8 0xc0 6.65668e+06 0.5; - Mux28~9 0xc0 4.51283e+06 0.5; - Mux28~10 0xc0 3.99805e+06 0.5; - Mux28~11 0xc0 2.13405e+06 0.5; - Mux29~0 0xc0 6.65668e+06 0.5; - Mux29~1 0xc0 4.51283e+06 0.5; - Mux29~2 0xc0 6.65668e+06 0.5; - Mux29~3 0xc0 4.51283e+06 0.5; - Mux29~4 0xc0 6.65668e+06 0.5; - Mux29~5 0xc0 4.51283e+06 0.5; - Mux29~6 0xc0 4.78156e+06 0.25; - Mux29~7 0xc0 6.65668e+06 0.5; - Mux29~8 0xc0 4.51283e+06 0.5; - Mux29~9 0xc0 3.99805e+06 0.5; - Mux29~10 0xc0 2.13405e+06 0.5; - Mux30~0 0xc0 6.65668e+06 0.5; - Mux30~1 0xc0 4.51283e+06 0.5; - Mux30~2 0xc0 6.65668e+06 0.5; - Mux30~3 0xc0 4.51283e+06 0.5; - Mux30~4 0xc0 6.65668e+06 0.5; - Mux30~5 0xc0 4.51283e+06 0.5; - Mux30~6 0xc0 6.65668e+06 0.5; - Mux30~7 0xc0 4.51283e+06 0.5; - Mux30~8 0xc0 4.57529e+06 0.125; - Mux30~9 0xc0 3.99805e+06 0.5; - Mux30~10 0xc0 2.13405e+06 0.5; - Mux31~0 0xc0 6.65668e+06 0.5; - Mux31~1 0xc0 4.51283e+06 0.5; - Mux31~2 0xc0 6.65668e+06 0.5; - Mux31~3 0xc0 4.51283e+06 0.5; - Mux31~4 0xc0 6.65668e+06 0.5; - Mux31~5 0xc0 4.51283e+06 0.5; - Mux31~6 0xc0 6.65668e+06 0.5; - Mux31~7 0xc0 4.51283e+06 0.5; - Mux31~8 0xc0 3.99805e+06 0.5; - Mux31~9 0xc0 2.13405e+06 0.5; - data_out_I[0]~29 0xc0 300385 0.015625; - data_out_I[0]~30 0xc0 2.84553e+06 0.130859; - data_out_I[1]~26 0xc0 1.7298e+06 0.0625; - data_out_I[1]~27 0xc0 336909 0.0302734; - data_out_I[1]~28 0xc0 2.76953e+06 0.136353; - data_out_I[2]~23 0xc0 1.7298e+06 0.0625; - data_out_I[2]~24 0xc0 407646 0.0449219; - data_out_I[2]~25 0xc0 2.70035e+06 0.141846; - data_out_I[3]~20 0xc0 1.7298e+06 0.0625; - data_out_I[3]~21 0xc0 655978 0.0595703; - data_out_I[3]~22 0xc0 2.65022e+06 0.147339; - data_out_I[4]~17 0xc0 1.7298e+06 0.0625; - data_out_I[4]~18 0xc0 1.02056e+06 0.0742188; - data_out_I[4]~19 0xc0 2.6139e+06 0.152832; - data_out_I[5]~14 0xc0 2.17587e+06 0.121094; - data_out_I[5]~15 0xc0 2.76586e+06 0.185547; - data_out_I[5]~16 0xc0 2.30462e+06 0.171387; - data_out_I[6]~11 0xc0 2.36063e+06 0.179688; - data_out_I[6]~12 0xc0 2.58544e+06 0.214844; - data_out_I[6]~13 0xc0 2.22758e+06 0.178711; - data_out_I[7]~8 0xc0 2.85588e+06 0.238281; - data_out_I[7]~9 0xc0 2.50522e+06 0.244141; - data_out_I[7]~10 0xc0 2.17194e+06 0.186035; - data_out_I[8]~7 0xc0 3.74696e+06 0.296875; - data_out_I[8]~31 0xc0 2.53487e+06 0.273438; - data_out_I[8]~32 0xc0 2.13829e+06 0.193359; - data_out_I[9]~6 0xc0 2.18727e+06 0.226563; - data_out_I[10]~5 0xc0 2.19676e+06 0.230469; - data_out_I[11]~4 0xc0 2.21555e+06 0.234375; - data_out_I[12]~3 0xc0 2.24409e+06 0.238281; - data_out_I[13]~2 0xc0 2.27327e+06 0.242188; - data_out_I[14]~1 0xc0 2.27285e+06 0.242188; - data_out_I[15]~0 0xc0 2.24285e+06 0.238281; - data_out_Q[0]~7 0xc0 1.2207e+06 0.125; - data_out_Q[0]~33 0xc0 300385 0.015625; - data_out_Q[0]~34 0xc0 2.84553e+06 0.130859; - data_out_Q[1]~30 0xc0 1.7298e+06 0.0625; - data_out_Q[1]~31 0xc0 336909 0.0302734; - data_out_Q[1]~32 0xc0 2.76953e+06 0.136353; - data_out_Q[2]~27 0xc0 1.7298e+06 0.0625; - data_out_Q[2]~28 0xc0 407646 0.0449219; - data_out_Q[2]~29 0xc0 2.70035e+06 0.141846; - data_out_Q[3]~24 0xc0 1.7298e+06 0.0625; - data_out_Q[3]~25 0xc0 655978 0.0595703; - data_out_Q[3]~26 0xc0 2.65022e+06 0.147339; - data_out_Q[4]~20 0xc0 1.3916e+06 0.25; - data_out_Q[4]~21 0xc0 1.7298e+06 0.0625; - data_out_Q[4]~22 0xc0 1.02056e+06 0.0742188; - data_out_Q[4]~23 0xc0 2.6139e+06 0.152832; - data_out_Q[5]~17 0xc0 2.17587e+06 0.121094; - data_out_Q[5]~18 0xc0 2.76586e+06 0.185547; - data_out_Q[5]~19 0xc0 2.30462e+06 0.171387; - data_out_Q[6]~14 0xc0 2.36063e+06 0.179688; - data_out_Q[6]~15 0xc0 2.58544e+06 0.214844; - data_out_Q[6]~16 0xc0 2.22758e+06 0.178711; - data_out_Q[7]~11 0xc0 2.85588e+06 0.238281; - data_out_Q[7]~12 0xc0 2.50522e+06 0.244141; - data_out_Q[7]~13 0xc0 2.17194e+06 0.186035; - data_out_Q[8]~8 0xc0 3.74696e+06 0.296875; - data_out_Q[8]~9 0xc0 2.53487e+06 0.273438; - data_out_Q[8]~10 0xc0 2.13829e+06 0.193359; - data_out_Q[9]~6 0xc0 2.09586e+06 0.210449; - data_out_Q[10]~5 0xc0 2.1063e+06 0.219727; - data_out_Q[11]~4 0xc0 2.15493e+06 0.229004; - data_out_Q[12]~3 0xc0 2.24409e+06 0.238281; - data_out_Q[13]~2 0xc0 2.27327e+06 0.242188; - data_out_Q[14]~1 0xc0 2.27285e+06 0.242188; - data_out_Q[15]~0 0xc0 2.24285e+06 0.238281; - data_valid_out_Q~0 0xc0 1.08007e+07 0.25; - tx_ciccomp:TX_CICCOMP_I; - tx_ciccomp_0002:tx_ciccomp_inst; - tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst; - tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~1 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[0]~2 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~1 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[1]~2 0xc0 1.08007e+07 0.25; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~1 0xc0 1.74011e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[2]~2 0xc0 5.10033e+06 0.875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~1 0xc0 1.75511e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[3]~2 0xc0 1.6276e+07 0.0625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~1 0xc0 2.50891e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[4]~2 0xc0 4.21902e+06 0.96875; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~1 0xc0 2.01482e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[5]~2 0xc0 1.90934e+07 0.015625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~1 0xc0 2.81573e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[6]~2 0xc0 4.78273e+06 0.992188; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~1 0xc0 2.12949e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[7]~2 0xc0 2.00992e+07 0.00390625; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_aseq_clkproc:u0_m0_wo0_aseq_c[8]~1 0xc0 2.91014e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~1 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~2 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[0]~_wirecell 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~1 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[1]~2 0xc0 1.08007e+07 0.25; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~1 0xc0 1.74011e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[2]~2 0xc0 5.10033e+06 0.875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~1 0xc0 1.75511e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[3]~2 0xc0 1.6276e+07 0.0625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~1 0xc0 2.50891e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[4]~2 0xc0 4.21902e+06 0.96875; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~1 0xc0 2.01482e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[5]~2 0xc0 1.90934e+07 0.015625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~1 0xc0 2.81573e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[6]~2 0xc0 4.78273e+06 0.992188; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~1 0xc0 2.12949e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[7]~2 0xc0 2.00992e+07 0.00390625; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_oseq_clkproc:u0_m0_wo0_oseq_c[8]~1 0xc0 2.91014e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[0] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[1] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[2] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[3] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[4] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[5] 0xc0 1.92012e+07 0.5; - \u0_m0_wo0_run_clkproc:u0_m0_wo0_run_enable_c[6] 0xc0 1.92012e+07 0.5; - Add0~0 0xc0 1.92012e+07 0.5; - Add0~1 0xc0 1.92012e+07 0.5; - Add0~2 0xc0 1.92012e+07 0.5; - Add0~3 0xc0 1.08007e+07 0.25; - Add0~4 0xc0 1.74011e+07 0.5; - Add0~5 0xc0 5.10033e+06 0.875; - Add0~6 0xc0 1.75511e+07 0.5; - Add0~7 0xc0 1.6276e+07 0.0625; - Add0~8 0xc0 2.50891e+07 0.5; - Add0~9 0xc0 4.21902e+06 0.96875; - Add0~10 0xc0 2.01482e+07 0.5; - Add0~11 0xc0 1.90934e+07 0.015625; - Add0~12 0xc0 2.81573e+07 0.5; - Add1~0 0xc0 1.92012e+07 0.5; - Add1~1 0xc0 1.92012e+07 0.5; - Add1~2 0xc0 1.92012e+07 0.5; - Add1~3 0xc0 1.08007e+07 0.25; - Add1~4 0xc0 1.74011e+07 0.5; - Add1~5 0xc0 5.10033e+06 0.875; - Add1~6 0xc0 1.75511e+07 0.5; - Add1~7 0xc0 1.6276e+07 0.0625; - Add1~8 0xc0 2.50891e+07 0.5; - Add1~9 0xc0 4.21902e+06 0.96875; - Add1~10 0xc0 2.01482e+07 0.5; - Add1~11 0xc0 1.90934e+07 0.015625; - Add1~12 0xc0 2.81573e+07 0.5; - Add1~14 0xc0 2.4398e+07 0.5; - Add1~15 0xc0 1.99114e+07 0.5; - Add1~16 0xc0 2.36171e+07 0.5; - Add1~17 0xc0 1.79636e+07 0.5; - Add1~18 0xc0 1.49365e+07 0.5; - Add1~19 0xc0 1.5601e+07 0.5; - Add1~20 0xc0 9.75062e+06 0.5; - Add2~0 0xc0 7.07858e+06 0.5; - Add3~0 0xc0 1.92012e+07 0.5; - Add3~1 0xc0 1.92012e+07 0.5; - Add3~2 0xc0 1.92012e+07 0.5; - Add3~3 0xc0 1.08007e+07 0.25; - Add3~4 0xc0 1.74011e+07 0.5; - Add3~5 0xc0 5.10033e+06 0.875; - Add3~6 0xc0 1.75511e+07 0.5; - Add3~7 0xc0 1.6276e+07 0.0625; - Add3~8 0xc0 2.50891e+07 0.5; - Add3~9 0xc0 4.21902e+06 0.96875; - Add3~10 0xc0 2.01482e+07 0.5; - Add3~11 0xc0 1.90934e+07 0.015625; - Add3~12 0xc0 2.81573e+07 0.5; - Add3~13 0xc0 2.33839e+07 0.492188; - Add3~14 0xc0 2.12949e+07 0.5; - Add3~15 0xc0 1.51489e+07 0.753906; - Add3~16 0xc0 1.96508e+07 0.5; - Add6~0 0xc0 1.92012e+07 0.5; - Add6~1 0xc0 1.92012e+07 0.5; - Add6~2 0xc0 1.92012e+07 0.5; - Add6~2_wirecell 0xc0 1.92012e+07 0.5; - Add6~3 0xc0 1.08007e+07 0.25; - Add6~4 0xc0 1.74011e+07 0.5; - Add6~4_wirecell 0xc0 1.74011e+07 0.5; - Add6~5 0xc0 5.10033e+06 0.875; - Add6~6 0xc0 1.75511e+07 0.5; - Add6~6_wirecell 0xc0 1.75511e+07 0.5; - Add6~7 0xc0 1.6276e+07 0.0625; - Add6~8 0xc0 2.50891e+07 0.5; - Add6~8_wirecell 0xc0 2.50891e+07 0.5; - Add6~9 0xc0 4.21902e+06 0.96875; - Add6~10 0xc0 2.01482e+07 0.5; - Add6~10_wirecell 0xc0 2.01482e+07 0.5; - Add6~11 0xc0 1.90934e+07 0.015625; - Add6~12 0xc0 2.81573e+07 0.5; - Add14~1 0xc0 1.92012e+07 0.5; - Add14~2 0xc0 1.92012e+07 0.5; - Add14~2_wirecell 0xc0 1.92012e+07 0.5; - Add14~3 0xc0 1.08007e+07 0.25; - Add14~4 0xc0 1.74011e+07 0.5; - Add14~4_wirecell 0xc0 1.74011e+07 0.5; - Add14~5 0xc0 5.10033e+06 0.875; - Add14~6 0xc0 1.75511e+07 0.5; - Add14~6_wirecell 0xc0 1.75511e+07 0.5; - Add14~7 0xc0 1.6276e+07 0.0625; - Add14~8 0xc0 2.50891e+07 0.5; - Add14~8_wirecell 0xc0 2.50891e+07 0.5; - Add14~9 0xc0 4.21902e+06 0.96875; - Add14~10 0xc0 2.01482e+07 0.5; - Add14~10_wirecell 0xc0 2.01482e+07 0.5; - Add14~11 0xc0 1.90934e+07 0.015625; - Add14~12 0xc0 2.81573e+07 0.5; - Add14~13 0xc0 2.33839e+07 0.492188; - Add14~14 0xc0 2.12949e+07 0.5; - Add14~15 0xc0 1.51489e+07 0.753906; - Add14~16 0xc0 1.96508e+07 0.5; - dspba_delay:d_in0_m0_wi0_wo0_assign_id1_q_13; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][0] 0xc0 1.92012e+07 0.5; - delay_signals[1][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[2][0] 0xc0 1.92012e+07 0.5; - delay_signals[2][0]~feeder 0xc0 3.125e+06 0.5; - dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][1] 0xc0 1.92012e+07 0.5; - delay_signals[0][1]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][2] 0xc0 1.92012e+07 0.5; - delay_signals[0][2]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][3] 0xc0 1.92012e+07 0.5; - delay_signals[0][3]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][4] 0xc0 1.92012e+07 0.5; - delay_signals[0][5] 0xc0 1.92012e+07 0.5; - delay_signals[0][5]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][6] 0xc0 1.92012e+07 0.5; - delay_signals[0][6]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][7] 0xc0 1.92012e+07 0.5; - delay_signals[0][8] 0xc0 1.92012e+07 0.5; - delay_signals[0][8]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][9] 0xc0 1.92012e+07 0.5; - delay_signals[0][9]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][10] 0xc0 1.92012e+07 0.5; - delay_signals[0][11] 0xc0 1.92012e+07 0.5; - delay_signals[0][11]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][12] 0xc0 1.92012e+07 0.5; - delay_signals[0][12]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][13] 0xc0 1.92012e+07 0.5; - delay_signals[0][13]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][14] 0xc0 1.92012e+07 0.5; - delay_signals[0][14]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][15] 0xc0 1.92012e+07 0.5; - delay_signals[0][16] 0xc0 1.92012e+07 0.5; - delay_signals[0][16]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][17] 0xc0 1.92012e+07 0.5; - delay_signals[0][17]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][18] 0xc0 1.92012e+07 0.5; - delay_signals[0][18]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][19] 0xc0 1.92012e+07 0.5; - delay_signals[0][19]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_u0_m0_wo0_aseq_q_16; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_14; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][0] 0xc0 1.92012e+07 0.5; - delay_signals[1][0]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_15; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_u0_m0_wo0_compute_q_16; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16; - delay_signals[0][20] 0xc0 1.92012e+07 0.5; - delay_signals[0][20]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][21] 0xc0 1.92012e+07 0.5; - delay_signals[0][21]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][22] 0xc0 1.92012e+07 0.5; - delay_signals[0][22]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][23] 0xc0 1.92012e+07 0.5; - delay_signals[0][23]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][1] 0xc0 1.92012e+07 0.5; - delay_signals[0][2] 0xc0 1.92012e+07 0.5; - delay_signals[0][2]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][3] 0xc0 1.92012e+07 0.5; - delay_signals[0][4] 0xc0 1.92012e+07 0.5; - delay_signals[0][5] 0xc0 1.92012e+07 0.5; - delay_signals[0][5]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][6] 0xc0 1.92012e+07 0.5; - delay_signals[0][7] 0xc0 1.92012e+07 0.5; - delay_signals[0][8] 0xc0 1.92012e+07 0.5; - delay_signals[0][8]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][9] 0xc0 1.92012e+07 0.5; - delay_signals[0][9]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][10] 0xc0 1.92012e+07 0.5; - delay_signals[0][10]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][11] 0xc0 1.92012e+07 0.5; - delay_signals[0][11]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][12] 0xc0 1.92012e+07 0.5; - delay_signals[0][12]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][13] 0xc0 1.92012e+07 0.5; - delay_signals[0][13]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][14] 0xc0 1.92012e+07 0.5; - delay_signals[0][14]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][15] 0xc0 1.92012e+07 0.5; - delay_signals[0][15]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][0] 0xc0 1.92012e+07 0.5; - delay_signals[1][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][1] 0xc0 1.92012e+07 0.5; - delay_signals[1][1]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][2] 0xc0 1.92012e+07 0.5; - delay_signals[1][3] 0xc0 1.92012e+07 0.5; - delay_signals[1][3]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][4] 0xc0 1.92012e+07 0.5; - delay_signals[1][4]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][5] 0xc0 1.92012e+07 0.5; - delay_signals[1][5]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][6] 0xc0 1.92012e+07 0.5; - delay_signals[1][6]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][7] 0xc0 1.92012e+07 0.5; - delay_signals[1][8] 0xc0 1.92012e+07 0.5; - delay_signals[1][9] 0xc0 1.92012e+07 0.5; - delay_signals[1][9]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][10] 0xc0 1.92012e+07 0.5; - delay_signals[1][10]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][11] 0xc0 1.92012e+07 0.5; - delay_signals[1][12] 0xc0 1.92012e+07 0.5; - delay_signals[1][13] 0xc0 1.92012e+07 0.5; - delay_signals[1][14] 0xc0 1.92012e+07 0.5; - delay_signals[1][15] 0xc0 1.92012e+07 0.5; - delay_signals[1][15]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[2][0] 0xc0 1.92012e+07 0.5; - delay_signals[2][0]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][1] 0xc0 1.92012e+07 0.5; - delay_signals[2][1]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][2] 0xc0 1.92012e+07 0.5; - delay_signals[2][3] 0xc0 1.92012e+07 0.5; - delay_signals[2][3]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][4] 0xc0 1.92012e+07 0.5; - delay_signals[2][4]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][5] 0xc0 1.92012e+07 0.5; - delay_signals[2][5]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][6] 0xc0 1.92012e+07 0.5; - delay_signals[2][6]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][7] 0xc0 1.92012e+07 0.5; - delay_signals[2][7]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][8] 0xc0 1.92012e+07 0.5; - delay_signals[2][8]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][9] 0xc0 1.92012e+07 0.5; - delay_signals[2][10] 0xc0 1.92012e+07 0.5; - delay_signals[2][10]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][11] 0xc0 1.92012e+07 0.5; - delay_signals[2][11]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][12] 0xc0 1.92012e+07 0.5; - delay_signals[2][13] 0xc0 1.92012e+07 0.5; - delay_signals[2][13]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][14] 0xc0 1.92012e+07 0.5; - delay_signals[2][14]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][15] 0xc0 1.92012e+07 0.5; - delay_signals[2][15]~feeder 0xc0 3.125e+06 0.5; - Equal1~0 0xc0 1.7298e+06 0.0625; - Equal1~1 0xc0 1.7298e+06 0.0625; - Equal1~2 0xc0 167472 0.00195313; - Mux2~0 0xc0 1.58102e+07 0.125; - Mux2~1 0xc0 1.77964e+07 0.28125; - Mux3~0 0xc0 8.50836e+06 0.4375; - Mux3~1 0xc0 9.78813e+06 0.4375; - Mux3~2 0xc0 2.0106e+07 0.40625; - Mux3~3 0xc0 1.05232e+07 0.382813; - Mux4~0 0xc0 8.70993e+06 0.5; - Mux4~1 0xc0 7.24734e+06 0.5; - Mux4~2 0xc0 1.72152e+07 0.390625; - Mux4~3 0xc0 1.33307e+07 0.359863; - Mux5~0 0xc0 1.01256e+07 0.5625; - Mux5~1 0xc0 7.21452e+06 0.5625; - Mux5~2 0xc0 1.7926e+07 0.375; - Mux5~3 0xc0 1.0107e+07 0.335938; - Mux6~0 0xc0 7.05983e+06 0.375; - Mux6~1 0xc0 1.05757e+07 0.625; - Mux6~2 0xc0 1.62636e+07 0.421875; - Mux6~3 0xc0 2.3022e+07 0.404785; - Mux7~0 0xc0 8.81775e+06 0.4375; - Mux7~1 0xc0 1.01256e+07 0.5625; - Mux7~2 0xc0 1.92294e+07 0.375; - Mux7~3 0xc0 1.18404e+07 0.335938; - Mux8~0 0xc0 9.61937e+06 0.4375; - Mux8~1 0xc0 1.09132e+07 0.5625; - Mux8~2 0xc0 1.22711e+07 0.390625; - Mux8~3 0xc0 1.49378e+07 0.353027; - Mux9~0 0xc0 5.23158e+06 0.25; - Mux9~1 0xc0 5.23158e+06 0.75; - Mux9~2 0xc0 1.7298e+06 0.0625; - Mux9~3 0xc0 1.84137e+07 0.328125; - Mux9~4 0xc0 1.7298e+06 0.0625; - Mux9~5 0xc0 8.47888e+06 0.258301; - Mux9~6 0xc0 4.57529e+06 0.125; - Mux9~7 0xc0 5.70036e+06 0.125; - u0_m0_wo0_accum_p1_of_2_o[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~21 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~22 0xc0 1.08007e+07 0.25; - u0_m0_wo0_accum_p1_of_2_o[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~23 0xc0 1.6201e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~24 0xc0 8.94432e+06 0.625; - u0_m0_wo0_accum_p1_of_2_o[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~25 0xc0 1.37118e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~26 0xc0 1.59315e+07 0.4375; - u0_m0_wo0_accum_p1_of_2_o[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~27 0xc0 1.49523e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~28 0xc0 1.22202e+07 0.53125; - u0_m0_wo0_accum_p1_of_2_o[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~29 0xc0 1.38979e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~30 0xc0 1.36478e+07 0.484375; - u0_m0_wo0_accum_p1_of_2_o[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~31 0xc0 1.42232e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~32 0xc0 1.27803e+07 0.507813; - u0_m0_wo0_accum_p1_of_2_o[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~33 0xc0 1.39984e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~34 0xc0 1.31698e+07 0.496094; - u0_m0_wo0_accum_p1_of_2_o[7] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~35 0xc0 1.40938e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~36 0xc0 1.29633e+07 0.501953; - u0_m0_wo0_accum_p1_of_2_o[8] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~37 0xc0 1.40417e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~38 0xc0 1.30635e+07 0.499023; - u0_m0_wo0_accum_p1_of_2_o[9] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~39 0xc0 1.40666e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~40 0xc0 1.30126e+07 0.500488; - u0_m0_wo0_accum_p1_of_2_o[10] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~41 0xc0 1.40539e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~42 0xc0 1.30379e+07 0.499756; - u0_m0_wo0_accum_p1_of_2_o[11] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~43 0xc0 1.40602e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~44 0xc0 1.30252e+07 0.500122; - u0_m0_wo0_accum_p1_of_2_o[12] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~45 0xc0 1.4057e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~46 0xc0 1.30315e+07 0.499939; - u0_m0_wo0_accum_p1_of_2_o[13] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~47 0xc0 1.40586e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~48 0xc0 1.30284e+07 0.500031; - u0_m0_wo0_accum_p1_of_2_o[14] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~49 0xc0 1.40578e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~50 0xc0 1.30299e+07 0.499985; - u0_m0_wo0_accum_p1_of_2_o[15] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~51 0xc0 1.40582e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~52 0xc0 1.30291e+07 0.500008; - u0_m0_wo0_accum_p1_of_2_o[16] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~53 0xc0 1.4058e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~54 0xc0 1.30295e+07 0.499996; - u0_m0_wo0_accum_p1_of_2_o[17] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~55 0xc0 1.40581e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~56 0xc0 1.30293e+07 0.500002; - u0_m0_wo0_accum_p1_of_2_o[18] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~57 0xc0 1.4058e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~58 0xc0 1.30294e+07 0.499999; - u0_m0_wo0_accum_p1_of_2_o[19] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[19]~59 0xc0 1.40581e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[19]~60 0xc0 1.30294e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[20] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[20]~61 0xc0 1.30294e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~11 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~12 0xc0 1.5601e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~13 0xc0 9.3006e+06 0.5; - u0_m0_wo0_accum_p2_of_2_o[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~14 0xc0 1.31258e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~15 0xc0 1.25633e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~16 0xc0 1.39415e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~17 0xc0 1.29711e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~18 0xc0 1.76437e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~19 0xc0 1.84225e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~20 0xc0 1.90065e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~21 0xc0 1.91039e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~22 0xc0 1.91769e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~23 0xc0 1.91891e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~24 0xc0 1.91982e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~25 0xc0 1.91997e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~26 0xc0 1.92008e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~27 0xc0 1.9201e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~28 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~29 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10]~30 0xc0 1.92012e+07 0.5; - u0_m0_wo0_aseq_eq 0xc0 1.92012e+07 0.5; - u0_m0_wo0_aseq_eq~0 0xc0 4.27527e+06 0.0625; - u0_m0_wo0_aseq_eq~1 0xc0 2.36265e+06 0.0625; - u0_m0_wo0_aseq_eq~2 0xc0 632853 0.015625; - u0_m0_wo0_aseq_eq~3 0xc0 9.57628e+06 0.250732; - u0_m0_wo0_ca0_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[0]~15 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[1]~5 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 1.08007e+07 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[2]~7 0xc0 1.74011e+07 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 4.57529e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[3]~9 0xc0 1.72886e+07 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[4]~11 0xc0 2.50235e+07 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[5]~13 0xc0 2.01318e+07 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 1.92012e+07 0.5; - dspba_delay:u0_m0_wo0_compute; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][0] 0xc0 1.92012e+07 0.5; - dspba_delay:u0_m0_wo0_memread; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_component; - mult_ncu:auto_generated; - mac_mult1 0xc0 7.68049e+07 0.5; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT2 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT3 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT4 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT5 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT6 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT7 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT8 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT9 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT10 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT11 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT12 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT13 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT14 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT15 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT16 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT17 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT18 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT19 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT20 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT21 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT22 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT23 0xc0 7.68049e+07 0.5; - result[0] 0xc0 1.92012e+07 0.5; - result[1] 0xc0 1.92012e+07 0.5; - result[2] 0xc0 1.92012e+07 0.5; - result[3] 0xc0 1.92012e+07 0.5; - result[4] 0xc0 1.92012e+07 0.5; - result[5] 0xc0 1.92012e+07 0.5; - result[6] 0xc0 1.92012e+07 0.5; - result[7] 0xc0 1.92012e+07 0.5; - result[8] 0xc0 1.92012e+07 0.5; - result[9] 0xc0 1.92012e+07 0.5; - result[10] 0xc0 1.92012e+07 0.5; - result[11] 0xc0 1.92012e+07 0.5; - result[12] 0xc0 1.92012e+07 0.5; - result[13] 0xc0 1.92012e+07 0.5; - result[14] 0xc0 1.92012e+07 0.5; - result[15] 0xc0 1.92012e+07 0.5; - result[16] 0xc0 1.92012e+07 0.5; - result[17] 0xc0 1.92012e+07 0.5; - result[18] 0xc0 1.92012e+07 0.5; - result[19] 0xc0 1.92012e+07 0.5; - result[20] 0xc0 1.92012e+07 0.5; - result[21] 0xc0 1.92012e+07 0.5; - result[22] 0xc0 1.92012e+07 0.5; - result[23] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_oseq_eq 0xc0 1.92012e+07 0.5; - u0_m0_wo0_oseq_gated_q[0] 0xc0 1.44009e+07 0.25; - u0_m0_wo0_oseq_gated_reg_q[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_run_count[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_run_count[0]~0 0xc0 9.01933e+06 0.5; - u0_m0_wo0_run_count[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_run_enableQ[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_run_enableQ~0 0xc0 9.25978e+06 0.25; - u0_m0_wo0_run_q[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_run_q[0]~feeder 0xc0 1.92012e+07 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_0mn3:auto_generated; - q_b[0] 0xc0 1.92012e+07 0.5; - q_b[1] 0xc0 1.92012e+07 0.5; - q_b[2] 0xc0 1.92012e+07 0.5; - q_b[3] 0xc0 1.92012e+07 0.5; - q_b[4] 0xc0 1.92012e+07 0.5; - q_b[5] 0xc0 1.92012e+07 0.5; - q_b[6] 0xc0 1.92012e+07 0.5; - q_b[7] 0xc0 1.92012e+07 0.5; - q_b[8] 0xc0 1.92012e+07 0.5; - q_b[9] 0xc0 1.92012e+07 0.5; - q_b[10] 0xc0 1.92012e+07 0.5; - q_b[11] 0xc0 1.92012e+07 0.5; - q_b[12] 0xc0 1.92012e+07 0.5; - q_b[13] 0xc0 1.92012e+07 0.5; - q_b[14] 0xc0 1.92012e+07 0.5; - q_b[15] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 1.6201e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 8.94432e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 1.37118e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 1.59315e+07 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 1.49523e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 1.22202e+07 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 1.38979e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 1.36478e+07 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 1.78269e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 1.44009e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 1.5601e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 4.57529e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 1.72886e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 2.50235e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 2.01318e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 5.10033e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 1.75511e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 1.6276e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 2.50891e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 4.21902e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 2.01482e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 1.90934e+07 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 2.81573e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 4.57529e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 0xc0 1.72886e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 0xc0 2.50235e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 0xc0 2.01318e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~15 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~5 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~7 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 4.57529e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~9 0xc0 1.72886e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~11 0xc0 2.50235e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~13 0xc0 2.01318e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 1.92012e+07 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 1.92012e+07 0.5; - data_out[1] 0xc0 1.92012e+07 0.5; - data_out[2] 0xc0 1.92012e+07 0.5; - data_out[3] 0xc0 1.92012e+07 0.5; - data_out[4] 0xc0 1.92012e+07 0.5; - data_out[4]~feeder 0xc0 1.92012e+07 0.5; - data_out[5] 0xc0 1.92012e+07 0.5; - data_out[6] 0xc0 1.92012e+07 0.5; - data_out[7] 0xc0 1.92012e+07 0.5; - data_out[8] 0xc0 1.92012e+07 0.5; - data_out[9] 0xc0 1.92012e+07 0.5; - data_out[10] 0xc0 1.92012e+07 0.5; - data_out[11] 0xc0 1.92012e+07 0.5; - data_out[12] 0xc0 1.92012e+07 0.5; - data_out[13] 0xc0 1.92012e+07 0.5; - data_out[14] 0xc0 1.92012e+07 0.5; - data_out[15] 0xc0 1.92012e+07 0.5; - data_out[16] 0xc0 1.92012e+07 0.5; - data_out[17] 0xc0 1.92012e+07 0.5; - data_out[18] 0xc0 1.92012e+07 0.5; - data_out[19] 0xc0 1.92012e+07 0.5; - data_out[20] 0xc0 1.92012e+07 0.5; - data_out[21] 0xc0 1.92012e+07 0.5; - data_out[22] 0xc0 1.92012e+07 0.5; - data_out[23] 0xc0 1.92012e+07 0.5; - data_out[24] 0xc0 1.92012e+07 0.5; - data_out[25] 0xc0 1.92012e+07 0.5; - data_out[26] 0xc0 1.92012e+07 0.5; - data_out[27] 0xc0 1.92012e+07 0.5; - data_out[27]~feeder 0xc0 1.92012e+07 0.5; - data_out[28] 0xc0 1.92012e+07 0.5; - data_out[28]~feeder 0xc0 1.92012e+07 0.5; - data_out[29] 0xc0 1.92012e+07 0.5; - data_valid 0xc0 1.92012e+07 0.5; - tx_ciccomp:TX_CICCOMP_Q; - tx_ciccomp_0002:tx_ciccomp_inst; - tx_ciccomp_0002_ast:tx_ciccomp_0002_ast_inst; - tx_ciccomp_0002_rtl_core:\real_passthrough:hpfircore_core; - Add6~0 0xc0 1.92012e+07 0.5; - Add6~1 0xc0 1.92012e+07 0.5; - Add6~2 0xc0 1.92012e+07 0.5; - Add6~2_wirecell 0xc0 1.92012e+07 0.5; - Add6~3 0xc0 1.08007e+07 0.25; - Add6~4 0xc0 1.74011e+07 0.5; - Add6~4_wirecell 0xc0 1.74011e+07 0.5; - Add6~5 0xc0 5.10033e+06 0.875; - Add6~6 0xc0 1.75511e+07 0.5; - Add6~6_wirecell 0xc0 1.75511e+07 0.5; - Add6~7 0xc0 1.6276e+07 0.0625; - Add6~8 0xc0 2.50891e+07 0.5; - Add6~8_wirecell 0xc0 2.50891e+07 0.5; - Add6~9 0xc0 4.21902e+06 0.96875; - Add6~10 0xc0 2.01482e+07 0.5; - Add6~10_wirecell 0xc0 2.01482e+07 0.5; - Add6~11 0xc0 1.90934e+07 0.015625; - Add6~12 0xc0 2.81573e+07 0.5; - dspba_delay:d_u0_m0_wo0_accum_p1_of_2_q_17; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][0]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][1] 0xc0 1.92012e+07 0.5; - delay_signals[0][2] 0xc0 1.92012e+07 0.5; - delay_signals[0][3] 0xc0 1.92012e+07 0.5; - delay_signals[0][4] 0xc0 1.92012e+07 0.5; - delay_signals[0][4]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][5] 0xc0 1.92012e+07 0.5; - delay_signals[0][5]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][6] 0xc0 1.92012e+07 0.5; - delay_signals[0][6]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][7] 0xc0 1.92012e+07 0.5; - delay_signals[0][7]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][8] 0xc0 1.92012e+07 0.5; - delay_signals[0][8]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][9] 0xc0 1.92012e+07 0.5; - delay_signals[0][9]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][10] 0xc0 1.92012e+07 0.5; - delay_signals[0][11] 0xc0 1.92012e+07 0.5; - delay_signals[0][12] 0xc0 1.92012e+07 0.5; - delay_signals[0][12]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][13] 0xc0 1.92012e+07 0.5; - delay_signals[0][14] 0xc0 1.92012e+07 0.5; - delay_signals[0][14]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][15] 0xc0 1.92012e+07 0.5; - delay_signals[0][15]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][16] 0xc0 1.92012e+07 0.5; - delay_signals[0][16]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][17] 0xc0 1.92012e+07 0.5; - delay_signals[0][17]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][18] 0xc0 1.92012e+07 0.5; - delay_signals[0][19] 0xc0 1.92012e+07 0.5; - delay_signals[0][19]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_u0_m0_wo0_mtree_mult1_0_q_16; - delay_signals[0][20] 0xc0 1.92012e+07 0.5; - delay_signals[0][21] 0xc0 1.92012e+07 0.5; - delay_signals[0][21]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][22] 0xc0 1.92012e+07 0.5; - delay_signals[0][23] 0xc0 1.92012e+07 0.5; - delay_signals[0][23]~feeder 0xc0 1.92012e+07 0.5; - dspba_delay:d_xIn_0_13; - delay_signals[0][0] 0xc0 1.92012e+07 0.5; - delay_signals[0][1] 0xc0 1.92012e+07 0.5; - delay_signals[0][1]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][2] 0xc0 1.92012e+07 0.5; - delay_signals[0][3] 0xc0 1.92012e+07 0.5; - delay_signals[0][3]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][4] 0xc0 1.92012e+07 0.5; - delay_signals[0][5] 0xc0 1.92012e+07 0.5; - delay_signals[0][5]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][6] 0xc0 1.92012e+07 0.5; - delay_signals[0][6]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][7] 0xc0 1.92012e+07 0.5; - delay_signals[0][7]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][8] 0xc0 1.92012e+07 0.5; - delay_signals[0][8]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][9] 0xc0 1.92012e+07 0.5; - delay_signals[0][9]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][10] 0xc0 1.92012e+07 0.5; - delay_signals[0][11] 0xc0 1.92012e+07 0.5; - delay_signals[0][11]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][12] 0xc0 1.92012e+07 0.5; - delay_signals[0][12]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][13] 0xc0 1.92012e+07 0.5; - delay_signals[0][13]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[0][14] 0xc0 1.92012e+07 0.5; - delay_signals[0][15] 0xc0 1.92012e+07 0.5; - delay_signals[0][15]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][0] 0xc0 1.92012e+07 0.5; - delay_signals[1][1] 0xc0 1.92012e+07 0.5; - delay_signals[1][2] 0xc0 1.92012e+07 0.5; - delay_signals[1][2]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][3] 0xc0 1.92012e+07 0.5; - delay_signals[1][3]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][4] 0xc0 1.92012e+07 0.5; - delay_signals[1][4]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][5] 0xc0 1.92012e+07 0.5; - delay_signals[1][6] 0xc0 1.92012e+07 0.5; - delay_signals[1][6]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][7] 0xc0 1.92012e+07 0.5; - delay_signals[1][8] 0xc0 1.92012e+07 0.5; - delay_signals[1][8]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][9] 0xc0 1.92012e+07 0.5; - delay_signals[1][9]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][10] 0xc0 1.92012e+07 0.5; - delay_signals[1][11] 0xc0 1.92012e+07 0.5; - delay_signals[1][11]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][12] 0xc0 1.92012e+07 0.5; - delay_signals[1][12]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][13] 0xc0 1.92012e+07 0.5; - delay_signals[1][13]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][14] 0xc0 1.92012e+07 0.5; - delay_signals[1][14]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[1][15] 0xc0 1.92012e+07 0.5; - delay_signals[1][15]~feeder 0xc0 1.92012e+07 0.5; - delay_signals[2][0] 0xc0 1.92012e+07 0.5; - delay_signals[2][0]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][1] 0xc0 1.92012e+07 0.5; - delay_signals[2][1]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][2] 0xc0 1.92012e+07 0.5; - delay_signals[2][3] 0xc0 1.92012e+07 0.5; - delay_signals[2][3]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][4] 0xc0 1.92012e+07 0.5; - delay_signals[2][5] 0xc0 1.92012e+07 0.5; - delay_signals[2][5]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][6] 0xc0 1.92012e+07 0.5; - delay_signals[2][6]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][7] 0xc0 1.92012e+07 0.5; - delay_signals[2][7]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][8] 0xc0 1.92012e+07 0.5; - delay_signals[2][8]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][9] 0xc0 1.92012e+07 0.5; - delay_signals[2][9]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][10] 0xc0 1.92012e+07 0.5; - delay_signals[2][10]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][11] 0xc0 1.92012e+07 0.5; - delay_signals[2][12] 0xc0 1.92012e+07 0.5; - delay_signals[2][12]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][13] 0xc0 1.92012e+07 0.5; - delay_signals[2][13]~feeder 0xc0 3.125e+06 0.5; - delay_signals[2][14] 0xc0 1.92012e+07 0.5; - delay_signals[2][15] 0xc0 1.92012e+07 0.5; - delay_signals[2][15]~feeder 0xc0 3.125e+06 0.5; - Mux2~0 0xc0 1.48603e+07 0.125; - Mux2~1 0xc0 2.4707e+07 0.28125; - Mux3~0 0xc0 7.21452e+06 0.4375; - Mux3~1 0xc0 7.21452e+06 0.4375; - Mux3~2 0xc0 1.51198e+07 0.40625; - Mux3~3 0xc0 2.76864e+07 0.382813; - Mux4~0 0xc0 1.08757e+07 0.5; - Mux4~1 0xc0 7.24734e+06 0.5; - Mux4~2 0xc0 1.50393e+07 0.390625; - Mux4~3 0xc0 1.99845e+07 0.359863; - Mux5~0 0xc0 8.94432e+06 0.5625; - Mux5~1 0xc0 7.21452e+06 0.5625; - Mux5~2 0xc0 1.68556e+07 0.375; - Mux5~3 0xc0 1.99276e+07 0.335938; - Mux6~0 0xc0 8.52242e+06 0.375; - Mux6~1 0xc0 8.52242e+06 0.625; - Mux6~2 0xc0 1.91842e+07 0.421875; - Mux6~3 0xc0 1.47046e+07 0.404785; - Mux7~0 0xc0 7.97395e+06 0.4375; - Mux7~1 0xc0 1.01256e+07 0.5625; - Mux7~2 0xc0 1.8159e+07 0.375; - Mux7~3 0xc0 3.39019e+07 0.335938; - Mux8~0 0xc0 8.38179e+06 0.4375; - Mux8~1 0xc0 7.63643e+06 0.5625; - Mux8~2 0xc0 2.75113e+07 0.390625; - Mux8~3 0xc0 1.21151e+07 0.353027; - Mux9~0 0xc0 5.23158e+06 0.25; - Mux9~1 0xc0 7.763e+06 0.75; - Mux9~2 0xc0 1.7298e+06 0.0625; - Mux9~3 0xc0 1.02013e+07 0.328125; - Mux9~4 0xc0 2.36265e+06 0.0625; - Mux9~5 0xc0 1.33417e+07 0.258301; - Mux9~6 0xc0 4.57529e+06 0.125; - Mux9~7 0xc0 5.70036e+06 0.125; - u0_m0_wo0_accum_p1_of_2_o[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~21 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[0]~22 0xc0 1.08007e+07 0.25; - u0_m0_wo0_accum_p1_of_2_o[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~23 0xc0 1.6201e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[1]~24 0xc0 8.94432e+06 0.625; - u0_m0_wo0_accum_p1_of_2_o[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~25 0xc0 1.37118e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[2]~26 0xc0 1.59315e+07 0.4375; - u0_m0_wo0_accum_p1_of_2_o[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~27 0xc0 1.49523e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[3]~28 0xc0 1.22202e+07 0.53125; - u0_m0_wo0_accum_p1_of_2_o[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~29 0xc0 1.38979e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[4]~30 0xc0 1.36478e+07 0.484375; - u0_m0_wo0_accum_p1_of_2_o[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~31 0xc0 1.42232e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[5]~32 0xc0 1.27803e+07 0.507813; - u0_m0_wo0_accum_p1_of_2_o[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~33 0xc0 1.39984e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[6]~34 0xc0 1.31698e+07 0.496094; - u0_m0_wo0_accum_p1_of_2_o[7] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~35 0xc0 1.40938e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[7]~36 0xc0 1.29633e+07 0.501953; - u0_m0_wo0_accum_p1_of_2_o[8] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~37 0xc0 1.40417e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[8]~38 0xc0 1.30635e+07 0.499023; - u0_m0_wo0_accum_p1_of_2_o[9] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~39 0xc0 1.40666e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[9]~40 0xc0 1.30126e+07 0.500488; - u0_m0_wo0_accum_p1_of_2_o[10] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~41 0xc0 1.40539e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[10]~42 0xc0 1.30379e+07 0.499756; - u0_m0_wo0_accum_p1_of_2_o[11] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~43 0xc0 1.40602e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[11]~44 0xc0 1.30252e+07 0.500122; - u0_m0_wo0_accum_p1_of_2_o[12] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~45 0xc0 1.4057e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[12]~46 0xc0 1.30315e+07 0.499939; - u0_m0_wo0_accum_p1_of_2_o[13] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~47 0xc0 1.40586e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[13]~48 0xc0 1.30284e+07 0.500031; - u0_m0_wo0_accum_p1_of_2_o[14] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~49 0xc0 1.40578e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[14]~50 0xc0 1.30299e+07 0.499985; - u0_m0_wo0_accum_p1_of_2_o[15] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~51 0xc0 1.40582e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[15]~52 0xc0 1.30291e+07 0.500008; - u0_m0_wo0_accum_p1_of_2_o[16] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~53 0xc0 1.4058e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[16]~54 0xc0 1.30295e+07 0.499996; - u0_m0_wo0_accum_p1_of_2_o[17] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~55 0xc0 1.40581e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[17]~56 0xc0 1.30293e+07 0.500002; - u0_m0_wo0_accum_p1_of_2_o[18] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~57 0xc0 1.4058e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[18]~58 0xc0 1.30294e+07 0.499999; - u0_m0_wo0_accum_p1_of_2_o[19] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[19]~59 0xc0 1.40581e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[19]~60 0xc0 1.30294e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[20] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p1_of_2_o[20]~61 0xc0 1.30294e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~11 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~12 0xc0 1.5601e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[1]~13 0xc0 9.3006e+06 0.5; - u0_m0_wo0_accum_p2_of_2_o[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~14 0xc0 1.31258e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[2]~15 0xc0 1.25633e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~16 0xc0 1.39415e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[3]~17 0xc0 1.29711e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~18 0xc0 1.76437e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[4]~19 0xc0 1.84225e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~20 0xc0 1.90065e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[5]~21 0xc0 1.91039e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~22 0xc0 1.91769e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[6]~23 0xc0 1.91891e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~24 0xc0 1.91982e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[7]~25 0xc0 1.91997e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~26 0xc0 1.92008e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[8]~27 0xc0 1.9201e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~28 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[9]~29 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_accum_p2_of_2_o[10]~30 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[0]~15 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[1]~5 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[1]~6 0xc0 1.08007e+07 0.25; - u0_m0_wo0_ca0_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[2]~7 0xc0 1.74011e+07 0.5; - u0_m0_wo0_ca0_i[2]~8 0xc0 4.57529e+06 0.875; - u0_m0_wo0_ca0_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[3]~9 0xc0 1.72886e+07 0.5; - u0_m0_wo0_ca0_i[3]~10 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_ca0_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[4]~11 0xc0 2.50235e+07 0.5; - u0_m0_wo0_ca0_i[4]~12 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_ca0_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_ca0_i[5]~13 0xc0 2.01318e+07 0.5; - u0_m0_wo0_cm0_q[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_cm0_q[7] 0xc0 1.92012e+07 0.5; - lpm_mult:u0_m0_wo0_mtree_mult1_0_component; - mult_ncu:auto_generated; - mac_mult1 0xc0 7.68049e+07 0.5; - mac_mult1~12 0xc0 0 0; - mac_mult1~13 0xc0 0 0; - mac_mult1~14 0xc0 0 0; - mac_mult1~15 0xc0 0 0; - mac_mult1~16 0xc0 0 0; - mac_mult1~17 0xc0 0 0; - mac_mult1~18 0xc0 0 0; - mac_mult1~19 0xc0 0 0; - mac_mult1~20 0xc0 0 0; - mac_mult1~21 0xc0 0 0; - mac_mult1~22 0xc0 0 0; - mac_mult1~23 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT2 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT3 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT4 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT5 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT6 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT7 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT8 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT9 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT10 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT11 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT12 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT13 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT14 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT15 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT16 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT17 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT18 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT19 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT20 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT21 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT22 0xc0 7.68049e+07 0.5; - mac_mult1~DATAOUT23 0xc0 7.68049e+07 0.5; - result[0] 0xc0 1.92012e+07 0.5; - result[1] 0xc0 1.92012e+07 0.5; - result[2] 0xc0 1.92012e+07 0.5; - result[3] 0xc0 1.92012e+07 0.5; - result[4] 0xc0 1.92012e+07 0.5; - result[5] 0xc0 1.92012e+07 0.5; - result[6] 0xc0 1.92012e+07 0.5; - result[7] 0xc0 1.92012e+07 0.5; - result[8] 0xc0 1.92012e+07 0.5; - result[9] 0xc0 1.92012e+07 0.5; - result[10] 0xc0 1.92012e+07 0.5; - result[11] 0xc0 1.92012e+07 0.5; - result[12] 0xc0 1.92012e+07 0.5; - result[13] 0xc0 1.92012e+07 0.5; - result[14] 0xc0 1.92012e+07 0.5; - result[15] 0xc0 1.92012e+07 0.5; - result[16] 0xc0 1.92012e+07 0.5; - result[17] 0xc0 1.92012e+07 0.5; - result[18] 0xc0 1.92012e+07 0.5; - result[19] 0xc0 1.92012e+07 0.5; - result[20] 0xc0 1.92012e+07 0.5; - result[21] 0xc0 1.92012e+07 0.5; - result[22] 0xc0 1.92012e+07 0.5; - result[23] 0xc0 1.92012e+07 0.5; - altsyncram:u0_m0_wo0_wi0_r0_memr0_dmem; - altsyncram_0mn3:auto_generated; - q_b[0] 0xc0 1.92012e+07 0.5; - q_b[1] 0xc0 1.92012e+07 0.5; - q_b[2] 0xc0 1.92012e+07 0.5; - q_b[3] 0xc0 1.92012e+07 0.5; - q_b[4] 0xc0 1.92012e+07 0.5; - q_b[5] 0xc0 1.92012e+07 0.5; - q_b[6] 0xc0 1.92012e+07 0.5; - q_b[7] 0xc0 1.92012e+07 0.5; - q_b[8] 0xc0 1.92012e+07 0.5; - q_b[9] 0xc0 1.92012e+07 0.5; - q_b[10] 0xc0 1.92012e+07 0.5; - q_b[11] 0xc0 1.92012e+07 0.5; - q_b[12] 0xc0 1.92012e+07 0.5; - q_b[13] 0xc0 1.92012e+07 0.5; - q_b[14] 0xc0 1.92012e+07 0.5; - q_b[15] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~6 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[0]~7 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~8 0xc0 1.6201e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[1]~9 0xc0 8.94432e+06 0.625; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~10 0xc0 1.37118e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[2]~11 0xc0 1.59315e+07 0.4375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~12 0xc0 1.49523e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[3]~13 0xc0 1.22202e+07 0.53125; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~14 0xc0 1.38979e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[4]~15 0xc0 1.36478e+07 0.484375; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_add_0_0_o[5]~16 0xc0 1.42232e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_clkproc~0 0xc0 1.44009e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[0]~5 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~6 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[1]~7 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~8 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[2]~9 0xc0 4.57529e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~10 0xc0 1.72886e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[3]~11 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~12 0xc0 2.50235e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[4]~13 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_i[5]~14 0xc0 2.01318e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~7 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[0]~8 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~9 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[1]~10 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~11 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[2]~12 0xc0 5.10033e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~13 0xc0 1.75511e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[3]~14 0xc0 1.6276e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~15 0xc0 2.50891e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[4]~16 0xc0 4.21902e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~17 0xc0 2.01482e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[5]~18 0xc0 1.90934e+07 0.015625; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count0_inner_i[6]~19 0xc0 2.81573e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[0]~15 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~5 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[1]~6 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_ra0_count1_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~7 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[2]~8 0xc0 4.57529e+06 0.875; - u0_m0_wo0_wi0_r0_ra0_count1_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~9 0xc0 1.72886e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[3]~10 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_wi0_r0_ra0_count1_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~11 0xc0 2.50235e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[4]~12 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_wi0_r0_ra0_count1_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_ra0_count1_i[5]~13 0xc0 2.01318e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~15 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[0]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~5 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[1]~6 0xc0 1.08007e+07 0.25; - u0_m0_wo0_wi0_r0_wa0_i[1]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~7 0xc0 1.74011e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[2]~8 0xc0 4.57529e+06 0.875; - u0_m0_wo0_wi0_r0_wa0_i[2]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~9 0xc0 1.72886e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[3]~10 0xc0 1.61448e+07 0.0625; - u0_m0_wo0_wi0_r0_wa0_i[3]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~11 0xc0 2.50235e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[4]~12 0xc0 4.18621e+06 0.96875; - u0_m0_wo0_wi0_r0_wa0_i[4]~_wirecell 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5] 0xc0 1.92012e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~13 0xc0 2.01318e+07 0.5; - u0_m0_wo0_wi0_r0_wa0_i[5]~_wirecell 0xc0 1.92012e+07 0.5; - auk_dspip_avalon_streaming_sink_hpfir:sink; - auk_dspip_avalon_streaming_source_hpfir:source; - data_out[0] 0xc0 1.92012e+07 0.5; - data_out[1] 0xc0 1.92012e+07 0.5; - data_out[2] 0xc0 1.92012e+07 0.5; - data_out[3] 0xc0 1.92012e+07 0.5; - data_out[4] 0xc0 1.92012e+07 0.5; - data_out[5] 0xc0 1.92012e+07 0.5; - data_out[6] 0xc0 1.92012e+07 0.5; - data_out[7] 0xc0 1.92012e+07 0.5; - data_out[8] 0xc0 1.92012e+07 0.5; - data_out[9] 0xc0 1.92012e+07 0.5; - data_out[10] 0xc0 1.92012e+07 0.5; - data_out[11] 0xc0 1.92012e+07 0.5; - data_out[12] 0xc0 1.92012e+07 0.5; - data_out[12]~feeder 0xc0 1.92012e+07 0.5; - data_out[13] 0xc0 1.92012e+07 0.5; - data_out[14] 0xc0 1.92012e+07 0.5; - data_out[15] 0xc0 1.92012e+07 0.5; - data_out[16] 0xc0 1.92012e+07 0.5; - data_out[17] 0xc0 1.92012e+07 0.5; - data_out[18] 0xc0 1.92012e+07 0.5; - data_out[19] 0xc0 1.92012e+07 0.5; - data_out[20] 0xc0 1.92012e+07 0.5; - data_out[21] 0xc0 1.92012e+07 0.5; - data_out[22] 0xc0 1.92012e+07 0.5; - data_out[23] 0xc0 1.92012e+07 0.5; - data_out[24] 0xc0 1.92012e+07 0.5; - data_out[24]~feeder 0xc0 1.92012e+07 0.5; - data_out[25] 0xc0 1.92012e+07 0.5; - data_out[25]~feeder 0xc0 1.92012e+07 0.5; - data_out[26] 0xc0 1.92012e+07 0.5; - data_out[26]~feeder 0xc0 1.92012e+07 0.5; - data_out[27] 0xc0 1.92012e+07 0.5; - data_out[27]~feeder 0xc0 1.92012e+07 0.5; - data_out[28] 0xc0 1.92012e+07 0.5; - data_out[29] 0xc0 1.92012e+07 0.5; - tx_mixer:TX_MIXER_I; - lpm_mult:lpm_mult_component; - mult_abt:auto_generated; - mac_mult1 0xc0 3.84025e+07 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT23 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT24 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT25 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT26 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT27 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT28 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT29 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT30 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT31 0xc0 3.84025e+07 0.5; - result[0] 0xc0 1.92012e+07 0.5; - result[1] 0xc0 1.92012e+07 0.5; - result[2] 0xc0 1.92012e+07 0.5; - result[3] 0xc0 1.92012e+07 0.5; - result[4] 0xc0 1.92012e+07 0.5; - result[5] 0xc0 1.92012e+07 0.5; - result[6] 0xc0 1.92012e+07 0.5; - result[7] 0xc0 1.92012e+07 0.5; - result[8] 0xc0 1.92012e+07 0.5; - result[9] 0xc0 1.92012e+07 0.5; - result[10] 0xc0 1.92012e+07 0.5; - result[11] 0xc0 1.92012e+07 0.5; - result[12] 0xc0 1.92012e+07 0.5; - result[13] 0xc0 1.92012e+07 0.5; - result[14] 0xc0 1.92012e+07 0.5; - result[15] 0xc0 1.92012e+07 0.5; - result[16] 0xc0 1.92012e+07 0.5; - result[17] 0xc0 1.92012e+07 0.5; - result[18] 0xc0 1.92012e+07 0.5; - result[19] 0xc0 1.92012e+07 0.5; - result[20] 0xc0 1.92012e+07 0.5; - result[21] 0xc0 1.92012e+07 0.5; - result[22] 0xc0 1.92012e+07 0.5; - result[23] 0xc0 1.92012e+07 0.5; - result[24] 0xc0 1.92012e+07 0.5; - result[25] 0xc0 1.92012e+07 0.5; - result[26] 0xc0 1.92012e+07 0.5; - result[27] 0xc0 1.92012e+07 0.5; - result[28] 0xc0 1.92012e+07 0.5; - result[29] 0xc0 1.92012e+07 0.5; - result[30] 0xc0 1.92012e+07 0.5; - result[31] 0xc0 1.92012e+07 0.5; - tx_mixer:TX_MIXER_Q; - lpm_mult:lpm_mult_component; - mult_abt:auto_generated; - mac_mult1 0xc0 3.84025e+07 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT2 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT3 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT4 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT5 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT6 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT7 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT8 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT9 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT10 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT11 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT12 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT13 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT14 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT15 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT16 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT17 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT18 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT19 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT20 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT21 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT22 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT23 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT24 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT25 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT26 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT27 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT28 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT29 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT30 0xc0 3.84025e+07 0.5; - mac_mult1~DATAOUT31 0xc0 3.84025e+07 0.5; - result[0] 0xc0 1.92012e+07 0.5; - result[1] 0xc0 1.92012e+07 0.5; - result[2] 0xc0 1.92012e+07 0.5; - result[3] 0xc0 1.92012e+07 0.5; - result[4] 0xc0 1.92012e+07 0.5; - result[5] 0xc0 1.92012e+07 0.5; - result[6] 0xc0 1.92012e+07 0.5; - result[7] 0xc0 1.92012e+07 0.5; - result[8] 0xc0 1.92012e+07 0.5; - result[9] 0xc0 1.92012e+07 0.5; - result[10] 0xc0 1.92012e+07 0.5; - result[11] 0xc0 1.92012e+07 0.5; - result[12] 0xc0 1.92012e+07 0.5; - result[13] 0xc0 1.92012e+07 0.5; - result[14] 0xc0 1.92012e+07 0.5; - result[15] 0xc0 1.92012e+07 0.5; - result[16] 0xc0 1.92012e+07 0.5; - result[17] 0xc0 1.92012e+07 0.5; - result[18] 0xc0 1.92012e+07 0.5; - result[19] 0xc0 1.92012e+07 0.5; - result[20] 0xc0 1.92012e+07 0.5; - result[21] 0xc0 1.92012e+07 0.5; - result[22] 0xc0 1.92012e+07 0.5; - result[23] 0xc0 1.92012e+07 0.5; - result[24] 0xc0 1.92012e+07 0.5; - result[25] 0xc0 1.92012e+07 0.5; - result[26] 0xc0 1.92012e+07 0.5; - result[27] 0xc0 1.92012e+07 0.5; - result[28] 0xc0 1.92012e+07 0.5; - result[29] 0xc0 1.92012e+07 0.5; - result[30] 0xc0 1.92012e+07 0.5; - result[31] 0xc0 1.92012e+07 0.5; - tx_nco:TX_NCO; - tx_nco_nco_ii_0:nco_ii_0; - asj_nco_mob_w:blk0; - Equal0~0 0xc0 2.36265e+06 0.0625; - Equal0~1 0xc0 1.08007e+07 0.25; - Equal0~2 0xc0 42808.2 0.00390625; - Equal0~3 0xc0 2.36265e+06 0.0625; - Equal0~4 0xc0 2.36265e+06 0.0625; - Equal0~5 0xc0 16681.7 0.999992; - add_one 0xc0 1.44009e+07 0.25; - data_tmp[0] 0xc0 1.92012e+07 0.5; - data_tmp[1] 0xc0 1.92012e+07 0.5; - data_tmp[2] 0xc0 1.92012e+07 0.5; - data_tmp[3] 0xc0 1.92012e+07 0.5; - data_tmp[4] 0xc0 1.92012e+07 0.5; - data_tmp[5] 0xc0 1.92012e+07 0.5; - data_tmp[6] 0xc0 1.92012e+07 0.5; - data_tmp[7] 0xc0 1.92012e+07 0.5; - data_tmp[8] 0xc0 1.92012e+07 0.5; - data_tmp[9] 0xc0 1.92012e+07 0.5; - data_tmp[10] 0xc0 1.92012e+07 0.5; - data_tmp[11] 0xc0 1.92012e+07 0.5; - data_tmp[12] 0xc0 1.92012e+07 0.5; - data_tmp[13] 0xc0 1.92012e+07 0.5; - data_tmp[14] 0xc0 1.92012e+07 0.5; - data_tmp[15] 0xc0 1.92012e+07 0.5; - is_zero 0xc0 1.92012e+07 0.5; - lpm_add_sub:lpm_add_sub_component; - add_sub_jpk:auto_generated; - pipeline_dffe[0]~16 0xc0 1.92012e+07 0.5; - pipeline_dffe[0]~17 0xc0 8.40054e+06 0.125; - pipeline_dffe[1]~18 0xc0 1.92012e+07 0.5; - pipeline_dffe[1]~19 0xc0 2.92519e+06 0.9375; - pipeline_dffe[2]~20 0xc0 1.84137e+07 0.5; - pipeline_dffe[2]~21 0xc0 1.76824e+07 0.03125; - pipeline_dffe[3]~22 0xc0 2.68798e+07 0.5; - pipeline_dffe[3]~23 0xc0 4.4581e+06 0.984375; - pipeline_dffe[4]~24 0xc0 2.08396e+07 0.5; - pipeline_dffe[4]~25 0xc0 1.97251e+07 0.0078125; - pipeline_dffe[5]~26 0xc0 2.87661e+07 0.5; - pipeline_dffe[5]~27 0xc0 4.93362e+06 0.996094; - pipeline_dffe[6]~28 0xc0 2.15186e+07 0.5; - pipeline_dffe[6]~29 0xc0 2.02852e+07 0.00195313; - pipeline_dffe[7]~30 0xc0 2.9269e+07 0.5; - pipeline_dffe[7]~31 0xc0 5.07145e+06 0.999023; - pipeline_dffe[8]~32 0xc0 2.16995e+07 0.5; - pipeline_dffe[8]~33 0xc0 2.04316e+07 0.000488281; - pipeline_dffe[9]~34 0xc0 2.93983e+07 0.5; - pipeline_dffe[9]~35 0xc0 5.10792e+06 0.999756; - pipeline_dffe[10]~36 0xc0 2.17458e+07 0.5; - pipeline_dffe[10]~37 0xc0 2.04688e+07 0.00012207; - pipeline_dffe[11]~38 0xc0 2.9431e+07 0.5; - pipeline_dffe[11]~39 0xc0 5.11721e+06 0.999939; - pipeline_dffe[12]~40 0xc0 2.17575e+07 0.5; - pipeline_dffe[12]~41 0xc0 2.04782e+07 3.05176e-05; - pipeline_dffe[13]~42 0xc0 2.94392e+07 0.5; - pipeline_dffe[13]~43 0xc0 5.11955e+06 0.999985; - pipeline_dffe[14]~44 0xc0 2.17604e+07 0.5; - pipeline_dffe[14]~45 0xc0 2.04805e+07 7.62939e-06; - pipeline_dffe[15]~46 0xc0 2.94412e+07 0.5; - asj_nco_mob_w:blk1; - Equal0~0 0xc0 2.36265e+06 0.0625; - Equal0~1 0xc0 1.08007e+07 0.25; - Equal0~2 0xc0 46159.3 0.00390625; - Equal0~3 0xc0 2.36265e+06 0.0625; - Equal0~4 0xc0 2.36265e+06 0.0625; - Equal0~5 0xc0 3.36133 0.999992; - add_one 0xc0 1.44009e+07 0.25; - data_tmp[0] 0xc0 1.92012e+07 0.5; - data_tmp[1] 0xc0 1.92012e+07 0.5; - data_tmp[2] 0xc0 1.92012e+07 0.5; - data_tmp[3] 0xc0 1.92012e+07 0.5; - data_tmp[4] 0xc0 1.92012e+07 0.5; - data_tmp[5] 0xc0 1.92012e+07 0.5; - data_tmp[6] 0xc0 1.92012e+07 0.5; - data_tmp[7] 0xc0 1.92012e+07 0.5; - data_tmp[8] 0xc0 1.92012e+07 0.5; - data_tmp[9] 0xc0 1.92012e+07 0.5; - data_tmp[10] 0xc0 1.92012e+07 0.5; - data_tmp[11] 0xc0 1.92012e+07 0.5; - data_tmp[12] 0xc0 1.92012e+07 0.5; - data_tmp[13] 0xc0 1.92012e+07 0.5; - data_tmp[14] 0xc0 1.92012e+07 0.5; - data_tmp[15] 0xc0 1.92012e+07 0.5; - is_zero 0xc0 1.92012e+07 0.5; - lpm_add_sub:lpm_add_sub_component; - add_sub_jpk:auto_generated; - pipeline_dffe[0]~16 0xc0 1.92012e+07 0.5; - pipeline_dffe[0]~17 0xc0 8.40054e+06 0.125; - pipeline_dffe[1]~18 0xc0 1.92012e+07 0.5; - pipeline_dffe[1]~19 0xc0 2.92519e+06 0.9375; - pipeline_dffe[2]~20 0xc0 1.84137e+07 0.5; - pipeline_dffe[2]~21 0xc0 1.76824e+07 0.03125; - pipeline_dffe[3]~22 0xc0 2.68798e+07 0.5; - pipeline_dffe[3]~23 0xc0 4.4581e+06 0.984375; - pipeline_dffe[4]~24 0xc0 2.08396e+07 0.5; - pipeline_dffe[4]~25 0xc0 1.97251e+07 0.0078125; - pipeline_dffe[5]~26 0xc0 2.87661e+07 0.5; - pipeline_dffe[5]~27 0xc0 4.93362e+06 0.996094; - pipeline_dffe[6]~28 0xc0 2.15186e+07 0.5; - pipeline_dffe[6]~29 0xc0 2.02852e+07 0.00195313; - pipeline_dffe[7]~30 0xc0 2.9269e+07 0.5; - pipeline_dffe[7]~31 0xc0 5.07145e+06 0.999023; - pipeline_dffe[8]~32 0xc0 2.16995e+07 0.5; - pipeline_dffe[8]~33 0xc0 2.04316e+07 0.000488281; - pipeline_dffe[9]~34 0xc0 2.93983e+07 0.5; - pipeline_dffe[9]~35 0xc0 5.10792e+06 0.999756; - pipeline_dffe[10]~36 0xc0 2.17458e+07 0.5; - pipeline_dffe[10]~37 0xc0 2.04688e+07 0.00012207; - pipeline_dffe[11]~38 0xc0 2.9431e+07 0.5; - pipeline_dffe[11]~39 0xc0 5.11721e+06 0.999939; - pipeline_dffe[12]~40 0xc0 2.17575e+07 0.5; - pipeline_dffe[12]~41 0xc0 2.04782e+07 3.05176e-05; - pipeline_dffe[13]~42 0xc0 2.94392e+07 0.5; - pipeline_dffe[13]~43 0xc0 5.11955e+06 0.999985; - pipeline_dffe[14]~44 0xc0 2.17604e+07 0.5; - pipeline_dffe[14]~45 0xc0 2.04805e+07 7.62939e-06; - pipeline_dffe[15]~46 0xc0 2.94412e+07 0.5; - asj_nco_mady_cen:m0; - lpm_mult:Mult0; - mult_36t:auto_generated; - mac_mult1 0xc0 4.80031e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT31 0xc0 4.80031e+06 0.5; - mac_out2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT31 0xc0 4.80031e+06 0.5; - lpm_mult:Mult1; - mult_36t:auto_generated; - mac_mult1 0xc0 4.80031e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT31 0xc0 4.80031e+06 0.5; - mac_out2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT31 0xc0 4.80031e+06 0.5; - out[15] 0xc0 1.92012e+07 0.5; - out[15]~18 0xc0 2.47516e+06 0.25; - out[15]~20 0xc0 1.89746e+06 0.625; - out[15]~22 0xc0 3.52567e+06 0.4375; - out[15]~24 0xc0 2.68324e+06 0.53125; - out[15]~26 0xc0 3.01258e+06 0.484375; - out[15]~28 0xc0 2.81434e+06 0.507813; - out[15]~30 0xc0 2.90374e+06 0.496094; - out[15]~32 0xc0 2.85644e+06 0.501953; - out[15]~34 0xc0 2.87942e+06 0.499023; - out[15]~36 0xc0 2.86776e+06 0.500488; - out[15]~38 0xc0 2.87355e+06 0.499756; - out[15]~40 0xc0 2.87065e+06 0.500122; - out[15]~42 0xc0 2.8721e+06 0.499939; - out[15]~44 0xc0 2.87137e+06 0.500031; - out[15]~46 0xc0 2.87173e+06 0.499985; - out[15]~47 0xc0 3.19309e+06 0.5; - out[15]~48 0xc0 2.87155e+06 0.500008; - out[16] 0xc0 1.92012e+07 0.5; - out[16]~49 0xc0 3.19305e+06 0.5; - out[16]~50 0xc0 2.87164e+06 0.499996; - out[17] 0xc0 1.92012e+07 0.5; - out[17]~51 0xc0 3.19307e+06 0.5; - out[17]~52 0xc0 2.8716e+06 0.500002; - out[18] 0xc0 1.92012e+07 0.5; - out[18]~53 0xc0 3.19306e+06 0.5; - out[18]~54 0xc0 2.87162e+06 0.499999; - out[19] 0xc0 1.92012e+07 0.5; - out[19]~55 0xc0 3.19306e+06 0.5; - out[19]~56 0xc0 2.87161e+06 0.5; - out[20] 0xc0 1.92012e+07 0.5; - out[20]~57 0xc0 3.19306e+06 0.5; - out[20]~58 0xc0 2.87161e+06 0.5; - out[21] 0xc0 1.92012e+07 0.5; - out[21]~59 0xc0 3.19306e+06 0.5; - out[21]~60 0xc0 2.87161e+06 0.5; - out[22] 0xc0 1.92012e+07 0.5; - out[22]~61 0xc0 3.19306e+06 0.5; - out[22]~62 0xc0 2.87161e+06 0.5; - out[23] 0xc0 1.92012e+07 0.5; - out[23]~63 0xc0 3.19306e+06 0.5; - out[23]~64 0xc0 2.87161e+06 0.5; - out[24] 0xc0 1.92012e+07 0.5; - out[24]~65 0xc0 3.19306e+06 0.5; - out[24]~66 0xc0 2.87161e+06 0.5; - out[25] 0xc0 1.92012e+07 0.5; - out[25]~67 0xc0 3.19306e+06 0.5; - out[25]~68 0xc0 2.87161e+06 0.5; - out[26] 0xc0 1.92012e+07 0.5; - out[26]~69 0xc0 3.19306e+06 0.5; - out[26]~70 0xc0 2.87161e+06 0.5; - out[27] 0xc0 1.92012e+07 0.5; - out[27]~71 0xc0 3.19306e+06 0.5; - out[27]~72 0xc0 2.87161e+06 0.5; - out[28] 0xc0 1.92012e+07 0.5; - out[28]~73 0xc0 3.19306e+06 0.5; - out[28]~74 0xc0 2.87161e+06 0.5; - out[29] 0xc0 1.92012e+07 0.5; - out[29]~75 0xc0 3.19306e+06 0.5; - out[29]~76 0xc0 2.87161e+06 0.5; - out[30] 0xc0 1.92012e+07 0.5; - out[30]~77 0xc0 3.19306e+06 0.5; - out[30]~78 0xc0 2.87161e+06 0.5; - out[31] 0xc0 1.92012e+07 0.5; - out[31]~79 0xc0 4.31813e+06 0.5; - asj_nco_madx_cen:m1; - lpm_mult:Mult0; - mult_36t:auto_generated; - mac_mult1 0xc0 4.80031e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT31 0xc0 4.80031e+06 0.5; - mac_out2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT31 0xc0 4.80031e+06 0.5; - lpm_mult:Mult1; - mult_36t:auto_generated; - mac_mult1 0xc0 4.80031e+06 0.5; - mac_mult1~0 0xc0 0 0; - mac_mult1~1 0xc0 0 0; - mac_mult1~2 0xc0 0 0; - mac_mult1~3 0xc0 0 0; - mac_mult1~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_mult1~DATAOUT31 0xc0 4.80031e+06 0.5; - mac_out2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT1 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT2 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT3 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT4 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT5 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT6 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT7 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT8 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT9 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT10 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT11 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT12 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT13 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT14 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT15 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT16 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT17 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT18 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT19 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT20 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT21 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT22 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT23 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT24 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT25 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT26 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT27 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT28 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT29 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT30 0xc0 4.80031e+06 0.5; - mac_out2~DATAOUT31 0xc0 4.80031e+06 0.5; - out[15] 0xc0 1.92012e+07 0.5; - out[15]~18 0xc0 2.47516e+06 0.75; - out[15]~20 0xc0 1.89746e+06 0.375; - out[15]~22 0xc0 2.2881e+06 0.5625; - out[15]~24 0xc0 3.14733e+06 0.46875; - out[15]~26 0xc0 2.76119e+06 0.515625; - out[15]~28 0xc0 2.93761e+06 0.492188; - out[15]~30 0xc0 2.8418e+06 0.503906; - out[15]~32 0xc0 2.88738e+06 0.498047; - out[15]~34 0xc0 2.86395e+06 0.500977; - out[15]~36 0xc0 2.8755e+06 0.499512; - out[15]~38 0xc0 2.86968e+06 0.500244; - out[15]~40 0xc0 2.87258e+06 0.499878; - out[15]~42 0xc0 2.87113e+06 0.500061; - out[15]~44 0xc0 2.87185e+06 0.499969; - out[15]~46 0xc0 2.87149e+06 0.500015; - out[15]~47 0xc0 3.19303e+06 0.5; - out[15]~48 0xc0 2.87167e+06 0.499992; - out[16] 0xc0 1.92012e+07 0.5; - out[16]~49 0xc0 3.19308e+06 0.5; - out[16]~50 0xc0 2.87158e+06 0.500004; - out[17] 0xc0 1.92012e+07 0.5; - out[17]~51 0xc0 3.19305e+06 0.5; - out[17]~52 0xc0 2.87163e+06 0.499998; - out[18] 0xc0 1.92012e+07 0.5; - out[18]~53 0xc0 3.19307e+06 0.5; - out[18]~54 0xc0 2.8716e+06 0.500001; - out[19] 0xc0 1.92012e+07 0.5; - out[19]~55 0xc0 3.19306e+06 0.5; - out[19]~56 0xc0 2.87162e+06 0.5; - out[20] 0xc0 1.92012e+07 0.5; - out[20]~57 0xc0 3.19306e+06 0.5; - out[20]~58 0xc0 2.87161e+06 0.5; - out[21] 0xc0 1.92012e+07 0.5; - out[21]~59 0xc0 3.19306e+06 0.5; - out[21]~60 0xc0 2.87161e+06 0.5; - out[22] 0xc0 1.92012e+07 0.5; - out[22]~61 0xc0 3.19306e+06 0.5; - out[22]~62 0xc0 2.87161e+06 0.5; - out[23] 0xc0 1.92012e+07 0.5; - out[23]~63 0xc0 3.19306e+06 0.5; - out[23]~64 0xc0 2.87161e+06 0.5; - out[24] 0xc0 1.92012e+07 0.5; - out[24]~65 0xc0 3.19306e+06 0.5; - out[24]~66 0xc0 2.87161e+06 0.5; - out[25] 0xc0 1.92012e+07 0.5; - out[25]~67 0xc0 3.19306e+06 0.5; - out[25]~68 0xc0 2.87161e+06 0.5; - out[26] 0xc0 1.92012e+07 0.5; - out[26]~69 0xc0 3.19306e+06 0.5; - out[26]~70 0xc0 2.87161e+06 0.5; - out[27] 0xc0 1.92012e+07 0.5; - out[27]~71 0xc0 3.19306e+06 0.5; - out[27]~72 0xc0 2.87161e+06 0.5; - out[28] 0xc0 1.92012e+07 0.5; - out[28]~73 0xc0 3.19306e+06 0.5; - out[28]~74 0xc0 2.87161e+06 0.5; - out[29] 0xc0 1.92012e+07 0.5; - out[29]~75 0xc0 3.19306e+06 0.5; - out[29]~76 0xc0 2.87161e+06 0.5; - out[30] 0xc0 1.92012e+07 0.5; - out[30]~77 0xc0 3.19306e+06 0.5; - out[30]~78 0xc0 2.87161e+06 0.5; - out[31] 0xc0 1.92012e+07 0.5; - out[31]~79 0xc0 4.31813e+06 0.5; - asj_altqmcpipe:ux000; - lpm_add_sub:acc; - add_sub_u4i:auto_generated; - pipeline_dffe[0] 0xc0 1.92012e+07 0.5; - pipeline_dffe[0]~22 0xc0 1.92012e+07 0.5; - pipeline_dffe[0]~23 0xc0 1.08007e+07 0.25; - pipeline_dffe[1] 0xc0 1.92012e+07 0.5; - pipeline_dffe[1]~24 0xc0 1.6201e+07 0.5; - pipeline_dffe[1]~25 0xc0 8.94432e+06 0.625; - pipeline_dffe[2] 0xc0 1.92012e+07 0.5; - pipeline_dffe[2]~26 0xc0 1.37118e+07 0.5; - pipeline_dffe[2]~27 0xc0 1.59315e+07 0.4375; - pipeline_dffe[3] 0xc0 1.92012e+07 0.5; - pipeline_dffe[3]~28 0xc0 1.49523e+07 0.5; - pipeline_dffe[3]~29 0xc0 1.22202e+07 0.53125; - pipeline_dffe[4] 0xc0 1.92012e+07 0.5; - pipeline_dffe[4]~30 0xc0 1.38979e+07 0.5; - pipeline_dffe[4]~31 0xc0 1.36478e+07 0.484375; - pipeline_dffe[5] 0xc0 1.92012e+07 0.5; - pipeline_dffe[5]~32 0xc0 1.42232e+07 0.5; - pipeline_dffe[5]~33 0xc0 1.27803e+07 0.507813; - pipeline_dffe[6] 0xc0 1.92012e+07 0.5; - pipeline_dffe[6]~34 0xc0 1.39984e+07 0.5; - pipeline_dffe[6]~35 0xc0 1.31698e+07 0.496094; - pipeline_dffe[7] 0xc0 1.92012e+07 0.5; - pipeline_dffe[7]~36 0xc0 1.40938e+07 0.5; - pipeline_dffe[7]~37 0xc0 1.29633e+07 0.501953; - pipeline_dffe[8] 0xc0 1.92012e+07 0.5; - pipeline_dffe[8]~38 0xc0 1.40417e+07 0.5; - pipeline_dffe[8]~39 0xc0 1.30635e+07 0.499023; - pipeline_dffe[9] 0xc0 1.92012e+07 0.5; - pipeline_dffe[9]~40 0xc0 1.40666e+07 0.5; - pipeline_dffe[9]~41 0xc0 1.30126e+07 0.500488; - pipeline_dffe[10] 0xc0 1.92012e+07 0.5; - pipeline_dffe[10]~42 0xc0 1.40539e+07 0.5; - pipeline_dffe[10]~43 0xc0 1.30379e+07 0.499756; - pipeline_dffe[11] 0xc0 1.92012e+07 0.5; - pipeline_dffe[11]~44 0xc0 1.40602e+07 0.5; - pipeline_dffe[11]~45 0xc0 1.30252e+07 0.500122; - pipeline_dffe[12] 0xc0 1.92012e+07 0.5; - pipeline_dffe[12]~46 0xc0 1.4057e+07 0.5; - pipeline_dffe[12]~47 0xc0 1.30315e+07 0.499939; - pipeline_dffe[13] 0xc0 1.92012e+07 0.5; - pipeline_dffe[13]~48 0xc0 1.40586e+07 0.5; - pipeline_dffe[13]~49 0xc0 1.30284e+07 0.500031; - pipeline_dffe[14] 0xc0 1.92012e+07 0.5; - pipeline_dffe[14]~50 0xc0 1.40578e+07 0.5; - pipeline_dffe[14]~51 0xc0 1.30299e+07 0.499985; - pipeline_dffe[15] 0xc0 1.92012e+07 0.5; - pipeline_dffe[15]~52 0xc0 1.40582e+07 0.5; - pipeline_dffe[15]~53 0xc0 1.30291e+07 0.500008; - pipeline_dffe[16] 0xc0 1.92012e+07 0.5; - pipeline_dffe[16]~54 0xc0 1.4058e+07 0.5; - pipeline_dffe[16]~55 0xc0 1.30295e+07 0.499996; - pipeline_dffe[17] 0xc0 1.92012e+07 0.5; - pipeline_dffe[17]~56 0xc0 1.40581e+07 0.5; - pipeline_dffe[17]~57 0xc0 1.30293e+07 0.500002; - pipeline_dffe[18] 0xc0 1.92012e+07 0.5; - pipeline_dffe[18]~58 0xc0 1.4058e+07 0.5; - pipeline_dffe[18]~59 0xc0 1.30294e+07 0.499999; - pipeline_dffe[19] 0xc0 1.92012e+07 0.5; - pipeline_dffe[19]~60 0xc0 1.40581e+07 0.5; - pipeline_dffe[19]~61 0xc0 1.30294e+07 0.5; - pipeline_dffe[20] 0xc0 1.92012e+07 0.5; - pipeline_dffe[20]~62 0xc0 1.4058e+07 0.5; - pipeline_dffe[20]~63 0xc0 1.30294e+07 0.5; - pipeline_dffe[21] 0xc0 1.92012e+07 0.5; - pipeline_dffe[21]~64 0xc0 1.76583e+07 0.5; - phi_int_arr_reg[0] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[0]~5 0xc0 3.125e+06 0.5; - phi_int_arr_reg[1] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[1]~4 0xc0 3.125e+06 0.5; - phi_int_arr_reg[2] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[2]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[3] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[3]~3 0xc0 3.125e+06 0.5; - phi_int_arr_reg[4] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[4]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[5] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[5]~2 0xc0 3.125e+06 0.5; - phi_int_arr_reg[6] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[6]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[7] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[7]~1 0xc0 3.125e+06 0.5; - phi_int_arr_reg[8] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[9] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[9]~0 0xc0 3.125e+06 0.5; - phi_int_arr_reg[10] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[11] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[12] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[12]~6 0xc0 3.125e+06 0.5; - phi_int_arr_reg[12]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[13] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[13]~7 0xc0 3.125e+06 0.5; - phi_int_arr_reg[14] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[14]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[15] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[15]~8 0xc0 3.125e+06 0.5; - phi_int_arr_reg[16] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[16]~9 0xc0 3.125e+06 0.5; - phi_int_arr_reg[17] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[17]~10 0xc0 3.125e+06 0.5; - phi_int_arr_reg[18] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[18]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[19] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[19]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[20] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[20]~feeder 0xc0 3.125e+06 0.5; - phi_int_arr_reg[21] 0xc0 1.92012e+07 0.5; - phi_int_arr_reg[21]~feeder 0xc0 3.125e+06 0.5; - asj_gam_dp:ux008; - Add0~0 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[0] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[1] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[1]~feeder 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[2] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[3] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[4] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[4]~feeder 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[5] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[5]~feeder 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[6] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[6]~feeder 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[7] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[7]~feeder 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[8] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[9] 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[9]~0 0xc0 1.92012e+07 0.5; - rom_add_cc_temp[10] 0xc0 1.92012e+07 0.5; - rom_add_cs[9] 0xc0 1.92012e+07 0.5; - rom_add_cs[9]~feeder 0xc0 1.92012e+07 0.5; - rom_add_cs[10] 0xc0 1.92012e+07 0.5; - rom_add_cs[10]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[0] 0xc0 1.92012e+07 0.5; - rom_add_f[0]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[1] 0xc0 1.92012e+07 0.5; - rom_add_f[1]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[2] 0xc0 1.92012e+07 0.5; - rom_add_f[2]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[3] 0xc0 1.92012e+07 0.5; - rom_add_f[4] 0xc0 1.92012e+07 0.5; - rom_add_f[4]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[5] 0xc0 1.92012e+07 0.5; - rom_add_f[5]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[6] 0xc0 1.92012e+07 0.5; - rom_add_f[6]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[7] 0xc0 1.92012e+07 0.5; - rom_add_f[7]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[8] 0xc0 1.92012e+07 0.5; - rom_add_f[9] 0xc0 1.92012e+07 0.5; - rom_add_f[9]~feeder 0xc0 1.92012e+07 0.5; - rom_add_f[10] 0xc0 1.92012e+07 0.5; - rom_add_f[10]~feeder 0xc0 1.92012e+07 0.5; - asj_nco_as_m_cen:ux0122; - altsyncram:altsyncram_component0; - altsyncram_u8a1:auto_generated; - q_a[0] 0xc0 1.92012e+07 0.5; - q_a[1] 0xc0 1.92012e+07 0.5; - q_a[2] 0xc0 1.92012e+07 0.5; - q_a[3] 0xc0 1.92012e+07 0.5; - q_a[4] 0xc0 1.92012e+07 0.5; - q_a[5] 0xc0 1.92012e+07 0.5; - q_a[6] 0xc0 1.92012e+07 0.5; - q_a[7] 0xc0 1.92012e+07 0.5; - q_a[8] 0xc0 1.92012e+07 0.5; - q_a[9] 0xc0 1.92012e+07 0.5; - q_a[10] 0xc0 1.92012e+07 0.5; - q_a[11] 0xc0 1.92012e+07 0.5; - q_a[12] 0xc0 1.92012e+07 0.5; - q_a[13] 0xc0 1.92012e+07 0.5; - q_a[14] 0xc0 1.92012e+07 0.5; - q_a[15] 0xc0 1.92012e+07 0.5; - asj_nco_as_m_cen:ux0123; - altsyncram:altsyncram_component0; - altsyncram_p8a1:auto_generated; - q_a[0] 0xc0 1.92012e+07 0.5; - q_a[1] 0xc0 1.92012e+07 0.5; - q_a[2] 0xc0 1.92012e+07 0.5; - q_a[3] 0xc0 1.92012e+07 0.5; - q_a[4] 0xc0 1.92012e+07 0.5; - q_a[5] 0xc0 1.92012e+07 0.5; - q_a[6] 0xc0 1.92012e+07 0.5; - q_a[7] 0xc0 1.92012e+07 0.5; - q_a[8] 0xc0 1.92012e+07 0.5; - q_a[9] 0xc0 1.92012e+07 0.5; - q_a[10] 0xc0 1.92012e+07 0.5; - q_a[11] 0xc0 1.92012e+07 0.5; - q_a[12] 0xc0 1.92012e+07 0.5; - q_a[13] 0xc0 1.92012e+07 0.5; - q_a[14] 0xc0 1.92012e+07 0.5; - q_a[15] 0xc0 1.92012e+07 0.5; - asj_nco_as_m_dp_cen:ux0220; - altsyncram:altsyncram_component; - altsyncram_4k82:auto_generated; - q_a[0] 0xc0 1.92012e+07 0.5; - q_a[1] 0xc0 1.92012e+07 0.5; - q_a[2] 0xc0 1.92012e+07 0.5; - q_a[3] 0xc0 1.92012e+07 0.5; - q_a[4] 0xc0 1.92012e+07 0.5; - q_a[5] 0xc0 1.92012e+07 0.5; - q_a[6] 0xc0 1.92012e+07 0.5; - q_a[7] 0xc0 1.92012e+07 0.5; - q_a[8] 0xc0 1.92012e+07 0.5; - q_a[9] 0xc0 1.92012e+07 0.5; - q_a[10] 0xc0 1.92012e+07 0.5; - q_a[11] 0xc0 1.92012e+07 0.5; - q_a[12] 0xc0 1.92012e+07 0.5; - q_a[13] 0xc0 1.92012e+07 0.5; - q_a[14] 0xc0 1.92012e+07 0.5; - q_a[15] 0xc0 1.92012e+07 0.5; - q_b[0] 0xc0 1.92012e+07 0.5; - q_b[1] 0xc0 1.92012e+07 0.5; - q_b[2] 0xc0 1.92012e+07 0.5; - q_b[3] 0xc0 1.92012e+07 0.5; - q_b[4] 0xc0 1.92012e+07 0.5; - q_b[5] 0xc0 1.92012e+07 0.5; - q_b[6] 0xc0 1.92012e+07 0.5; - q_b[7] 0xc0 1.92012e+07 0.5; - q_b[8] 0xc0 1.92012e+07 0.5; - q_b[9] 0xc0 1.92012e+07 0.5; - q_b[10] 0xc0 1.92012e+07 0.5; - q_b[11] 0xc0 1.92012e+07 0.5; - q_b[12] 0xc0 1.92012e+07 0.5; - q_b[13] 0xc0 1.92012e+07 0.5; - q_b[14] 0xc0 1.92012e+07 0.5; - q_b[15] 0xc0 1.92012e+07 0.5; - asj_nco_isdr:ux710isdr; - lpm_counter:lpm_counter_component; - tx_pll:TX_PLL; - altpll:altpll_component; - tx_pll_altpll:auto_generated; - wire_pll1_clk[0] 0xc 3.0722e+08 0.5; - wire_pll1_clk[0]~clkctrl 0xc0 3.0722e+08 0.5; - wire_pll1_fbout 0xc0 0 0; - tx_summator:TX_SUMMATOR; - lpm_add_sub:LPM_ADD_SUB_component; - add_sub_1vk:auto_generated; - overflow_dffe[0] 0xc0 1.92012e+07 0.5; - overflow_dffe[0]~0 0xc0 8.71127e+06 0.25; - pipeline_dffe[0] 0xc0 1.92012e+07 0.5; - pipeline_dffe[1] 0xc0 1.92012e+07 0.5; - pipeline_dffe[2] 0xc0 1.92012e+07 0.5; - pipeline_dffe[3] 0xc0 1.92012e+07 0.5; - pipeline_dffe[4] 0xc0 1.92012e+07 0.5; - pipeline_dffe[5] 0xc0 1.92012e+07 0.5; - pipeline_dffe[6] 0xc0 1.92012e+07 0.5; - pipeline_dffe[7] 0xc0 1.92012e+07 0.5; - pipeline_dffe[8] 0xc0 1.92012e+07 0.5; - pipeline_dffe[9] 0xc0 1.92012e+07 0.5; - pipeline_dffe[10] 0xc0 1.92012e+07 0.5; - pipeline_dffe[11] 0xc0 1.92012e+07 0.5; - pipeline_dffe[12] 0xc0 1.92012e+07 0.5; - pipeline_dffe[13] 0xc0 1.92012e+07 0.5; - pipeline_dffe[14] 0xc0 1.92012e+07 0.5; - pipeline_dffe[15] 0xc0 1.92012e+07 0.5; - pipeline_dffe[16] 0xc0 1.92012e+07 0.5; - pipeline_dffe[17] 0xc0 1.92012e+07 0.5; - pipeline_dffe[18] 0xc0 1.92012e+07 0.5; - pipeline_dffe[19] 0xc0 1.92012e+07 0.5; - pipeline_dffe[20] 0xc0 1.92012e+07 0.5; - pipeline_dffe[21] 0xc0 1.92012e+07 0.5; - pipeline_dffe[22] 0xc0 1.92012e+07 0.5; - pipeline_dffe[23] 0xc0 1.92012e+07 0.5; - pipeline_dffe[24] 0xc0 1.92012e+07 0.5; - pipeline_dffe[25] 0xc0 1.92012e+07 0.5; - pipeline_dffe[26] 0xc0 1.92012e+07 0.5; - pipeline_dffe[27] 0xc0 1.92012e+07 0.5; - pipeline_dffe[28] 0xc0 1.92012e+07 0.5; - pipeline_dffe[29] 0xc0 1.92012e+07 0.5; - pipeline_dffe[30] 0xc0 1.92012e+07 0.5; - pipeline_dffe[31] 0xc0 1.92012e+07 0.5; - pipeline_dffe[31]~_wirecell 0xc0 1.92012e+07 0.5; - result_int[0]~0 0xc0 1.92012e+07 0.5; - result_int[0]~1 0xc0 1.08007e+07 0.25; - result_int[1]~2 0xc0 1.6201e+07 0.5; - result_int[1]~3 0xc0 8.94432e+06 0.625; - result_int[2]~4 0xc0 1.37118e+07 0.5; - result_int[2]~5 0xc0 1.59315e+07 0.4375; - result_int[3]~6 0xc0 1.49523e+07 0.5; - result_int[3]~7 0xc0 1.22202e+07 0.53125; - result_int[4]~8 0xc0 1.38979e+07 0.5; - result_int[4]~9 0xc0 1.36478e+07 0.484375; - result_int[5]~10 0xc0 1.42232e+07 0.5; - result_int[5]~11 0xc0 1.27803e+07 0.507813; - result_int[6]~12 0xc0 1.39984e+07 0.5; - result_int[6]~13 0xc0 1.31698e+07 0.496094; - result_int[7]~14 0xc0 1.40938e+07 0.5; - result_int[7]~15 0xc0 1.29633e+07 0.501953; - result_int[8]~16 0xc0 1.40417e+07 0.5; - result_int[8]~17 0xc0 1.30635e+07 0.499023; - result_int[9]~18 0xc0 1.40666e+07 0.5; - result_int[9]~19 0xc0 1.30126e+07 0.500488; - result_int[10]~20 0xc0 1.40539e+07 0.5; - result_int[10]~21 0xc0 1.30379e+07 0.499756; - result_int[11]~22 0xc0 1.40602e+07 0.5; - result_int[11]~23 0xc0 1.30252e+07 0.500122; - result_int[12]~24 0xc0 1.4057e+07 0.5; - result_int[12]~25 0xc0 1.30315e+07 0.499939; - result_int[13]~26 0xc0 1.40586e+07 0.5; - result_int[13]~27 0xc0 1.30284e+07 0.500031; - result_int[14]~28 0xc0 1.40578e+07 0.5; - result_int[14]~29 0xc0 1.30299e+07 0.499985; - result_int[15]~30 0xc0 1.40582e+07 0.5; - result_int[15]~31 0xc0 1.30291e+07 0.500008; - result_int[16]~32 0xc0 1.4058e+07 0.5; - result_int[16]~33 0xc0 1.30295e+07 0.499996; - result_int[17]~34 0xc0 1.40581e+07 0.5; - result_int[17]~35 0xc0 1.30293e+07 0.500002; - result_int[18]~36 0xc0 1.4058e+07 0.5; - result_int[18]~37 0xc0 1.30294e+07 0.499999; - result_int[19]~38 0xc0 1.40581e+07 0.5; - result_int[19]~39 0xc0 1.30294e+07 0.5; - result_int[20]~40 0xc0 1.4058e+07 0.5; - result_int[20]~41 0xc0 1.30294e+07 0.5; - result_int[21]~42 0xc0 1.4058e+07 0.5; - result_int[21]~43 0xc0 1.30294e+07 0.5; - result_int[22]~44 0xc0 1.4058e+07 0.5; - result_int[22]~45 0xc0 1.30294e+07 0.5; - result_int[23]~46 0xc0 1.4058e+07 0.5; - result_int[23]~47 0xc0 1.30294e+07 0.5; - result_int[24]~48 0xc0 1.4058e+07 0.5; - result_int[24]~49 0xc0 1.30294e+07 0.5; - result_int[25]~50 0xc0 1.4058e+07 0.5; - result_int[25]~51 0xc0 1.30294e+07 0.5; - result_int[26]~52 0xc0 1.4058e+07 0.5; - result_int[26]~53 0xc0 1.30294e+07 0.5; - result_int[27]~54 0xc0 1.4058e+07 0.5; - result_int[27]~55 0xc0 1.30294e+07 0.5; - result_int[28]~56 0xc0 1.4058e+07 0.5; - result_int[28]~57 0xc0 1.30294e+07 0.5; - result_int[29]~58 0xc0 1.4058e+07 0.5; - result_int[29]~59 0xc0 1.30294e+07 0.5; - result_int[30]~60 0xc0 1.4058e+07 0.5; - result_int[30]~61 0xc0 1.30294e+07 0.5; - result_int[31]~62 0xc0 1.76583e+07 0.5; - TXRX_OUT 0xc0 3.125e+06 0.5; - TXRX_OUT~output 0xc0 3.125e+06 0.5; - ~GND 0xc0 0 0; - ~QIC_CREATED_GND~I 0xc0 0 0; - -END_OUTPUT_SIGNAL_INFO; - diff --git a/FPGA_61.440/rx_cic.sopcinfo b/FPGA_61.440/rx_cic.sopcinfo index 78dfe0a..f285934 100644 --- a/FPGA_61.440/rx_cic.sopcinfo +++ b/FPGA_61.440/rx_cic.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1617214524 + 1644138350 false true false diff --git a/FPGA_61.440/stm32_interface.bsf b/FPGA_61.440/stm32_interface.bsf index 4ab76e1..3837466 100644 --- a/FPGA_61.440/stm32_interface.bsf +++ b/FPGA_61.440/stm32_interface.bsf @@ -310,6 +310,13 @@ refer to the applicable agreement for further details. (text "LPF_3" (rect 239 507 267 519)(font "Arial" )) (line (pt 288 512)(pt 272 512)(line_width 1)) ) + (port + (pt 288 528) + (output) + (text "VCXO_correction[15..0]" (rect 0 0 96 12)(font "Arial" )) + (text "VCXO_correction[15..0]" (rect 171 523 267 535)(font "Arial" )) + (line (pt 288 528)(pt 272 528)(line_width 3)) + ) (port (pt 288 32) (bidir) diff --git a/FPGA_61.440/stm32_interface.v b/FPGA_61.440/stm32_interface.v index 8dd9ca7..21467fc 100644 --- a/FPGA_61.440/stm32_interface.v +++ b/FPGA_61.440/stm32_interface.v @@ -41,7 +41,8 @@ BPF_OE1, BPF_OE2, LPF_1, LPF_2, -LPF_3 +LPF_3, +VCXO_correction ); input clk_in; @@ -86,6 +87,7 @@ output reg BPF_OE2 = 0; output reg LPF_1 = 0; output reg LPF_2 = 0; output reg LPF_3 = 0; +output reg unsigned [15:0] VCXO_correction = 32767; inout [7:0] DATA_BUS; reg [7:0] DATA_BUS_OUT; @@ -237,8 +239,18 @@ begin LPF_1 = DATA_BUS[4:4]; LPF_2 = DATA_BUS[5:5]; LPF_3 = DATA_BUS[6:6]; - k = 999; + k = 112; end + else if (k == 112) + begin + VCXO_correction[15:8] = DATA_BUS[7:0]; + k = 113; + end + else if (k == 113) + begin + VCXO_correction[7:0] = DATA_BUS[7:0]; + k = 999; + end else if (k == 200) //SEND PARAMS begin DATA_BUS_OUT[0:0] = ADC_OTR; diff --git a/FPGA_61.440/tx_cic.sopcinfo b/FPGA_61.440/tx_cic.sopcinfo index f7334af..6fb49ef 100644 --- a/FPGA_61.440/tx_cic.sopcinfo +++ b/FPGA_61.440/tx_cic.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1617214539 + 1644138363 false true false diff --git a/FPGA_61.440/tx_nco.sopcinfo b/FPGA_61.440/tx_nco.sopcinfo index 2ee3aaf..981b955 100644 --- a/FPGA_61.440/tx_nco.sopcinfo +++ b/FPGA_61.440/tx_nco.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1617214553 + 1644138376 false true false diff --git a/FPGA_61.440/vcxo_controller.bsf b/FPGA_61.440/vcxo_controller.bsf index 32810d0..e027db3 100644 --- a/FPGA_61.440/vcxo_controller.bsf +++ b/FPGA_61.440/vcxo_controller.bsf @@ -20,63 +20,31 @@ refer to the applicable agreement for further details. */ (header "symbol" (version "1.1")) (symbol - (rect 16 16 280 128) + (rect 16 16 240 96) (text "vcxo_controller" (rect 5 0 66 12)(font "Arial" )) - (text "inst" (rect 8 96 20 108)(font "Arial" )) + (text "inst" (rect 8 64 20 76)(font "Arial" )) (port (pt 0 32) (input) - (text "vcxo_clk_in" (rect 0 0 48 12)(font "Arial" )) - (text "vcxo_clk_in" (rect 21 27 69 39)(font "Arial" )) + (text "pwm_clk_in" (rect 0 0 47 12)(font "Arial" )) + (text "pwm_clk_in" (rect 21 27 68 39)(font "Arial" )) (line (pt 0 32)(pt 16 32)(line_width 1)) ) (port (pt 0 48) (input) - (text "tcxo_clk_in" (rect 0 0 44 12)(font "Arial" )) - (text "tcxo_clk_in" (rect 21 43 65 55)(font "Arial" )) - (line (pt 0 48)(pt 16 48)(line_width 1)) + (text "VCXO_correction[15..0]" (rect 0 0 96 12)(font "Arial" )) + (text "VCXO_correction[15..0]" (rect 21 43 117 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) ) (port - (pt 0 64) - (input) - (text "VCXO_correction[7..0]" (rect 0 0 93 12)(font "Arial" )) - (text "VCXO_correction[7..0]" (rect 21 59 114 71)(font "Arial" )) - (line (pt 0 64)(pt 16 64)(line_width 3)) - ) - (port - (pt 264 32) - (output) - (text "freq_error[23..0]" (rect 0 0 67 12)(font "Arial" )) - (text "freq_error[23..0]" (rect 176 27 243 39)(font "Arial" )) - (line (pt 264 32)(pt 248 32)(line_width 3)) - ) - (port - (pt 264 48) + (pt 224 32) (output) (text "pump" (rect 0 0 22 12)(font "Arial" )) - (text "pump" (rect 221 43 243 55)(font "Arial" )) - (line (pt 264 48)(pt 248 48)(line_width 1)) + (text "pump" (rect 181 27 203 39)(font "Arial" )) + (line (pt 224 32)(pt 208 32)(line_width 1)) ) - (port - (pt 264 64) - (output) - (text "PWM[23..0]" (rect 0 0 49 12)(font "Arial" )) - (text "PWM[23..0]" (rect 194 59 243 71)(font "Arial" )) - (line (pt 264 64)(pt 248 64)(line_width 3)) - ) - (parameter - "VCXO_freq_khz" - "122880" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "TCXO_freq_khz" - "49152" - "" - (type "PARAMETER_SIGNED_DEC") ) (drawing - (rectangle (rect 16 16 248 96)(line_width 1)) + (rectangle (rect 16 16 208 64)(line_width 1)) ) - (annotation_block (parameter)(rect 280 -64 380 16)) ) diff --git a/FPGA_61.440/vcxo_controller.v b/FPGA_61.440/vcxo_controller.v index bf1345d..e5b6325 100644 --- a/FPGA_61.440/vcxo_controller.v +++ b/FPGA_61.440/vcxo_controller.v @@ -1,114 +1,32 @@ module vcxo_controller( -vcxo_clk_in, -tcxo_clk_in, +pwm_clk_in, VCXO_correction, -freq_error, -pump, -PWM +pump ); -parameter VCXO_freq_khz = 1228800; //x100hz -parameter TCXO_freq_khz = 122880; //x100hz +input pwm_clk_in; +input unsigned [15:0] VCXO_correction; -input vcxo_clk_in; -input tcxo_clk_in; -input signed [7:0] VCXO_correction; - -output reg signed [23:0] freq_error = 0; output reg pump = 0; -output reg signed [23:0] PWM = 500; -reg [23:0] PWM_max = 1000; -reg signed [23:0] freq_error_now = 0; -reg signed [23:0] freq_error_prev = 0; -reg signed [23:0] freq_error_diff = 0; -reg signed [31:0] VCXO_counter = 0; -reg signed [31:0] TCXO_counter = 0; -reg [31:0] PWM_counter = 0; -reg counter_reset = 0; -reg counter_resetted = 0; -reg [7:0] state = 0; +reg unsigned [15:0] PWM_counter_MAX = 65500; +reg unsigned [15:0] PWM_counter = 0; -always @ (posedge vcxo_clk_in) +always @ (posedge pwm_clk_in) begin - if(state != 2 && state != 3) - begin - if(counter_reset) - begin - VCXO_counter <= 0; - counter_resetted <= 1; - end - else - begin - VCXO_counter <= VCXO_counter + 1; - counter_resetted <= 0; - end - end -end - -always @ (posedge tcxo_clk_in) -begin - //do PWM - PWM_counter = PWM_counter + 1; - if(PWM_counter >= PWM_max) + //count PWM + if(PWM_counter > PWM_counter_MAX) PWM_counter = 0; + else + PWM_counter = PWM_counter + 1; - if(PWM > PWM_counter) + //do PWM + if(PWM_counter <= VCXO_correction) pump = 1; else pump = 0; - - if(counter_reset && !counter_resetted) - begin - //wait VCXO reset - end - else - begin - if(state == 0) - begin - TCXO_counter = 0; - counter_reset = 0; - state = 1; - end - else if(state == 1) - begin - TCXO_counter = TCXO_counter + 1; - - if(TCXO_counter >= TCXO_freq_khz) - state = 2; - end - else if(state == 2) - begin - freq_error_now = VCXO_counter - VCXO_freq_khz + VCXO_correction; - freq_error_diff = freq_error_prev - freq_error_now; - - if(freq_error_diff > -50 && freq_error_diff < 50) //measure errors - if(freq_error_now > -1000 && freq_error_now < 1000) //measure errors - begin - //save - freq_error = freq_error_now; - - //tune - if(freq_error_now < 0) - PWM = PWM + 1; - else if(freq_error_now > 0) - PWM = PWM - 1; - end - - state = 3; - end - else if(state == 3) - begin - freq_error_prev = freq_error_now; - if(PWM > PWM_max) - PWM = PWM_max; - if(PWM < 0) - PWM = 0; - counter_reset = 1; - state = 0; - end - end end + endmodule diff --git a/STM32/Core/Src/fpga.c b/STM32/Core/Src/fpga.c index 414960c..f2dec6a 100644 --- a/STM32/Core/Src/fpga.c +++ b/STM32/Core/Src/fpga.c @@ -335,6 +335,17 @@ static inline void FPGA_fpgadata_sendparam(void) FPGA_writePacket(FPGA_fpgadata_out_tmp8); FPGA_clockRise(); FPGA_clockFall(); + + //OUT VCXO_CORRECTION + uint16_t FPGA_fpgadata_out_tmp16 = CALIBRATE.vcxo_calibration; // 32767 - center (50% cycle) + FPGA_writePacket(((FPGA_fpgadata_out_tmp16 & (0XFF << 8)) >> 8)); + FPGA_clockRise(); + FPGA_clockFall(); + + //OUT TX-FREQ + FPGA_writePacket(FPGA_fpgadata_out_tmp16 & 0XFF); + FPGA_clockRise(); + FPGA_clockFall(); } // get parameters diff --git a/STM32/Core/Src/settings.c b/STM32/Core/Src/settings.c index e49717b..496802f 100644 --- a/STM32/Core/Src/settings.c +++ b/STM32/Core/Src/settings.c @@ -293,8 +293,6 @@ void LoadCalibration(bool clear) CALIBRATE.rf_out_power_12m = 22; //12m CALIBRATE.rf_out_power_10m = 22; //10m - CALIBRATE.VCXO_CALIBR = 0; - CALIBRATE.VCXO_CALIBRT = 0; CALIBRATE.freq_correctur_160 = 0; CALIBRATE.freq_correctur_80 = 0; CALIBRATE.freq_correctur_40 = 0; @@ -314,6 +312,7 @@ void LoadCalibration(bool clear) CALIBRATE.smeter_calibration = -10; // S-Meter calibration, set when calibrating the transceiver to S9 CALIBRATE.swr_trans_rate = 11.0f; // SWR Transormator rate CALIBRATE.volt_cal_rate = 11.0f; // VOLTAGE + CALIBRATE.vcxo_calibration = 32767; // VCXO PWM CALIBRATE.ENDBit = 100; sendToDebug_strln("[OK] Loaded default calibrate settings"); diff --git a/STM32/Core/Src/settings.h b/STM32/Core/Src/settings.h index c215e89..22263e7 100644 --- a/STM32/Core/Src/settings.h +++ b/STM32/Core/Src/settings.h @@ -8,9 +8,7 @@ #include "bands.h" #define SETT_VERSION 101 // Settings config version -#define CALIB_VERSION 100 // Calibration config version -//#define ADC_CLOCK (int32_t)(64320000 + (CALIBRATE.VCXO_CALIBR * 10)) // ADC generator frequency калибровка частоты генератора -//#define DAC_CLOCK (int32_t)(160800000 + (CALIBRATE.VCXO_CALIBRT * 10)) // DAC generator frequency +#define CALIB_VERSION 101 // Calibration config version #define ADC_CLOCK 61440000 // ADC generator frequency калибровка частоты генератора #define DAC_CLOCK 153600000 // DAC generator frequency #define MAX_RX_FREQ_HZ 750000000 // Maximum receive frequency (from the ADC datasheet) @@ -205,8 +203,6 @@ extern struct TRX_CALIBRATE { uint8_t flash_id; //eeprom check - int16_t VCXO_CALIBR; - int16_t VCXO_CALIBRT; bool ENCODER_INVERT; bool ENCODER2_INVERT; uint8_t ENCODER_DEBOUNCE; @@ -248,6 +244,8 @@ extern struct TRX_CALIBRATE uint8_t rf_out_power_12m; uint8_t rf_out_power_10m; + uint16_t vcxo_calibration; + uint8_t csum; //check sum uint8_t ENDBit; //end bit } CALIBRATE; diff --git a/STM32/Core/Src/system_menu.c b/STM32/Core/Src/system_menu.c index 5a56a04..9d2c382 100644 --- a/STM32/Core/Src/system_menu.c +++ b/STM32/Core/Src/system_menu.c @@ -135,7 +135,6 @@ static void SYSMENU_HANDL_CALIB_FREQUENCY_10M(int8_t direction); static void SYSMENU_HANDL_CALIB_FREQUENCY_SIBI(int8_t direction); static void SYSMENU_HANDL_CALIB_FREQUENCY_52(int8_t direction); static void SYSMENU_HANDL_VCXO_CALIBR(int8_t direction); -static void SYSMENU_HANDL_VCXO_CALIBRT(int8_t direction); static void SYSMENU_HANDL_TRXMENU(int8_t direction); static void SYSMENU_HANDL_AUDIOMENU(int8_t direction); @@ -275,8 +274,7 @@ static const struct sysmenu_item_handler sysmenu_calibration_handlers[] = {"S METER", SYSMENU_INT16, (uint32_t *)&CALIBRATE.smeter_calibration, SYSMENU_HANDL_CALIB_S_METER}, {"SWR TRANS RATE", SYSMENU_FLOAT32, (uint32_t *)&CALIBRATE.swr_trans_rate, SYSMENU_HANDL_CALIB_SWR_TRANS_RATE}, {"VOLT CALIBR", SYSMENU_FLOAT32, (uint32_t *)&CALIBRATE.volt_cal_rate, SYSMENU_HANDL_CALIB_VOLT}, -// {"VCXO Cor-RX", SYSMENU_INT16, (uint32_t *)&CALIBRATE.VCXO_CALIBR, SYSMENU_HANDL_VCXO_CALIBR}, -// {"VCXO Cor-TX", SYSMENU_INT16, (uint32_t *)&CALIBRATE.VCXO_CALIBRT, SYSMENU_HANDL_VCXO_CALIBRT}, + {"VCXO CALIBR", SYSMENU_UINT16, (uint32_t *)&CALIBRATE.vcxo_calibration, SYSMENU_HANDL_VCXO_CALIBR}, {"F-correctur 160m", SYSMENU_INT16, (uint32_t *)&CALIBRATE.freq_correctur_160, SYSMENU_HANDL_CALIB_FREQUENCY_160M}, {"F-correctur 80m", SYSMENU_INT16, (uint32_t *)&CALIBRATE.freq_correctur_80, SYSMENU_HANDL_CALIB_FREQUENCY_80M}, {"F-correctur 40m", SYSMENU_INT16, (uint32_t *)&CALIBRATE.freq_correctur_40, SYSMENU_HANDL_CALIB_FREQUENCY_40M}, @@ -2031,25 +2029,14 @@ static void SYSMENU_HANDL_CALIB_VOLT(int8_t direction) //########################################################################################################### static void SYSMENU_HANDL_VCXO_CALIBR(int8_t direction) { - CALIBRATE.VCXO_CALIBR += direction; - if (CALIBRATE.VCXO_CALIBR < -1000) - CALIBRATE.VCXO_CALIBR = -1000; - if (CALIBRATE.VCXO_CALIBR > 1000) - CALIBRATE.VCXO_CALIBR = 1000; - TRX_setFrequency(CurrentVFO()->Freq, CurrentVFO()); + CALIBRATE.vcxo_calibration += direction; + if (CALIBRATE.vcxo_calibration < 1) + CALIBRATE.vcxo_calibration = 1; + if (CALIBRATE.vcxo_calibration > 65500) + CALIBRATE.vcxo_calibration = 65500; + FPGA_NeedSendParams = true; } -static void SYSMENU_HANDL_VCXO_CALIBRT(int8_t direction) -{ - CALIBRATE.VCXO_CALIBRT += direction; - if (CALIBRATE.VCXO_CALIBRT < -1000) - CALIBRATE.VCXO_CALIBRT = -1000; - if (CALIBRATE.VCXO_CALIBRT > 1000) - CALIBRATE.VCXO_CALIBRT = 1000; - TRX_setFrequency(CurrentVFO()->Freq, CurrentVFO()); -} - - //160M static void SYSMENU_HANDL_CALIB_FREQUENCY_160M(int8_t direction) { diff --git a/STM32/MDK-ARM/WOLF-Lite.uvoptx b/STM32/MDK-ARM/WOLF-Lite.uvoptx index 78bb6c1..399edb6 100644 --- a/STM32/MDK-ARM/WOLF-Lite.uvoptx +++ b/STM32/MDK-ARM/WOLF-Lite.uvoptx @@ -3701,6 +3701,1254 @@ + + 222 + 0 + 481 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 223 + 0 + 482 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 224 + 0 + 491 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 225 + 0 + 492 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 226 + 0 + 504 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 227 + 0 + 505 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 228 + 0 + 514 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 229 + 0 + 515 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 230 + 0 + 528 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 231 + 0 + 529 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 232 + 0 + 538 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 233 + 0 + 539 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 234 + 0 + 1616 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 235 + 0 + 1619 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 236 + 0 + 1626 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 237 + 0 + 1627 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 238 + 0 + 1630 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 239 + 0 + 1636 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 240 + 0 + 1637 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 241 + 0 + 1646 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 242 + 0 + 1647 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 243 + 0 + 1657 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 244 + 0 + 1658 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 245 + 0 + 1668 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 246 + 0 + 1669 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 247 + 0 + 1679 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 248 + 0 + 1682 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 249 + 0 + 1691 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 250 + 0 + 1692 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 251 + 0 + 1702 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 252 + 0 + 1703 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 253 + 0 + 1713 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 254 + 0 + 1714 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 255 + 0 + 1724 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 256 + 0 + 1727 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 257 + 0 + 1737 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 258 + 0 + 1738 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 259 + 0 + 1741 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 260 + 0 + 1748 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 261 + 0 + 1751 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 262 + 0 + 1901 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 263 + 0 + 1904 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 264 + 0 + 1911 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 265 + 0 + 1912 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 266 + 0 + 1915 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 267 + 0 + 1921 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 268 + 0 + 1922 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 269 + 0 + 1931 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 270 + 0 + 1932 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 271 + 0 + 1937 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 272 + 0 + 1938 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 273 + 0 + 1942 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 274 + 0 + 1943 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 275 + 0 + 1948 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 276 + 0 + 1953 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 277 + 0 + 1954 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 278 + 0 + 1958 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 279 + 0 + 1961 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 280 + 0 + 1964 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 281 + 0 + 1973 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 282 + 0 + 1976 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 283 + 0 + 1979 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 284 + 0 + 1982 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 285 + 0 + 1983 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 286 + 0 + 1987 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 287 + 0 + 1993 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 288 + 0 + 1994 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 289 + 0 + 1998 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 290 + 0 + 1999 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 291 + 0 + 2003 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 292 + 0 + 2004 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 293 + 0 + 2009 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 294 + 0 + 2014 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 295 + 0 + 2022 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 296 + 0 + 2023 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 297 + 0 + 2026 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 298 + 0 + 2033 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
+ + 299 + 0 + 2036 + 1 +
0
+ 0 + 0 + 0 + 0 + 0 + 0 + ..\Core\Src\system_menu.c + + +
0