Wolf-LITE/FPGA_61.440/clock_buffer/clock_buffer_inst.vhd

14 wiersze
329 B
VHDL
Czysty Zwykły widok Historia

2021-10-26 17:53:31 +00:00
component clock_buffer is
port (
inclk : in std_logic := 'X'; -- inclk
outclk : out std_logic -- outclk
);
end component clock_buffer;
u0 : component clock_buffer
port map (
inclk => CONNECTED_TO_inclk, -- altclkctrl_input.inclk
outclk => CONNECTED_TO_outclk -- altclkctrl_output.outclk
);