From 240a96902c1b9474e0f60ec8e7b23fa2d2491e4c Mon Sep 17 00:00:00 2001 From: Mateusz Lubecki Date: Sat, 9 Jan 2021 22:16:31 +0100 Subject: [PATCH] Sparkfun SEN15901 aka PM1S analog/mechanic anemometer --- include/main.h | 4 +- skalowania.ods | Bin 74796 -> 73492 bytes src/it_handlers.c | 4 +- src/main.c | 9 ++- system/include/drivers/analog_anemometer.h | 6 +- system/src/drivers/analog_anemometer.c | 90 +++++++++++++++++---- 6 files changed, 89 insertions(+), 24 deletions(-) diff --git a/include/main.h b/include/main.h index 3214142..a15d1f5 100644 --- a/include/main.h +++ b/include/main.h @@ -4,8 +4,8 @@ #include "aprs/ax25.h" #include "drivers/serial.h" -#define SW_VER "DF10" -#define SW_DATE "28122020" +#define SW_VER "DF11" +#define SW_DATE "09012021" #define SYSTICK_TICKS_PER_SECONDS 100 #define SYSTICK_TICKS_PERIOD 10 diff --git a/skalowania.ods b/skalowania.ods index 87edab710c068408f2a7622c17a92c9486b98c49..d12e5f56165eed3b589b9a9741b20ca2112ca2a7 100644 GIT binary patch literal 73492 zcmb5W2RzmN`#)}E&j^u_va@$3sSp|2GkbHaV{aiz$ljEdJ+i|wGcwDbhlFgh9mn~< zk5%2D`*(jI{~nL~et4X&_w~A7&*$@cUe{aY*;DjOS5Q!}QBb~SuqXyt3BTq*K|w+O z2YiGAG6xwuxY`=)+uB;08|pil+gNitSsQWK=!4C{95%Mb)$p+@(G_kVLcQCf+{Qvh9aHgYy{(n71^)F}H z+SoeUA|L(#d76Wbjn#jjM*VN6+1r@f8-v0B{p7#Zi9F9%-`d#ftWIof>=S-2fCRo^ z`j->+4GoR0i~(qE>^Th`?d_4oxPYwCQJy`;Lh^}%hWsD!>;Db*zm)@a(06eBKdwOw zWc??#<{*7jV=(7Ka|e*VE%-m~f)6|l(ziA@F$Ozu*c+L2M|NBLaS{8k-wQDA8F|2o zfnm@5rP?C3f&ZmC2IsE?k|{`Nq^d$j?o#T&Y@q}%G_)*nRiC;&_+xgXGM^y}!hc;EA6A7NYlk@H)u6~7LU0?-WxbK4y9GNfv z4t~_1AK=IZ9k|-w3*wQp_^m> z=S}F%D7_?}HTk8QyRL|ZV~$<~<@cg8r^i+gdeTh~-!7E>qE(SBLULBNXZ8&P6$Ql) z{hYIZlfp@>8awDCxekexwMpV4ez^`OYwsT1N4IT2qgPQGe3YxYq`Cz9JVxlaT&~vY zzgo}PsTN^E6fVRyyO133j6XMdV3sC1cX!naJ@doeS|ciinM z`(cMqvlYU*p;(QRQlsS<-UbJJL)57u72bu8H^mj+Ejoy2j#MeFcR!Z$jZ%m`yiU(8 z#O6qKS4pNR%^K#gtMO? zjlyzN;UOLq;p4qgUQ#1_nP1s{W~v^MNjUO%BAR25@GHOMDy({V77^)qCLi4-|G*u* z*j-lvcSZ1;y2nWL`oKGCpwgG3z9$mZ36Olt>`f2s~bO`FKjVQQyRR%|v=r9MbY_^jDcwzfPi&bwnB51wE23fCk(4LEp!%&0{Tu z8U>x$=JP1((P7oymdnL8Bc8h$cyHAN_gKo;iraBahb!tgtnfTy+Ybv~Lvu?sfb#S6K49`{K}m)~z2C4E%QBf+LRjPP`1c z_I~{By38t;TO?+JYOm8U^b%L-$F=f=q~Dc)_FeE?$4#=kK~T=)wPx_T!nnYG)XJUA zF7obYl5S(wGTAA2=@HIH_jlCtqVr5GzZJ+V3gsm5XK<(H^?J%B$A#^OwShlxT-|>$ zwys}bqKcgx{pKNsQog$VuDfnsvpI*yf9tHbRPfk+8d&~V?p2*WWDg=HKVnCDSh2%g9_1xy*ev&UgyYTC=MDn zUwgBZ{ajj%UH@jeJ%9MjyCZ*;oM)gXX3?a#DsP&5EkXjN;Jq+sm=VRI_G2u3Rf>&i{zs>XJPyaX#Dqr!%SH70K!t~?gXIGE4fb``OThDMtV z@4EN6q}+&}F5bMF7S$IxpLCb~$0|xGs9b2^U8EkSj^F;}d*Ul^2b?b%Xx*JW^jE)M z+$zXCdq8yklfA9|frsR;TJiA9ln<@$G7aOxw9*!7AlgjCA)^Nlw>@>=`v9!@-HrUf=YF~-Lv-E z_MOMgAz4RR!yi{8IJJ0{aQH}zM7KZZ4!!*jGjHVo8si{7tR(8yr$goA4OZF3P;u}3 zB}E2GnY{5a*M>#^)0~tKCp}KM@Ct|9d(`;yH^szgJ@!P8o*sy8M4IMT&0a}LyFM_GYM2o5vr8jv-jgQXsrB%*+B`S%HYpa9VsY4llL2^j!#lPvrli*_?u+o7Fnje!jQ*)Zm#=e~LCE=kU^u=11p54p1ja)`Ymo{%3@^Bnai zNiua54%}gAYksz{pQOB98_S8cv?#2!iO!KR64`Ze#4vhZP%#M<{f#ktxLes5b2x0s)VE*Bt(a|IB~)T*J@)V-jg zmZ0~ygf<(V`8rA8R(^6%;Wl+5jr)j1C>5F2hh#n))bY#k)zYSlB!AGsK(Y8CFZ6Ag zP%6aQO_~3yMPu(&&XV{Jf>ujO+Exw^E>L_}9yVVFd6M$eFpu|8?bB3Tl`=`K2XCj? zZ3PZ>S5J?Lo|_+*^6bTNyWSfzzLCIjq9b#;G2Z*Bbf6OJ)uD8bGfe{u)7<3^g_-to z5p}KNLicdZkk7U&{-UdN%7UfiUl3FD>YnwtWF#eCKSl#zX|u=@VP-wt{mCAySVuq2 zUOPA^e(<2{`f#q|f-qn7y=%&)1rg(0pYdri5r+4zrb;da2M->HW`1okVrJEix+Jh3 zZ8L(YDJl;iAm(R&f@`~jmSwu;E%_L(Dt4C|x@NYM%cvMNOuv+%O28_m)N7eQ$^C3w zdPh+0FrYjCFwusaBS#SUrDpA1Ox1CR&S9eH-ssw6w=fiGtEE9vsYc3=xkb{Ozb+59 z3bF~bx=CNvXCGmTwsn6(gSKb5Be8O+LX2qKLaRAwY#{1kThnvGszjSkgcQAbWZBX2 zbE3CHuM2J@%U@@IccUS_4%LC0KRliG^}`5P-*uObnva4h3ro7ad1cT16QNoXGuy>x z;zo~QvCDMo?o;3A0xD~3wK0nZNG#!6*F>(o0>M&v?}YSDF#35h)@c+Z;LnZ3r;T1O zq+8oy+et*6?Dm8dk2wf|H86tnzxG5tV2d!cv359nEm%p`0?$ggy5vs2Bdc)8S+l(Y|gOGA78^>9avltim>`SC?=&-#>Za?Z?1A-NBG z{TihpM%sndew$Dw54Vx~5TStFWjBjd5plsSH62Y^1Pr$BRqJU+o7mw|Ju1*SzPpV| zldwacsn@(f>%Fx}ldLMaWACDE?oUg;dJx<-)J=NG%9uy7d+=jD>DP?{)vT!s>gr67?m;vr zyeOrXVUd<=pC;`qhFkDBZ5V4@cX8pIO^Gtf_xR)cKO|HJQ|{(`>X^+!gm(?}QdGy& z=&tNe_GCc?Xg7)i2~y?jCPcipgj`$-kBo?d|#$ zd=PL*GWhzO>j9y1ug*;T!-UmlHuCH&=rYr4Jp{4lF_QimcGCk~lTxIovew^=3EtaZ zT59)b8w+d2Vrq=9gx2zi@l1-sjb#O|>GVI>y{?`D;@J0^ zwwa7hESu}-8q~d`xG(B3-op`+Cwep|TIhAuoCh8zI%Wks?+ovHRhkWLXwxw5f1YK*YGg;`Z5->Dm&;T_otp3>7=p@|)CW9g+$%HKY$dv3F^ zI=@s{b5LxZn94+}36h7um@fz`a(N}%ZE6xiBB`R%TcDX`TDmn<%K({|)w;`0($iI- zi(a{w;s(y56;3UHE6q0sI(Z?A`Hhq{76rZCL!A?yz7AR6omy@3b9wF#r--`o`=+pM#6%}Y|O zMEf)*ZKAGMbTc}{ct0Di>l>Wm6j@>?gtt}}=#(t4zJSkn&3XvC&U7>z&1;m#yA=UF zU7u>RQ~IT67_anK>%NsPY^^N#^JctCsQ?z%3at$qKj~L}gIz+VZUe9SB&$!8V^+e@ zZqe(y+Ut%L-HYvhUbz}pax0<<6yLOBVI9!tqCKXi;L$~4%eCR|rT2Tu2ft$GZzdGD zwyITluCjGLHkh9|u+kV7x!!sdXwB`;*a0Uct-~3;t?NCu62LJgABZxml&QOuLx|%( zJ$H0Ks~m9*rN)TAtJ7aOCAe3dipE=h^yI@g}3LbMVCO-w>>y{#T_Ut1mPSt{-QN^9CS>~8l3 zemHbhA2Q)s(+z$@8$Q6RUc?}86x!_GYl`@B`Riu&mb{zZ&Nn69cb=}hN9mA_Ot%|) zrc2wIZgv%Ny%0V_&ik$cmZrV+0^p*@wE|Fy^~Xp#36==go*r#+&SNBl7UUdDSX#1P_gSZ!eJq|MLu z^u!LY>2hB7H%dkox|%TGqi+Tt_v=lDR|59eCu?Eu+v8A#z`>&Ks&{GX@!a~?dM455h%ZrDx~Lp}Cd_zVIq=2ef#Wh=3#ffe z0-l6!X_qou_gm=~x)$E|Zlqc_XbrC z0TweO_e&ELSXjlos7@|@m)e3VISC1M($U`($zsxlQXleFsv%4~qKbG+>eD$&| zkf}i<$^dNfVzy-*c(7;)wSAZoofymMr=Rl(`W4B~q>_XwT)a|ZH^*;ILxhkwZm#$# zW1Hjx`#wEAcn9TR%n0Rs1EKYEhk5-c)ci`+Blpu}aj8aWQ1?DJqUL-0Tz|yVX!m_J z*w1hHC(5j4Bewu!4&O z(6C1s(QUCznu1k%{nnn{bIusSZDqs=0fqSo-4*gL&2H#XjGGoZAaSe^HwVusAh z%VHc-vp1!ILo|3X zE{_{wW1@E2$i2>bJ;=wNMrDXS;V7{%@u5fQDG@~tg& z)UBHMBkvhWsgd=nqVtQNR^j|5$>ABPDpvU`>0-aL;2*E8sasv6^en;1X!{+0Rig9o zfS(yb3B?K7;>td<%8^lg5KkUP%o(np^ecDs^j{b<0LWORXE6g1H_+h>%{`sa{tz=L zF{tB%L2u^Z&LDHtcq2)2L?ERnB$~4XD=#GBlr}vqGLD*zfp>S+K?C$(8U=Etq^TzF zQYLOxe*JQVU}ir-Q67bHS|HfZ_UeK{ zsI||Jw2B8FB#fBAZ!29Xk$tI0Y*p?x$|-|M0MtgVfLoy;tN3kyw*vsUSttzG3S|9P z;f-{0#H3810e@uODC60TEao0CBj95C`Ja7~d$}4*{>q(C6)R+(&e$cuDpV?P9%`8$ zV|J~anjI;PYhWUEa;~LMN#_>)($F5v~tt><{Xza_D6j0Ep#Hl(O3Gtca z#oMOq~az zNh#F{*mMBcF9EPo@FNVKLk0k}jms6vDfE1?sU~%RMzY0TP8NMe8?k%2?ia(ZUbzA< zaWT%zX~LEM44`h7ypY_zPyfLqGBq*&i|Ztid<||hV%2N>VbaARe~4B5k`wEhut5X( zr^2o^td110_+pVhuYkJVa3yV5&*MaW9Q3xoIW$3v56Phtz+u$?!6EOdKJuxYM?u3_ zNPWyJ5dNu;s#iQ#IZz3=8Exke^(`Q{>Xq#C4!2GFqw6{CMC*R)tbXDBoNCqF8wC1#klfdLh{UuU;^|lrnf>J77Svn0jximw(LxjV27-vgA(0mW9Dvz zuzC7Cvh~;Qj{P1tOfVF?Vp`pkSt z(aB&IU_BkoE^5kWS-qd?MV`&MS^?Jm^mN4`o6uUMPgf|2olMYT^yb*>uC%9plJfO; zpD1qx_GacoMS$V-m!nQdT`LD{O=&v;_te%W1hIqd0(3kwBOF)Biu?f@FRNml_AFyd zXJM(v;#dUrCb5;lsmNLUu3`?F_7a=Erw4_EPWi=hlDe@ zwfj~KOJk)v2PE!*CNZ!_E@T)O@2! z^uCj2;ad5F(|+-H8C|D68KYT0;o_ikc96**Yj=DiD)~q9_{sC+Gz)(u7uPH5zjV6b zNU%RUU2tmB081qY3ZwEBd{izaH9HQhZp4JMtY~4(apx9!2DghY55PMB$p6mg>lQrc z^BD;?F#9)JvjMCbN<$JFKm(5l;?YvyAUm)y?Zh8@oDOawi7nnmJJl3G<&Y52;uQ*H z6A~(EW4K6b+8E0w1Lo*se(RIH;#5;UV$%7YYCzR7fM?c{0&d!R=BASY;bmB}$OQ*b z@p^-QG2(?z;1>W2qY4GXst~HDnu2b|GAoUi=|jMl5L4#45Y4rTj6LN<2fYayTdok9 zjrr&Lp)JYn^tR&yxU-h6@dZYC3;-O7%7w)Qi3z<~exiT6zX99cwerVj1gazJ1?K)P z+K2@I1WqVi*!Z>%)jP%Xv1B|#i(Tf+7_MbNr2-4Dt<# zBm(pm$t9~7H>%KU38T+5pKg#pfemuRuUTCSb-aNWDY|38E}ou=j*1u%9of0)4!EQJvAv+V>k~APT{H9Z}(fqZNUfe17FQqL>w<_Q}G058I zzX^Jz{)R2ij=x3y)uRSWF`>nD)%^Rp0_Y=>XX^P*tg5A$h-&pT;fFdUX<+eHHLh8U zS0%)OK6@B;-<}j`gea5w*3_O^s55qOiGUv(9v-8xYO819#_#CZGVnL40*z!KTGYGv zRGC0-L!MBQVs7&YLt`0gU;}D=lXs()hg#7v88RltR&G+RSWc-IaJrKE6>CP?UBY`D zAqu$dvEJpvXHA1B`Zsn_?xM)Kf>`luKJ5P{Ol5!-GucL&Akq~eXz1Bfu!yAOC-XV(_ ziJGOKa#+7KSR>zBkPAUzaYCeBlwbEr^6!5pr?@OP1|(0;?MA6kI(rKd@*hkdFhQw_ zL}Jo%<8~`qR~41P?UoyQv|pt}%T0EaRH9zM&(}sm{c@(+Y?Sf;iJs29k;JELY4V8Q z_gZdHwqpjct=;H)$?Aefo(SCWJF&?lGUKy59umt@MIonR!C%4?rRMd6%qSXgr7!$ z45Qh$yAFynPksu6i-qF1;|$XVWv<%|(-1lAyLU7T=vi^j15Il)Plv zc_uhExUBk#FH*RbLW;SDx#r!lORvPglUz>T)==qUEnB+2bCk9kHD#36T0e~OfWO(o zpb4umsu*1jc%u8_iSBo>J~`GRRue~^+3b9dZ!$JK3bW8f(IebV$CHw2H%|IUjjFI(lO){{h;I>#K!{@7#0R{ zJ^hNW8R?*yq{5vij8}PwsYx>#I6c1jb+WCP1`Zpud#j9bQQZhp+ULbEHgXDn8~6nqs5W?NqQ0%kB)l` zD-o`LrYG)ZF7C*laBw6m%}X4hFwYW<&)8gdMt#=3@#)jFqi1yf@}b*H8HVQN<+QiU zsO^eZ{B|iP9Gu8XOYwC0J?K}ytN`i4x$f3X%VI_qC*lLesWh#uq@AIYf@<48s?ya{ z_$j|M?}w8UoC777(!`XamNtUKN%YoEJ@BV(2*i(MS?kp&MVFkF-)1A=Hu)<2OHPDw zEbp*W=C5s`!9PE3Dfv-+J(!aJra;Z@`L^aHao&~w?IaUtvc$%zZG>HI~a1A47?dx`4~q_1San;PL%^EOsZHp#UffOe{hb-?=HCby-(L{k&8Ww zX14rTfBX6y%0YUkPp8S*t-^&r^goaOxXIsAas)$E1rF6CZVxkAGJBW3QI63xd^F;v zLBXpj(P)Ji?5ch2Z#+_^FV|4Rsz3Wp6&A+vq~qv7EoX@KH7&zU$IPLTSSt8O4wI-i zFGk79x2leCI*OsJagpnV(nI?^p7*8ZJJ{l%9WhhpuzC)PVKSV>R_FOO-{bIp$g^U& zo8&SaHDPD&bgV^@v_7Mosp-w^_4bWFLM1R<_wshb1+Ht)p)+KB-`Pr3_J6QJ+!GB z8N4v@H}v7T3O6bDnm@f&xQT0I7-%&WQToLH$m_6Dmv8>*;~+}ijNw>8Ht%DV+||JE zl8sN;7nccs5s2642UC)z>#faCbA@%LzQuSmxW{9AV7e%lR9zNPkH_C_@ z(22-53f0za^EZ&_V2%UnbDzdv5n&qPXE4$ptM&@-O7)JmfWO~Y;8B#c;FMD3G1c#4 zro<>^zddhvKpLShnmGUCQ`W5z&VE?u-mBxIJmqDg&tVbaufM5X|FNfeX#5-*4pzeo zMABn4LIRenvec|{w?mY}xdvMw-AI>ixf7dlk7Lpa?ywpI5;z0Dr;0SOj@-Z#n6WKZYYC;<{+@fH9~$`!8tX*)tDL7W1<6IFC9G_1 zJ~h@U@mH0^DewRf8PLRv-k{tKa+UL|F(+69|GpGJ)-h0KZ)(^-nDY|oHn$aIAp)(? z4q8HwbEnEe0v}35KY1&d@Jp2nV9vsJkvp+w{ub+KyOUjF|FpFt-B|m&YlX6IWDC7S z_>)(HF`KHCJQhIU=#9k6AGb;L1$jR-PG(@2m564JLEv#qWdT6Skn@zUAG3l^Qr>30 z0bR8LOOMM+i(DJ0EVFsv_|LsJzvFP1cnygi?jRyyQgM zCiC0W3{H!pi{^w-nhO?8K?o;%#=sB}AS}`3|Z_#9pxiA|&3&M0wXR*oT z_LIKVB@uQi4HypJ2k>Nh&yK;}VKx;XN<82+r^4H(7a6IJIPItvBigFoMQ!H-uyMU_ zKulVOd;81FuG^zd>scg4QQjj6{l0`5c}MQWDXU%jSyZG`;S;x7@I@)PQEz>^wZW&w{< z4k|PD>N?T56?#2c2t+zVpt!(@YX1}&fU@efa~m1s5j8m>ZwMWh)Z-XC)*x|A7JcD2 z3!h4(+JHXHCNb|kikn2X*FnYcxUTmWKod~sr22o=xm)cbx8U{!q<7OBl16(*%cTRd zOE9I|?&e+ZNI>UFPlyo#$_!us2|HI#@^a5v(`K-589EIoJ!Zff#i9Y<8Gf{cehoIn zKRDi?-oi`*TwJOZUd#b-Q)cMaeqwd`SfK!xH_Cli>G~T0i8TRkA@Li_TfeasfmcE$ zX7V0eppQ#s`CiEOe-0OC_(8hK{Q)de_7C~oI&cj|=^YEPp1Nue zeFg^D9W}SE`IBpfrJjWjwb!GL|Gx_$kA5rPvH&2ZJl0SUUN2dDdNHKlY0mY&q^Sc*NV=Ec~Im#&gy6MAMX3BOC4`!Mz2C!VljttwU~8{&ytJ{%)n7XEZZ_ zEnsfH8_BKsjIJDTX4~li^3d`=K?_+x_XE+3Ha=qkS@*fM-ls?fWdC$oo3jF3r-%_( z@>Yz2Zqr(Kr}G}0qwiJN z$v6M@upOf_uT@--KARbU-zD@{>quYQ=b3|e4*h4by`Q1SUxM-?w2+CxsdrBk0~4sd zi0;Y1v{oVDQ3Ykb?l%$hnt$+rZsTYCODWq&dQOV~;6~rKwOOHH$hm*p@N)W-jGmoa zXFHza!WY8PS_ac_Yz?9bKq){0x)~E-TOjEMFxD^UfJIJ|BD?WiRFDt#fT}Bpsv~}@ z4=|I?H>JqAex{U@rhP3{zIg|(M3z^rw~bMRsGdXCI8k<$iq+C`S*Qtz;&md z_IEdVyR$l}`~sTvtLmQy2#ltaV)0qSxvrgym8lPa-ZM&I!>qL~ef_trDE=X}`Fg<;>YXhQgs<1(IL}(3PqBZN>R-ZPg33mBRnD zmCnDlm5q2h(kJgx9mFpF;eMb0*C$W!1qj7^GUL2gfqlvQQP$s*vRST&uk!0MXf8+} zTj!s48aTrR$>-Qm1_-qc8;%C)N?H}4f~Ddb2Al-7y1N4UqZB&G(Y(usOC zQ1wx?R*`~h>Cuu_2j?TN8Oyh7Nw@Y{KtLFgOGSYDE?_D{*KsL#Zj=`Yp0ZC8KBjs; zr(#>7Ug*e)f^~2FizC{30T~rLCg)s@U$}}(g^altNWrb4l=2U*EVOLSxhgL>+k)R( zXlIobR4|K7cSgCpTnO-@1lB)FXt0j|Rxy^g?PEG#g%~@>RdL+ye2vQiT?i|%_Pm1C ziGHM0oNkezx(oZMxNr)Iz0p0JHl3Ihenfl==er>U#YlE6}KU z;eQP({c}nE9#mAW$VyKJRl@IY87v(B%jTg6+r9fyJ38(grcTI_r_z7Vslol#tu}ys zOdZhd)YrY=lRfYsll?J+#ICQv2QYsoQ7zTp>*Ko4vW?RP-Sf%Wf<93F@sWT{hsLdk zURtp6_4Z#3X=T2gifh7Ev{{qymE4u@29*Kh}_c7Aww>K?RaqusFeMs^>qhCC*@EWeUEd)_-R> zcvZXTUl#E5H#mTd+fcgEFkSA$4Y2q)*6|M!vxT!zT% zbfJ)4)~2lhd;aI%B(V9=RdazmIm$f`R5;x+-Bv{B0^TsE0LkwzRt4{&)xGi zJxY(`yqjKhooy2bqNa)c)z$z;^m8eGfcKw%;{kQP3GjY|Xcyw-JAW(5BBcV=3NI13 zzUc3kq9v(3$uH}XkT8?bV_EvK#6Blnacn9w&ER*ZNlsy%ToSQx+b)jp-Q9%P^lFRH z4vUa@zm+McydOWgVKu}f-9XX4kdU-297)iH*@ZvwP-UUYEOL=K9#@aXELLj{RuLZ> zkt5K|RC+C?TT=pOKf4vS2EHe4^3iwHXE<3idx@F?#B-fN3AGD-)jrPyD$uXMGib7J z1(IdLX(l%Usy zJ^d2?_@+}fZCFISm7V|%tbgeLDkr*LMyw0(*D2(OJGWA(>7`!SZOR=;s^x{}Z=u5IxBx{I5ViPH>R(yU}qaHHQ)`pcTkMzAb zqV3bz*SORw24WST9p1{!YwLS%waR808u2XPb|y+zT_dsp)iW+EE!Y=Qc2}FIpvsIg z_H*;ZgE7wPMLzRYfanB058svHAKGy3p7M9X!)dHOEaOIZq#415dgnxTB(aUn&y409 zNBM+Vc**t*_8OrF3l}fTu`4@<1`8mmM#&QYHzdCPL}r!|*jQrObZm>h zQ0AUqV=R9*D9kYiRGx(vNB(b`(!II0t8)!0lIcOE+q}>IuWB}YyMgpCPtxy^ou%iI z&Pwngz}{vfhYf)k(~SzdH#$~cnwG{WpoK~Rh*JRy|Ln8=^G{8&kIktbKtRB~bI+n9 z6i6C8^wcB41iS|g27Q%5D&hzua7+_mwbl^qUmWcVpX!Y6;q4DmdEP;hpQ_W?yRu6tU^-ecbP@D<9zS%>!{go^RD` zGV1t{N;)0g();#77~-fK2!QXc${(-pAm%AVkAizm8@lK&9bXYeh+eJrK0FSqhc|mq z(k|B@)zA{{I)hE;<&TQs(%bdB%Q=WK`S?q59tR{lzlcQddLL9G4mlCW@`&AG$ARNt z>&IWd9J$@o}Td@JOY%xQgp%Pha(M$UVPcP zNzOKn>k9<2r4@J^XIjFEijPJPg*DNfjDd80Xoa(-KIBi$OYUhlfXK8m=`|l~LBATX zu*Zl$ZJCN6YGOAl8F?yIL+5Q^j`H~<2{Q!-7sqR}%hsN4cm!$cswAJ^eRC#SuoQb* zPe-e7{-BA6l!UPLbIy11ii7K%e7E#nS%NO1m*Q}bXc5%VG3lE>YvPF{A(xX@mzBbq znRjmKEB2quWZ+4NX?M?HxGj*p`l8l9(-)^xMuytf;Co+G9I>^RBtC(xx{gKKyPP2U z=md2ce1RL7=BzZ_%5z#-ouYcN-e&d(P2KCS-rs@#^6(P#^crnm@T(!;aC&)__)#>( zSQu`G`*YhelhME^5qkMiIb_ZSu1ClfZ%ei&hQE8Mp$1M%{AdtjTn#tl`MG-$w*#5m zhwC*2mS|RCagQVt)--Hr>_FS~zs1OBm>T(Jk@Gb;WYdL4f)=%#(?#-+ABEWhUy zMV~~>#A0i;AK>l4L+4=Ws1yxW(Frc$Ag?y$C$lh4!eUEz_6fhM8_P!+5U2ulT^%Ay zWxLR)niF(&6d>RkbX^D{det_h8+py?UoChZ_3033iHA2I(@)q17@qaB`^S3pPVe&r z+=N?k>TuckTgTKrX--*t;9L4uGMvJJ=-hN{k}$`2&2Qj73;uy?EZh<2P1Y zcMY=Fo$o*S?gGt5`V`iKvVZN;Di&hAm4T8(-2V}^XP3ORy~(2(7lbMe)lk!Eup?$B~OLqLDq?1dn>#tr{}toOMxGNc}rV{mKmN zdezJU8q`}6>iy9J;mGv>s>{ZI`R4dh=+z~dJW#g@@orNQ&^}#3QVU?;by4714G7{p z3Z}$m&ZdHOm-i6FkNx9i4vH4zFu_iy-C+^66>r;8R5SpE%C>jwMQ6x&b~9pAL%r>M zo{yhs!baEy*ANK=Q|BI5Dx zXF~v{jf^eT4ST5rd#Sg96HpG1H7|Jdw)a3_pzVGvA_rt`Vu@v0xb+Gs+34U{uYuiO z>slJ8SCi>Bz{;9Bg z{M?_BbEh17_5Ol4`Vd_zP$cF4*uB0>kO_f;0a0C^n44z>^l0^P<30-|@gvWA(?c(= zq(RmTDnxqsmo)G?W9>$|>p5+bSx^)~wjKXD5Pbj!nA%+q_cdC%QeG6(1m zCFr^a1e%EUC#vhh5Yc$_nltb(*`&84QE-o0uNOk0HL$oqwSHm$81K>wcNP-;s?I|+ z=z7lj-fif*ET*S-!G-qssG+I&RV-r2mb6uy_otC|hb_JDF8czWv{c!l*; z0e(UO_(|woV(Vys=7ub7GA9(fo zWrkzA<8x12telpPj7j>fdSncjWw?Ywa2w!XQGkD8Ey2+H_x!4}{yq-)aV82$>&TiY zIL>R*bE;4|^Lqf!lyxlLS@SED-oR;vioj_Z5K+KUg1l0{o~l^QfPM!aJ`3YLe6C32 z8MA%Wpy9eG`Tp?}Pj8?bN#U5qzq3h(uJ`_|EOOmmTvmD&6z33nkq^hqSO<@6lYZhb zlwt{Xvu)4R);Qpvy7~-vnGf3>P+R!nar-Yg)2EkGc>^uMfVb*ouZIEp`daS(%WN?P zc~66?hCNB(;m*C(pLaC=K;PcOTVgn{GktD`<`I5X6H+@l>tTSWq*-!ToDMBu7#+87 z!YQBo@rA|Ec>8ozY;v!~#7w2wR{&#Vcq#R)t{z%>ps)6FtAfnu!qE!fk(?fNG zqz3kw0KWFFcc+6S0S#5nwxK~&v)AQiphRn%e_VmIchApVYvJqAko*ls{cpm69)k{# zTejgFvhi8&JTpT`X{Q2mtYa9T4aJAsTl=od1Ie@2e|rirAw)ONJ%wo_?+|r?Zr+ykU=N2}atvcQ;b(&zVHpwnt%Df@gf<6&P>NlK~TH8(w~D ztH@@s`@{D49d}Q!2e<(Kex=#(VHq?F3$`24O*`=l#kJp2%=~{e()ayi1G$w$9pq@x z?f`5PdBqd2(`Em?qa3$v!YQyvc#Tdfiw3MyR0*02SSO`zW6^0h)ssZ#toKqwb@8h< zWq)7bJZvlachjW`L+Y>EiuEEF9?Hlb;AbZE4(ypC5B2d?XbHAmM(X+`m7DMA>|sPB z=K|16GM?Th2VSOfeS1J%wZM)A%!T7Fpf0SD@;c-t_f?vKO9Dm6K-cx4>wE`Z$bA#w z&=o>^HgQ`P&m(N~p*H+~Mx0JtR5cWhX&CPgJbn?zz5**?gmO-ttP8xod!_?>3nCt( zRaAFv#$m{rVEU&Nki=Y!7t@W->I;k#{+5C;1WGgCz_C$aPh`?ybB+8eLMFu9gc1>a zsc#>+Sr>3K!JpqstLd3(WzVEpav#mnZ{hHUSo3?nedQGwMM8z`8xlXe}-!t^;jy z7sSIlZ+%zb)2o!?E!dTin=joEO`FFQSX^9*8)y(EUA<#Genf!raRF*9CopACmjvJw z!(%q=kur;u^Ja=0;1o;8c%5)9bZf@Z)4D<_flu1ty0=_b#7@eO6Me3Sz$*umAqqC% z!GWzQ4!N)51D_ZoI7!gXs%P{k-$y)zd+Q${u|Qm4aR}6f0I=xR&71I}?1OSVLAjEE z!kmA}ho319CHp*^EXox*v}teVWf01^8hc+>dxs z0^(6o)buP*F0fuqhyKhA^Bos23Ske5kSrw%pqZ{d{0I6bC=KhsXs7fy34yv zDSqIti1}gcnBLA+G*Q_39lCNF3b|F@9GlVm9#@u${Rz#8p9IlTuZywgCg zhu4^-_X)ditLX9QXD_EbB3p{4Fd|(MYsNuld?0oVVs6hIy?h@<Ai@>17aPNEj5I{8ft-4xwTrJPYuu zE}{Dj+{rLobXLJ}Bm2&_;^~FjXJ-4l6fv@>Uxpt5aVFMkKd4t^dm2C56K+VdLSNG6 zJSn~DfpW)bMfO|c#|Xj=CQYaPpds*x7_S1`N5WmkWjzgzz~-cINAbgL%iL^|`vCTW z!q}Z(#2RSv#%J1^!rp&*@o}=SU2G(g+TVDdPFo*|5}yKtCjh9mJ#kE|A4+U~aXJHA zO7j&93{|5WE3)KGJf+0bB;3%KPg|Zu0_VHgTYn^ui4Q=bc=E9sBO;}l@G#3*oV;nu z=*DecJbhOU;O~3m-8jj38W+1%+MIa^;OVAYLyxC#bMmQ>i^i)*HslWv`?i%QPo6|A zR5uC?ZoMDK>JXaW{%|Dmw!g8-jnMl8D22zo+GQFEw`Q8ZMmj`#7k3?@@AJo8=g>H& zj(BESM~~36`9%~H>0RZ6-L@<`G6`GI?cWwI*biTP{|QGzU6l)MO1PQOxyOZ2Ih$Vn z@|$A8M(j=;3GJ}Qh3V)+=Mqs8e2?9UE8b9pJvPJfqE{ccZu0r%*S5f?Z8+l8t)JEI6n}l< z^^{Dh2`kI+%cMU8HQQ~}bz{pw_tE=-EPR^f^tWfMn@B=QV}$xVYlxnYI|WzPGc7O@ z!iyFeZ8P6)!ee+cz`sv+~mb|!sgoAjVXzc^N3Et%0eBM}M)le*gGxBH>j=;8^! z$MiVp)nc=L+%5Z~(T<*of*@#1dwa1``7(oto?DuA8gVFDK-B|O+6{|2Mw$0EYO0TK zOvqkovP&Zl#7Su$_yAS>9JS%Q82<_9p^z$Z({mAtc-$K84}GvvGBMzAf7>Lw7X7q2 zt{osJH!^3IwE>XR&sHr=A?rIM@Q<$L!pXRSNI%=8t1aS^^R@M`ZLQq6Q=ojY+i)Li zX8=El%o2Hgg_}5CQ}~0H(?4yPNbZbS5h4?>$9l!4$oZ6t1Qq>$8rJoDj9f3)q`XC9 zKGGB_Q_F-}4^ySxV8VJcs8_?d>R6>%@{uqDekhpmNYFO)B|dd8h^XpeZR6(nSi!g&*a;fegL36M_kMdLJ!-&r~$;c1%J zsrpovpvt6uL*3<=8XXDDfSr&Ca zj;WVgbsx?Ulh}v~nVva@|3sMxq;@EX`~UcQ?|7>J_kSG6NLE5#b}2hlDtlC9Wh-SX zp%k+Bu|vsB_DUf;**nR|CX{vT&9RSld>_wqB(K-|^ZWhf=A7$!jr(=K?$_gS3P$H0 zYi}c82U+3aTByd~xI1w%rk9upJ{dTpBGLJy1Fr#;V~kT0~<*?rtEPzA;3I6TfTT&iO^;U#%W2#zzPtx;G| zRJNDEP|I>6RT9TC>pA$;p++2aWODXBTI${;MOIGr^ljjHmLdt$PtXAOM#P8M3&I#j zNl9oaHH;O9?7yu_pG1vP-~;ckB@v{KnK#HVnFDf2p5la`P1-M>V4v_XC)m>Ori5Pm z2adqU6K&1;gFdD{{4WQQgFcK_OFx2!WTA2l_i#;Xw3vI6xUJ9hjf9^^!8})q@P5M; zEpC#R5V0JBFis%^llUk5s6i|zA|y|BpmnUNtZT$WYX#29TjRseUP9Lp<6o!F_`f2q z@7elEo+5ysy@K`-NZPyW{i*SRE?icbde2jC8-}}B%_ew`2m`trl86jzLSSB0QevrAFMolS^usq4E)c1eO7O#>+$aSCHqfy>gYi{-)bkbqJ)-H-evtxlk8 zYEiIZ4~pPpi~_$h(BJG3^x6#l!}L9=PCWDe^p~DOU?5Vk17S0A+?O|sUQ?zGqy?4z zH?5^wn_xrcP{4koaSBJ6{-tB{Kr~4qeK<8L6JP=%5dW9y$MV{qybX z^q%K86s%S(FIn!dY=m&a5mHz#v@4Zr#bRg$ncaW3a!fW(Pf{@cfjsmurE!WlDMJQL zEU_@Un6l>y!%lJB?#I6og%-Bb|LVapSIlC9cytir0V_maEByqw{?EL&q`OGrX908% z_n;nYeEHjBxd=(CL(!W~8ys&UoG`9sX?!htiWIdPjqS3i^=AZyaWjk1p;Q&Jwjzn3 zm%g){Aas>8ITn%&3x#-N6XJwu`(La5X0?r=0<5ezf`}fAeF*j*4;Q49pJWJ-GXZps zJj^~{)%#Nk(6jcSf5qDm5vyZq1YH(}<1hvu<5cuIQAcvmWy~HF6P)ZB!*Bsu=~kHk z*=~EDcXnVi@20Uxlro6- z6$R`3%bD|OfRM>Y&T?n~RI2#!q)TY2tKt2|BLR&d}VV2uvk$rUm$5`^T< z?&85^%5s2Z4kWTXHQB z7gWKCq9Wt-U)(uCn@4&?u#A!9rP{;Ya@-)AGbI)NvrG?in`Yhd(>!)wI#!RN?yx5!J5B)%Hs3g#AW!#k0YUFLEqIdqrk!;jfl7CA z>*|<`iT;ph`&jii(z(IsH%W@N+^0-BR_Dli+D~Y=z{=%76IwtMOs9S_pt26kTd)&p zxO?_ZdC+6&woE})$w!U({g?hwC{D`~QGn(TgJI9t@!<)jbQ2ZMunhq}#+QFK)fV(h z;s!jF5i6I^vaJSrOm1WC0vQ)Blpg|v^_Cmvtv~S^I-=H2Rhn853g-?>?Y};~h4sxq7=7p(R4u1< zp3#wXV!g2uRYu#{;w;@Fw|94*Vh?#lU|DlzxW8(Fd7Wd4SQf8C1mpX6yu%42WW0Zba9&eP=8?0 zmVUOLe+qhQ4fqsR@ORnPfTO}rSg9DM{fveKbZ8HnJ=`DLFhpI{Uv0rv+<_tM@-RnY z57;x&I+i>yNkbq&u4Z@sU|gGILZp1 znZ!~UX8@d*vVhfh7CA!3Z+(ByaFMG-C$R@hp_(b?N-4I>8%_U3p13ya_{QUUg z^3ZDO#v7PyzlEP90>FA1Ep?@z`Db+Zw^q!}3SOgzmcqrJb%^>0c_T33U;ZEU7iwnV zJY1GI17O?4At;0Z66`4kLTY`2hbIrn*A1+C0AD<DiW@u<2}j+r!EkT|q+9cx*TagsPywjZ%} zZy5Peumo^Id58XE2O&xTuMt2?T}Rxya*TuRDAgt~j0A8``@{mw*S3Ph`$Aue7*0CVHBoTD~vjoJ(DKr^7Ue*UeN&xb6KNk1+3WFT3 zdXRr$eh;%^0f$WuWnRqDFJXn~GcN7&Uugy@*EGR)Cb$2=3_uRekw{b;6}%A z{2T$yxG83Ct%SI~*uQ`0pqjzI5cV@GCgscp)h8|9hj6!ro|zgmD>- zUV;S)4(c`Au$kVNPw|C^mn?Aqb$s+Z+^rC2srIi4-3HNT>`eq*3r@)$U1%sq_0xo* zK?nC}>K+!(f8C?8;tuXt;C=yR^PPqB?`;Khs7%_!eTC(A4vi7G_5HbI+Q;bqy*S`E zv*aC)+~7Nga?A;+1_i74;K%zT>`(%e6!#B@i9+IUKtfl;2Y&?*T%>Cb?+q@jgXb?F zUXh`rC#U-V8F3rBltZ_=*OIEFobbadYbX^s8mgl`WDajyf#5>`e*g>}Jn0{+@M9Mh z{|HG#>^+NB=!S0W38uZCt70D`(pKsou+8<~_%!CXyxJ)b`pr(T*4|dZw!{NId2d#;T z2X$L;1}$qini{TK+U7H|{t`{5bH93jv-ZdKyM5ZNI+oRCcT4XVrTXyqk{61!WEXps zv?cSFhepuZE|EK0TXn@qIQPbN_+%6H0&5Bz|F+K7#7G&}Vs*m+yx*YfM|IaXFDFBn z=hqyGDHAR{ys;t3%KoTeA+o|Js)q7WA`y;j-7lT{G%RQK%7nb%At{9s+x$0=d@!2$=XvXwLUWMHVk1^Nmfzs620w@!x?Tn3Xb6Kq!*8OhMUL^qW-nR{hm zMT5Nv%u9W=Zs+OAp9Ozl<4->K;;N77a=*$hGvwob2jt@$IYUgS=JxH4}vvE!H@E-(O2LH7dQn57oQ#)u;(svbw3EUA0=?T z@Iq0)?$xAYlO=p-=6$?2sGq2awGVO4_5PdS`PeEdic92u9a7|3uWGBW4uAf1UuxQ} z@Adpe;o7RQNro2)!&8GY7edCL?J@?ZSbl-4%%|XfA7y23+}?PdWBJX;clzgMj8oxb zqTcpjW`sl;%TMm!F}5|1&!u~yV{am!JkM}>#UrgZ*!*K}B%{v2v=6oGr)61f8Y+aa z6PbP;zHn(m=SF;#hiPB1xk+CnqyE5j9QAshL|0jqR6}1}*hVwHa5XS_9wkI7^yyiX zdDL0i{!L+Cg5{?uIi82CF+`hnpqM)$8VhuKBmTt5xO}!;BQHkdf$0`%F}4G_%!gke z8Z=*XB%_+R=yJQy;UQj}N#6u`0F)FLXYwp@;e7Th;)StnLhx9eS^Lb+?K>B&KI(

K9)x)@B^lf*q30GECO*7=%vPV+w0YwHMOmH-rTlv93m<#lVXD|b zLXPf>Inf59*6xc58eKkB2}s`TKw{*}+*(X@fwC$}sxt`&1FsU6WZQCwY!ptmsY!Hw zioy}xF-JnK0UegV;fqxl^|j z@3(kbDxx3_<0mmh(;GnE1Ubqz8G~bf=Ab8D=goQH)A%Z12qwdOaCf}7syn#vc~j(Goo_B^iy-<2-Zy!Uz^ zrJmM9yxTW#;m_teXnjD8AsmEgDEg~&Rg`bRW^*^hX&>Sa&I^Up)62o#O4uqdJ8Fs0 zg7+_zJoP`jZztX78->%CV5(jRo~I~IMRcJR(jGo+VE%nE9g0b*NBan2 z%JttomE*`eTLKQFulGgvT&iVeUW!~Nq$qUnDvhck)F*iwW!O$ZF;jMm3Snm>fT`f6 zjym$&8ss2gf8|Wir5ii*{y8LMt zriZ(Qp?9nlPgXhSb!C7KlRx+y;I)t6i7o?{9EDonkYy`w<_IzfK4;q(ohY3yp zWJpR?l=Awrkvx>J#88+%;x3tr2qo1bN!9!ue9)3W0D}Y1Wn2ll`xu~Aic@FmEmcHl zsrtch(K@OVLDvc4Scx~l%QZ8gB|<~Ru-O2?0c|s0K*F!@e+>9M zTT=aqF)lhY=@USWHT9M3+5-%GpqO6WE0pvi6fKf6fAfxq zf*m^$O7xC3;KJ7m3>QeQcItZ`8R%GI`3`d6xXxw((J8h$#!Tf`$svx0@a$jjx90&) zlabWA96GbQcaqTB1zV0-m`JIJ%HG1++3pOcR#y#%B^>sf$xZ<6?=dK`2qaZ}_O@ zJ}j2NiI|o#M4GCWewpPfZ({#7*8E_s@wY_d0*RirEXHgNHYj0vi4*)fN}h}i#25R-tE0xLk5b8!1`gaLo9Yvx(Q7 zFG+-YLK{;)lePIG{19`S@6niX2QGxLh!SPncg8axk5YDi8XIL6mpOYU6@(G5uob6H^7P_Z91O!74tDLdjNK$a}SPf)Tukk*W%78*Fp!6$AD6H&X$o`s@QT z4oz=vLj(gec0TzW(6!=Bb!5XHgsJWC)aYy1u>AZ=vf0NURBe%3ZDAqb`9358K&lFF z9eQ-YL5YEslxmmO@of#JZFV+_W!||T#b>4OR8;Ht--;!GScRdOZHIvlW{_ib)U7f* zAVsY+N&h2wAc-AwMQDmJE@Y>~0e=i(xqqL(`uF)RCRg8WsL;J}-XldGBit`!gQAs{ zvJr?k1OIrsGsQ{JSjgJy1rS?N_G0vOXfSLE(fJ7@Bz4E~^Kc+;N%aX(-kG0@q^`sQ zDR#EW>omK_AvYb12L2W)J1q{)0IlHt|0<>+pk~bmlX5+5hw9vp@7evrs_B~-JzSVf z)uHWEuG2*}NVSq`v*NM;kB%y|qcF@b*%ZSNW(W{haTg4EfZxvi$CbYL|12D^@vKIc zKzS+e(Pid)b{tqrdhBmtjfZ$=39GD;5LI^ObB>-LBiNmP_uasu%fJDsh|@GiMcR14 zM#5~o$5E&j{2PZ-xMU$Oh?E0LPsT}_XI_2>xI6?R^G=>gw# zv!wc>RKJs54;>snAV^N|#J@UebjX zNX0yJ>-IG(xe*Senj*Eyy_}!Gbb=@T^@(RljJW@JV^)5OhIZyNl$-4@OTmu_Jr~F| z@_I>hkLr!z3;snUYA?L5gHHyz;}&-{rPq8Ph8)l@LJn{f=*6K@-|yR7o}@>;ZIh`F+TRd>V*aLlPMd-!)?f-b zQ{G)1rWR+Pm7h*YsZ}n261N!(8EB>8+5aAlHHWL;5LqmC(Lk<$eGBkg_!9g5Nws_$ z2EQ66J^`-ehc2P&yNhGggEzl)mFCUl^(@h6Jh!va#qZJcC$Wk*Vwcek(cWF0TPLV` z2Ri)%H$hb_oRv>5mr2cmj&HDLZ(NczOj3fK)h}Y$vN*G!cIMX|@Lz31`J z@t%;plJw}?^j|_xi%vY1i$_O4K^1%PF^aDk_jsHyR*)y-iA!ZqLEh}~h}@Fo{;_N1 z9Wj@rip+|t?&I%om$IzFg8aXdXBvrE9)!M&Pgq-gvry8Bvz&n9n+?D>D@S;2F0ZGFJN!hJuu zx|HK~bq0;fcLH+1K+@jvmjK0}pf!|^Ps0f2UBckpeWrF9GRKR*K8i#>`;+rjz0;o7 zADg8a!+lV>w**1@o021#w~IrFRFu@>&+VS`wcCm7>0f`%?ev^(HIgE12(ULO^BzGm_dqpS8@E$jaX45TjE&& z_erZT{<3iQ`@b$w?R+Mo?2IxEV@W*S`St1E(6!6Xj=g~#;{?<+k<^rRPxnGV9GiGj z0Z9qAhkkES<%(m3Z=87&UPY6jLrXQQuVj6r;Hn@O*!q5HZCr!Z&bz<_NA@e^CCj%oQJ{raSWo8OB1Bd1f7q!vtlV2#rPT}GQ=U%2TiEG{ z5YKuRM(p;>*B|GgSn>uXRhFCN;L z{S*!*>0>eq)`r|RC8pyLT*cMmp-MIB`-PHGdz<7W;fLH(1ScQZ;d#z zJ{Si-D7NwiOVSI*ni{2K4b?{LPI5H)b5@YkahTl#InZVCOAa=q^kw8a@?GhuqBv$i zTcWT}9N1{+wmmAbd7EPn!qaTzWjYcN(HIgeQme^PDge0lN?kUO^Y5iVj`J|RSxOp- zBW|^^R+kX(CK|_O?Me)0ALfbM{`;sWBF0I(##JS}^6+!sb|Wv#@2+19plO#O{JnLK z+ZBu}9i?yIIhbC|>Fhi4z=v*L%K0S+5n3AL=LoaAbH+msQUFbyPk2kLXKSMnA{kH0dL{YR??r*u3=~UKGI@JFgue*)?)it~`P+QBE zyNBio$jf*V0$x)sy0FU_=Pik*B5xWSp7$bvzJY=abC&!MpbRG0c{y1@8ENG|Ni_4p z43Oq6M`JufN#sAu?D^xL+xb*aAZ8Sgx#ywy^Y$D;pa&2e!m_UOe^D5(F$q1>6Y?S- zlYoVgHsFMZTYIYC>sNLo=KxIO-)`fRfPM{a&01{Sq;*ID9j&P{RR=7px&^Uv2ZzrY zT?Ij4D3eH7-CdgQ!BQpDK1@4b1#9M$#xGKM4v})VYA`zife(?=QyUi|#B|9CFvD~R z3m2^aNsA49nHs17xAIZyzx@V#-58=2ZC zV7P03y~i@mzS!BrdkF$MA^X*z8hjgT{xljq?v`v+A0FztBysv!AF6(uWBV|a&72gd z2dRgpn!z#osOgr+a<#bpu5C)ySZSk_ig%^-A1wuB|HHkYzT0k5OAq?N=L(?K+Y}@1J5V~stxGuy1 z9Z}yYph|QzI^@S4bbDV+Kli?1sk~H2hCc=)@@dzGj$7!QI+o-TW}tL9ERF_>oNh+N zZ;lp})Jni3;_jAaqlSB+o9@mFh@@(Njure=8A)IiV68)OnJ3jc&=i85+i_4AF);q= zV!YkR&=5B_-oG*GJNN$mQaR{?DfW3R5f_drV$$)q3n2G|3su+tkVwS1LTzjROzCo4 z+47PFP30w*fAgH?N=`0q5z-LD)Fto-E3E(#qZ`e@DE{q|j#FL|G};ahnKQU~&@`{} z5*@1_ftlsutX+%)Fw21I1)J=DWfL|?_UKQ9PFe=2MC8)>V;(sF;pV%)HKdo9GSUUn z@DepQ`+wlu8W`dhxnZzkteIr_E#U8##<6@*fXS|UD7#9EG7lOf%R=*G4dh!$>%x$5 z-@bSUk7(%MN^(GS1r7cpEBF=Bdgj5C-|hBzUe&N%gfVZt;zxzQXM)k%KdZYrM&W0~ zxq9K0A&-~+;@*DVFUDcfI;S44gzgKWzv^r9+T`j5^zYhf@^}h)rc^g&hJaTL0 zUZ?j$rYStQJ&5t@nKuydBa<( z6?cgw)^wXGzLWOv_C7flhk2vQg=tw-sCSn+usD#Mk$1A;Az}Y=hPp3Z>F5Q4i%=xe z^m@S=N7vf?Lq~%TnuF^}^F}m2KnV_{j+z<~7I{9&AeeWdsMjTc-}r!%bCoji(|CwC z@0eMcgJylmJsG@wY_44OX91p6^Qq=&u)DiJv z+=#YE4E=?mXpa%IxJR`XE>BQo+L+-(b;``v)K>(dXSrs>?8!Rj8du(U1q2Xc_JbWYrUX;VpS)D5t1S zkInk~>2;(khdC9^>i;+dkz7D*)?wi4o>+@nKGV5lDJ_h)K{*|^{Sc(2+lgWA)AH>u z6&##yL~uo$jr{w#v91cti5&5kuS^pCDKD{o5dz$*a?BJ*xoCr)pNu=BU13;h8Sa<( zl1_ZiZA)Re*tF8ppyKYO^$l(JizXiX3EUVyvXeHB9OX~pyrOMi30<+S_d2y2H5kU-2 zCWd(pBp7(fxX%PdYUd3*a1L{qc^^&FQOWP?mzc4GElO=k!zfe+(bup#O@YlMZ@5^# zPURcvpG=okD}IuHdH4=eht{X+$JK#+qe_%*Sri-Q1hyFDk&pH|QY4oh7Wq7jwhRJg0T}m z?gc8}PAq%|sBqk07CmEGNPy{bmQ=SPW)!C#jUcj|H&kK7G6C4oT*W&|<_O#KDaC4P z^Adp@RgYHg=~#8^{YR!a9ugLvpSTGj?Qn7UDT#Kz;&yG6XM#xbU+s8FNBl>5p)g_> zcK??t^b3E`Z5YcgLImxo|7V6M1@bs34ncWUe3Gz6{|8Xst2^o$qwfZ-!syOm$E;B| zz3%ll=aGEJOx}`NJ_uarzNO>LhQ;|cVOYC-9}GynpZRuvl&3O_@CQEw>pFRp`w+NN zEJ|GB(Fy>6h_@Wk5N}cW5nUP?z%?O6Th--Q5->z}tAh+usJbqFXvmqW^6c0c^@L^GQ>w)|?r7NuG7lMo{KzZaKN6eV`h0H;90Cke0W z{gKMUeFGY~Ie}41Y$hEi?nA3>-B$ECF#i2|#(X_Sx9gloG8{8!YC8Y4$u6oBGizYY z`YfKn_6Y>~?Lp!2-zDl4<>`*2wX-(_D8o(2v-89%M*{p}9*Pl##7YLRX3aJO{_zz5 z(B`WUXIQ!X(J_;_;)Vevx$74+F}VoqM?p=(Nn6BDeL2_gQ@kJ#Xq`)qSRnu6>lB6i zk#G`AP7ToPqyS8_KVEhETa$E)RHfxoO#m%)c2HWmM}<-~<&ykIz;Ib7?Krc#~P`R=!e~GHPxzh?Z30n_qFboIgI!e=xqfVc>pXiJq@^-QV5a4L(@K z^Ok@YJnUE}aZO$y2z|D!VV;AUuY-P0`9yXa~IlkRazmlbZwePrv_h-7JF zso^*GHI@ChL6UppmWj*~Zm7}G28v-f)H-sJ!%$#*6OP_Sj@KE$(Nh~Ky#6l5h&2Z| z9ED7zNIcjY9o?n)z@jbgXvw?j6!f#42r0i+UCiZAPm&xd&#=cr#9|TNUSzOOU_0=+ zoQd!^-8Hg>=-QwNR+*AYlhU$kc)&CA0VX5Apc6f;sX8A>0NcDjknq@1! z=vk7eM|mCLmG5P(Eb?gEt#J6h{N7r7#qO`fCHG%@50FmyyZ@wkB6-NqUAH*PaLqAZ zOGR>an}52^edWWcYeoBl4sFB)Gi<%Qi5dD*2Q=Oi!}S~n{kdlkLVo3rRz>qY+Ifzk zRS)-}YB6ZNR4sykC$AQc8md0n9B&xeUn!Q1-w_MzDK&6K-OT&lz*2KYtaRAGcbA8d zuPXHe&(8A_$yT|BTz*+Zb%F={GH;}T=^JLt_9_C2uY0W(U*H;>D|>h8*7>;K&=o$4 z{~TECwGXRH_kz+j!EYp`Rjy}Chl%vr^=Wp$Aa;lM4Z9mjJVu|3=D66k64|}teD$Kp zu(gN+dY#vd6+DpFy$7SOZKhUzj|ZtF)Y)N|Mp5R z*SuqKfkIX8_-P*mi8GC@u2)$Y`DzMZ`9B8}Bo$HlUCKS8%02ThKKna21eB58x!qGv zl`+v{iN{v~iqbwi$HY5VScIHT8GcS_N7hm9FLIqSEmUY*v&W?tje9$U`&Ai!aszXl zWBAM9c4IxCUB0B=b(H6aun0vyL*1xv-kY;&3tuXxzf>^Oyo%h4iyWvi{!(H3?S*c` zS*=ongR)2gD;Fyn#l8ZmCKv4{^TmPow-qBP6ZA@MXPvtgCScXs zK=UCmC5q?!MEj>)g!M2(ZqGa0{u81ZJ zHSI_-*Dgz8V_kL%1<`V)hb>P#(F~URiwW_jNN`Pi8&lmd)&3%*k9Wm`%aVO}&?fX9 zj)McQS+>gCLLWVQ;wFLM$#6l}i<0`dYzrHl!Xz#TpCJ%q!P9AijL+G+sruXk>2Lfc zPkAFMSEYU!|H9Af$hUbfmA>Y9xzHLBCGupd{BY`If4I8NaLS&z5$CqVZ~3O6ydQ)~ zb7I}YXIDS}2#7D=Ced%bZ=Svq+KqBvh`T0XO>gNP-i30EF&+Le@-1_YY3hWc))(pV z^SLWOH&@?&Z&0Go^>QH!%Kc~BI&)c`H6Fq6@wc}vYY3%reEjRdnR5IR*vNecnvwgo z`ckPTC+-xOe&;!Rq4Er!sj$lHI32^(RIwNN0%misKSv0d5hM$ks3)L0qMr_IDl~V0 z9HgTebdeJlI!U$8F(-{{eO6&3KtwHpuDOEVnh!KX-Da1FX6u`qqtQ1#d*M#;ZSTPH z0%`~D2RZEGrk-gjlUY{ktnEI9Y{*Ls-%e_ctSy= zMybs`A+Aui3Bg=N%r>od>NTR*J3Asd~?9xnsdda|;_v z*cKaV==+D@(BeMyh4v(wzAv^iiqZ+e$(hAaEgGle$szuV#ovXODTfK2k&2k>wmTg{ z>=GCLbfPoBBiMRK%t@4-X8YrB4ydI5^5h2~3=6Mhlg75~wOQd;Vl=9zzTu~x*jIVI zUM1+RTKbE5pV8~?qT!`uiJ$#Kh6lz2xlTgkm<;2ja^x=0IdjeQMcUVSd$DF@MxMY6tKHYRZ}q9Z zlIwge)8EX_Tbs4pxV{4Z;7edGKMs4t_*aY^95QaZ0?0VK znce5bceDffD*O=6V}y_QxI|?rG)?rBl+WA+U*l<%Z~uaYK82WftDo^JZHg3!vumWg6V2}566Pn1;2}4oP`_<(q|bi7UX1vKH$KUm>USG zSpMBp`f(YS6?iMVMPzgmm29V%_&qj+?<#R@K$Ls4>O@9MSd=;hxg@f6}mt%8bd7YaP2X)V;%kefwWDIhhc^K z_lpk?S@|&~!4|M3Q5%Aih(d`9n7*3h}dR%)}1i5(k&|R@^ zJx2nS;i;Df(_4|#wS?TYIcFG5#nVnNT)d>N-DdnXxuG;OaY{LP0}+rnyd>RU!_oh9 zpjb=0imO(cXp32!oc#NR{bUh&&gj+w6ORN%DX*=)uR8AP?TyMgISPZmc*l@F`jFhHL2Qqi zo41CTx#6ZF7e5`SgPEIN@Ann@LO*!GdieoCQfigtw^EdkKA7wgBTK7w#|0Bkyb| z<&ckG)KN9(So7f}+|s*gtGA0{dgGN0k}O}hzR99n;3~^68})+s^p;$G-_KN2N)-6| zVANPz;)aDxG_%(ymyQj-@l}X_!ypq$3BX41Eqf;f9=|_8%=k9cn@Sp%&B+r3d%P zV6=cam&$V?Klrs<5K4p+d9m-@c0XBXc~s5iu-UYy0U($wy}H@Wk@}AW6akHrkA-fr zWT3DD7nqCT8|?`qi5v{yRKTQ?Rtm-@2fMoJRkpgat;UYc+gdH3T}6-#{>H0@Utv6p zJRJffv`(iq;K0-8*?aC?L&85jOM4M%&))2o`g!50n#~yp?jLP<=h+sf2ZV6I8 zzmD}B0+swk_fL@}B>W9_N@lz6ds&mG#pEoVG@qrWEIj>e)9b+9+;)=V!-}SmF3BhM z;<1qq$CKH07S#fxd4fi$A438i)R3_8Wv9SCB?5|<6_0 zLL^9yr^w4J_RURo)9`>ukjmux<)fq#N3)**u?In_9-ej0cYQyMVirEs!?b=dTr#gw zM>4m*Ii06M_B+TSmg2|PDl zFuxHoM`eFHWY|+fBd65CU~dkiA&NlbYbBz*^&gI(*lY(shVB_4F z%=fKydmyRds3ydCeX=7XxL;n%nW#!_{;6?)K$2-Tx!`hhZJXUEyAyz97VQl`MvQ!m z8Q-U|Ehyf-cF=g&Y0GU)vIF&)I}JoC`HgakU_GK*>dCJByY z2YQ32HQ5nK2Cg%VeS#S_KO7tp%2DEc8cy6lbJ%a0zD-kK1Se^3mC0|5)>(?Pg2}7> zVfR|Q;Gb4qKLX5LKdi=HSp=j@TK&hu&iCE7h>iaD8Tu{=W&AE8(#iW;w?=(0TOANV z|M=4r|G;{@pOLeRWx=`)Qmjx`_)}m(eFyVH4#%X_IDZ|42T6ta|~J5ZtdB4%vLSbi}f+~x!Ot+ zIqtZPS-=m%E)?hp-&Fakc%}S-Fk7>tvyhd@oKSnzs={yKa;+;7eRu8Wm!|k5Sk!<0 zni#(6^z7;9U$nn2Cwvx;EyRs5z3H6ya97BZU{ao9FifeRkhA?-!%t>Kw8Yo7v5X-V((C^0jFeYVL?-+?*6R50Y&+#XK(oo47hC%z zM7;ZAUtp~OePNEXT8p$9DxT+6WscR%T>G?On>|zpE|!xozt~?d6;x%8_@qOXuo982 zgR>*;s9-MmL@8U&QQf@QxZo%5*KqCfn56^o!#~z^e6o(f`%~KlTi{mUih?otqEqy! zQqSCTK_@bNBwVlW_u_-^aJJo$-Zu6v^3UFOSB5Ia_yiI@+nr96Ez>w>>Xd)AOrtZG zAuTdNk-X|VxqEo2>(igQV!s%*ZgJ;FPPr{1Q@zhCX;Tjt7#HdYOIdofhyAp;r%bT= z;PY>#Fm*Js6W1cMz#!8^fkolKqlt1U35o}c&#Wyy#Wv;oTS=C#yyt@Pd@Qr8Wuocw z9-$6iyC;?K<#*|6oteU)r>IKgCpR=Q&#GuIewwe{ii~ZquT|XDi@$#_ku4KTgwI$a zeEuzL#jQWTR;{WpU*53luHrQGw0Ow^eRKO!f^kJPBXvlp-mcH+}nj+C8;|p5Je$ zZjucbMm~IFRm)WBz$WYTKNJWr@sfQA7T9#Ls4QGd>M;V zsS#0pO^auSHJGDwQhED}8z1g2ZY9i?4O+CnmB^4tEnZ8KnipE$FCmX?(pCOqBKkO3 z2lZ_Kn?1J)Vc*~cO_(V6&SQtdsfQF2@9y1H%4^bdX}PDWQj|6kGh0H^ZhZ^wk4Ufg%j}qE5a`Zvx$M9fT8ZX^R9~sg+%K`iM+;rXt@E@?%8y9~6~V z$Kxi4V*99sns=`q*1{BOv@TkUetd1&r-LJ5pWnpXien%+yvXGxPl)3PC+uG_; zmBCbY#kumrx^?`j3)QjjH1VofBL>un-OGpZkojq=S1k47`KkvBibnC#A?P;Iyi-5+ zC3s4RP%~v}yMbcOe8pC7^Hj);D8z~NiBr^`D%#`DjSldBs|wdUSG>4tI0iIa$#^$i zcUdad-KVZNH+f;p5_80qHE1n*#&IO47{_&?@NRUGjZ&|;qe}z<2lC||$6-%{c{K9X z0*=I-*JnR*wwPN#Jf3l%IM8_HMfKEujB$l&LEcjrzUOOHt>E8KlqQD$nwMA_qknoV zn<0f`hK+4FF5>hAP3s`}-GN1Il8}bakxuh7c5K7U+X&+Ab6g2`S9wEZ=bu(M#p@@> z*&qlnG&p@vntte&X|VTgQdcr5EybY7Xfb(mu6xmcxwWb}sG*2si}9h2f$Tr)YrV32 zJYkHMi?QL)i6w5$Ow00hx;(XSdllQN2rEML25zh4 zO8iRD)!0Z*z5jF#K{~zhh{}_nZmpNRn+g>sV5zT8j0${d(J++(^c#o8Md+N%Rha8g zbg`)@f(mu37h7MI*XER+vu}B5l5qC?dPx-GdS}8Qhc>OKLP7Y=CT6R;FncfwF4!q)JHsQ_QgyU$)Ea18bPrkyD6 zl{*b=`|}`Q;yd4Ka=>AUdvz(XDs9jfH>;bZ*UnkDh4A|`9%^UJb&|sIIttR=yE5C) zTvqESbkhWBHe=K*f1MJ)kxRGnF*V|BuF#wmWq9uZ+_NI=+M_~Frx=4YQq=mH;bw!g zl2hFz2;Jw7)gqN1kw5WwMZ^^}X*=RTeVTWW7NboAz9*c!B>P`_hPTys{~U<|BY7{JoEQls_RtI9&X zuN4O_l}=mpv$=M2{~HbJ(M|F95HnA6_tVa)eH00iY;fwWNqgw^!%?jCjV$O~Ql@z1 zo|{iKW#40-mB!79j^JAGt*4|~y4F=pad-wt#of(%Z&rz>MesZj*c4$?p_+S(n>_qg zXNLqT4L3`Pc)55(Pip?Dms>LP<4*!*-|>KaBnABl7vZBsrF!ICU;nk?yvp4Yp4~W_ zd;<6To^+6Y=T&D#qUiu9rT2oo89q)jN?Q4ZP>>d$2V0NarQR1KZ==1oF9!`1Jz|dY z`Ug(A?&H|3LR%a2#!Np!wjQ5}ufd7ul*-fTr?cnKj7I&6iZ0asfO`#QBqOqnn^f1F zWx8j0A=$VVG#mlR#x=eAs)zUHWgHalS=|m?Xd;~;y6Q}M(fZXUedU#podRARXByxC zyxLhImaFbw=0Ej?uYLTXSL|bF>*70P>wtIpYy14YVe@OXtQ`f5O~KX6I6I%7tVfb} zGc06&?2L`n#C5;hV@os7`O}(w+rE+j@o1u{yQ0)i>OE1Fsc4%3kvmiO3?17D%r!5D zJ?7o?T~JSFT<@flW(y)Otrsl!8jebvYj0Jxn?CG>NoCh=2piELn>JJRgL5~ydZ+YM zgpeH0HknhMIwE&e5a!I4PvqGUJQgAyuVn)B(S%iD*Nk#Ux~^JUFd=7Tki2GJ_}eod zF;|}GrTezkJtzGI8&yHo)Ha9!A#fR1vhm`q;PW${D$dhSTar1J&EX757`H;7DcaUn+WOFCuZUskdxVG(3-w&@{ePqV&Rka8M z(625v=T-gg88BNb`fA;Gv&PKt9QcU7$}-FqU%oy0K^t{_>}Ki#FLH;ZrCxdmvKH zDo0JbtbVrG_OvIUKDos3L6XPC%q)>-F6zYl(-iO^Nwp9RfJV-E1{eTw4b-|l6;%rL z4@i)2vvxftlR9-A72&w(sN2i$Jt-m?FJQbA**~}JC{FZf|3-@VF6TMeC66zW5zoWB zF|*bOH$vpD&gU)4mqu8TP7lCGytZOwEN3~z!G!=S#4$H&_T+VrOuHQe$6R9q+St@5 z4C6hxub73HMuVo$=Txv1%y|AhjSd#B6|ayj7eueR({T{nvk` zQVFGyY^f|$;Vwyt5!pjVC3{&CLdb3gNs^sGA!8dtvWH|)vhPb~kdb{E`xwj^exHl` zd4AvD>v{h8{d2kM&N=6EmiKv|b6xigJE^rkzjjdoQe@&c{OGVp;MRNX4v|d&6b~c` z-|yz@Cd=GooM-mwj7Z5cKYS0vqqbap*y|EC5MS{%+4hR)p$PC@_C}a}USH*4Uxzej zx66k{(i)qR922yF1FH&gHa9>Kvky!Cx~E@&G7Gi$Li~RHAT7hjn^?L(k+0kdBQ;#H z_=9%iX-~`asd?HwGQKM<;khZcUj+Quh+-*x<7V(WT8s6i0%P}n(Us(q4&G;>n^Q+t zQL$PP_B!uUD;F=AVx^oGD>DfYRGr!Ynhl|@pZeu7vq=37IoKR(gcVP|_J|lYKOtk^ znh7dcHUFDutZDjlFDCDXQ%kT!zGs`v858;kc>jcDGGBe}j?*7)iT$#*;8+nUL^An2U5{-#E?I5B=k zazVx11ptxWjjAI9@cfM>snPRD-+O)?v*cHcO>3Qsa=6i- zUJ5Ie#{FhJ|El}Ar%ss{zr35_f**(7Mp&motM4}RgJ<^89*+dD8cY%wU>{BQ&ynA` ze&l19@XPt6kdt-?A49EpwP;t(vh-Q8L$maa-u`?TIgYvI)Ix%yqlU&&(!01Xj|;BU zCmX{qZ+x3)jU7CKXFTn7=<|!qlu}RoyQz$BCwEl&2?yLt;xh65le)*`KvLIyL`?g~ zSU(w^`zu=>(_ArX_pESgaA!p2;{$V_uX0T^9>w6o%-$N#!2Sp=06?7(mgDV??P0m0 zOr%ognQtbGItRD?6j^<&Mzx3^l4|(%rjgf|Y7G<2&5~4Mqi0bRDvyD258Dni1;Zle*p zwUYeWN?b+Nq%eMQCTF(r=nO}2kwc>w|H>u&!QF_sHZ4lx)%l)v-0SgC@Au`2v%HH2 z0m)2FYivgCun}!fKvb5JC8wp$jMWA7ITIS#y-t;Vbp*Zx5a$nE2|=7VjC^Ci8DiR= z5gf-6P@&Ua{LDTDttor{>a?6;z8|MklXcoF<@C8o#6Cb5oW*t-dBZvbV6J#grp>vx zI)6G2;wE=JHDbrI=PA=~p>oC67OFV3q`ARZN#6XRpAzd(XiegR$_tQcsf-JW<2(49 zHjGQRb(YJ|tsJGGmB1%x94pA;@ftbby3}y~?ge~Id&Q99TWRt*QZxlerG^$9qgZv=}205!ZJg zFmr8bG6yAGwNvjZgGbqs@p(lCId=LnFMjXv?9xX80Uu=s@^tpe4JPAl<#FBXe2TU# zVoL9iQopG0v588dJvO0V_cGB>+$!wR?`M@y=UFz83Tb60H;{MJ^lxWrBjH)v%5%0k zVAjdH=*8Y-ggbj_2$K0jKP)_?Pu7^*0&Aza9fqqIrn?rlDeU?bvVQ-6D#a z-mBT*y&}45QZMuF_nhy3T(m2EiSeSB3LfnT7wV!t8wrqP?E8K%Uyn_$Kzr+E$Jq_^ z9adxbiN-aC^GoV8#$s(mI*uPOmAZii)L$tMJ^%dOlK`-}vj;ZsS&WNpa@@d7vws8s z^Qg^|xgY#oSMK9L)l&Ca^3`Bd2D6zmcOPtosVkVI+W)BiUJDl<0s1<}*&5}>_Rixo zUpm=oJjd*dt+qAd`6^eK$%71$E+3M;(v>K3bE{u67$W5d%wizou;1)t&-{V^I*P16 zbPxar{X;wy^qsv#xajp5rh0Dfs4^Zf@U10=4)^>3(0d*&?hLRe8%>GHZnrBLJOO`K+fpcxY}N zkg6HU7b}%o@4xb|&g{{~&zXe&8C`j~&M7azKYW_A7$0&TEc&K!P<{r}0?Qmwbjl-# zGEIYybHJxauJHA=k)~L`>E9xym4(LTU8Pvz#XY5q?5cmn0Hs<70FYG{vu+_@6)`FI z=s^#u1+rhsB>}W)dAWi!B(UFHRNpa%1`?K`$`J|E`0CJgkWCJ-*L;0lVmpt z&9M3ZZ#Rq9ay+#pmT~nU)}`cq}JXzNq~ZXPMkn4n0kruqDzfKDjI=` zn$oKco|H)b;u++%anO++OtJ39*0W+oU%YQcC%16bSobN&=h}XnDl#)zG~C+sH>kE9 zGx~P>YTt|XRhEM4lG|zY=0dLv+wS){HjC3P;j6EYT9oGphT-)*OFm!s*tf$)8*AM8 zQ~Q7%5c7~u|JpF~45=lR-@h8pHs^Z%lWQ$syu{1S&;9!E*UCwpZ)iyxB-~`=xIiLofM3W5V=G(Xc}HV8gINR_~t;ntttHLar$Q~ zacTaLmYJdo@p8`omt?zyDy+pC6FtG&(eOSqJ^Gm=CsnX#7)56NMERQL+gb|5Gupwv zd2(UghyEO7wl!&Jm^eN%ncLv0+1W8m;qxV)pnrB0kDJ9slm}Q}qDuZ9?k=PTqT0%( zM04Jt)GLD8MxVAYPj*}uOX2rci-^Mp=}h-yy=(p3uUUKMRd>QI|1hy!8FZ_z=J?~c z?PvPZg`_868HgO?qTOvjG8t;A%})F5!FssrY&%~cVHZ#{B#k?!JILASO~pT8Vw?X1EWu2`n{ zt-%U@FWw8cv;L;hy40KV&+vNn`Z-+2A0yfO)t|&Y*C&NCK6?>u~t$b_YwH4>|JWra7lDpy;M7|A}Y?i7b@07aeo9`j#Q&7zl{9DMiHb%}Ml zU)0CD3!d?piN&~N0)_cL5P<4DH7C@&qWenrM7IB(ORrEu)-Um*V>ca-Qq^5dY^8s7 zdmR`1N(rB~e&8bz7wO!QO;)(lkD1b=U|COTCo+1mxGUl*=3a|x)52~RNl2$Ns-~I=OpFzobuG@;HhReZcql)ASR@tG zw{j>R`D|)gu2I}(^EXSM_==_}&Qn)%<#JJ_{djz<53MObck}rIX@_C&S<;|$#y7S* zzUr4^9XezucYJ3$?-tvy2NPmqqctAOF5Mb=`}+3h!_k6qTS^W%;TV3OE}t#YSe@C# z&+aFm``2wgkk@QKIIJW8+a(pJYn@eEw_W;OU@Z@YdsONpy6BJ8=$w+$79ZDpgOj`} zJtQ$8`z!q9M``JKZ^u*R4Q_4;vYhj*L#xs9`^6*cJp5n(MKyK{j~JM4JI4`Ud6&F8 zHN{CwYeG$V`1qV7q9y$Gg0duCf_%>t(eyj0D^2-sEjK}oW)ugUCn^{TN&HQ&$awVjI8%$JsrLhhs&FeP>RD@r}IC5P`BBJ zdsamB@5$tu(^9P#v_6pU)}7GuaYw0I*ES2QF(*kmFUUdC3l*p4#o^8rpU$hAyjLrI zB0e2cMBWVVW1^$6jwbh6=%E|hg+?Yadi<-yV-a`Yjx(p0goX--50F_6sL5fd0&pHF z=scWVDLskE%p`S%CvoMEsCNlEWX&CFZ6dN)JFC;NlpOf*I^PI<#~)5KdxvW7o|1hi zPS%UVx#~)jB}Oalr?ajgKZ#iKQav?^rjYDTf_(Uz!&_8A;t5E>{PS0bKMs>5ChorC zZD{Zko0ig;zg~9MMg9}!)%}e%DOIwOC{m#8On2>eQqftQ zB*NU5=2~G3!`@p_)BFWwtx@5i?W>x^fUXE3OgJ(I%j)XiR(N##=j7e#BiC7ObI??N zeVkk*-lSy5@CPDuix;P)Lg}tMvel-8HIIq*Nv4fcNk~ob;MDrM$KVScX&Pk=RYNFa zU$ini`Tw~6;W^Ki*7j8^Vn9;_FYy}6 z2Yj<>28jV<+4Ne~0Mjc4qt!G7G6ENL*%Tj+`x?7F@ajbWXk8JAbiuSXGl(U3*s z@;YT$tG?=uG)GXR`S|%8r6%rp|X>(zK+Aqz9b z0Bv!~2WO#z!@i%V6j8Z_IxP24qK;}%?0fjOO@6o@8dL9ni)zR3g&&d%!e1{Rs#-7X zx{vyyKuTc;NM?gelOwoje4vQ^VZrR^1x2yZ`!r2;j zS0{!}ZG36ow04*VUb@~DXVfNn`hH#o4?U@{!}YW2^i(LaCCzy{D->DT+3~t+Kb0{Q zY19ROO7gx=?INv)AkAeHH+cCmyP}_wTEyz1Qur$n$DzRv%Ntv#(V zSpO*Gf7p*RnYR8hypPUM4}gwef+BZ@K7y>+l%i?X%O6Ta%2W}on?D?z?iSgV%~@@+ zNeLv9)_>xh0y0oLKQOD}zvQh+F5Fq1E7BIk==VGeFbjT)fxdP7%hln*gA29sBa=7~ z)pdq?`2r0Ay~@*^3k{*jk>e~YoB^90L+QLcCY>Y+E?SMw@U_3`>%uEQ@e(tXxZ_4) z$g9B_pKg0Qyy4pXbn0B74+uuvz(w+XjoFdUn3kvxX_|4vY#_3oPL!A|g0-2G6IA18_`Ea)(MfhWhpjRE z)Vy|_mrtCud{f3*7lx^a@SW#^RB7wIx|80kJ84=$rQ0b7F;oi1nKG}s<5w&mAmzMj zJhY#?oEz0NnxoJ2QKuapVoeclO)}z$Rf2;O z2t+mG8QGp~UHHr21%FH$e7Ako!tAiS500N&=#m=Rp|BQ=j4A9`#&6W&n(&Ni6OTF$ z(H>e5nQuF~lG$?-$~nkM=^gf#rjb)T5KQ}J@gOVr=}1#445*r}GWI2laGWp$U*6F8 zrWVf9nd~2qx2PgSg0g*ld+SjL!%8M8KYPl{COTixbl6=9^x}+X?K@)2b~IP35VL&y zl*mQtheW(iQih|!{bTgt<>Ay-o3_(K)$1Flx-f??B4akxQ{iFiRH(q5W2U4wqt&|^ z&%UU8R&%@4F`6uSue;y);x44>F{ggb5qP>KcGDd~)L1fm7s4V!u;4PH?g z7qVzba}=g)*!}{1VtP<#d%r62;Yx%wl}`)AaqGOQl2et#YEnZIBcfjqun+ob4PsC#TJ5vQHigW_H|jkKD1zX%H*KN)>TPj zdN8Y-{mRxcv!ah$ohdz+eKM1>{cUlRX_FFGzE{8Z4QbAq+%c*Nw=*Lf_4!m_O}(Qz zG_|E}!?Pw`cyl?a(vnagqjC-MikKwkgm^DH9iThpMZnX171|hOwCkpC)`DI$$w2Ii ztNxx|#Xxt!aKH;45v%^qvR5xHo**Um`taUgb>)-rYq$Zptr1RKK(a}xo%TYk?ZnbK+?N)2OTNyJQ zo!|(kGWPlaRLh(Ms8&0xWpHm=B75`L*_Wu)j*Q8%k*s7FTls#7jOeX`Fs5P(|M;`M?!G(M<{bfNqchmzjtw{FF`15OTG&EB(1uIt zvPP_G4Ky96YT4q#AHFi~^?`b?i%yk|c-or=8fqN!g$TkKc9u!({39k*JBTVme96TD zit3WYw@UkwtRWv-Eqr8h@YwcMDPnpp>x~!9ugoz`ZH(GXE}x}%x8jn{)>Oy@gd}#L z=?8t^smGL{%~tzs#{YejWZ@5bw%aya& zJ9h+?TcBg{d&nzixt!dPC#Tueag=5pG^>o##)Di`MQPy6LblKaj_^&!*GQu#xDe>D zo3#hDKN9Ek1_^+#RrOmpXP=Zk6TW8L=*Ui)VAwbhQ)^}Rc{CRSWQ+6GIbIdcdvMz7!WF`VxQ~=750SxX7FTd+?IF5scA;D*BBb#7Au(jX$nesi19}prJZ%-UVgOb zHqEFy?Lz_p&-~oKWTr}9Pn-S4VI8NFpiyD)`3*rMZlaEFkw9B%=W2C}_hStB_<^t< zrp=@RNA%e@@1=tfJY6uAbI*PiBU$-1eXHNPGC@vdC^7{0aIYuz+RrUsjS>{b^WWnK|3NE(dT z-v5O75HcG;@KVz~BS2}7(rPy6>uy%_zCvkgA6gs#c5mMfFa6;Yv$!+ZUN&d-jbDWq zs6au+0}Fd98=KX4LfJw9A;15|i)nYB|8x}*j9ou)^lAPR6no{J_XUe!b0K@v{&sQ4 zMG}MAFPYzo;gANR|1OJqfX}KLw+q&%(xI4OUxrTk#;>0P-ENQ2TI{6_pd$pqwks`F z>l+uEK+l^SX{eJgd%uymWl@h)jp0Y0FH0dkBNW- zipTV+X>fp6im{DJFp9co*Z_t&zl;LM2NZQj(IDeem6xxwCjM7$tU+M>3ahu{_pPJ* z6#*a)LL*^*#rbb`YA*jX1tZMKXylC)&(Dd_=bQrvAB!X?FAK*ElsN-%>TMsDfbE$Q>;@swgKn{{h_s4 z&ivd|xIX=%4Q6~1^1JZ-UP;;Pm6Q#?2LiL%xCItT!6?%KsSpegH7Enwp#sMPZ{#8g zZZ~cRXy*eypYy6)-Zcse=5$BJVbLmzTLf}8#(M^om1$O+C5MtGYJlyR;rb>mdW_(&t)A0~zS zg!qac0@Z1YQ6GrEZDZ!PYc45V*VKHQqc3GGHgEXhH^qplpWsGEvb* z&?aFE{NRM9Th!8OGp=fcA3>4h-g@jSctwO_K(d3S+y;x0yUn=cmuN*HMW7`GzOXT| zyQC#}cu68ud^4{ZhsR1uySd*F2!19?g;`-1!H@~2%inuLW(}*jgbj6g>>#!9Mj^hr zPKXS&9HiJstZ}6HsuALA4Dj7%PoE41pk8!06#$^=%E$U0{%8hGxe6CZ0Enr1&GN9) z{$A&(&I=2qRi)}a0;k>O0l^1((?cIxy1;<%0~kC+-NpWk!J~@-C-Ag}HWt#f zcS`}7x*~i6Ko@G5P{v7D0-J<%ep$=z4T05QWC%)Bx!|AEkek|Z2V`jPLW+T##>>Vz zSXAoSZqpLP*MOJaD`}Tr?AxckTEIg^7j`(JO>4kZW@qkP0De?H*5RU2ekMy%<^$>P z3m2OTRJm_Dq4M${joT$RG%zk{NtgQ7<3`O%P|M?WMpI0GT=IsG8cC^{UjpWnKCEt9 zGewz+SOE7UEvoO;gAERh%-M`DJG$FX#lIIiUZ%DH(Etx2(S?xcqqpq&p*2(!UjMd* znJWZYV4xX{x`Iux6F=$ePGqG&v@rA0YQ3=XZJd=I6XFg7khK?KuDu9z(S{tp_@rR2 zfmsIbNYWhPK5Daa`DpdOGiL2!?IbhOWsXh51DIC6e{~hc4DZIZ9@lTNWb74nj_do< zvN9NHIhA}p-(Jt`AESFi68H99g}eJMbRpPD1Goe`=`+y20vf)-z9Q*nHD3R@^{MOA zWOEEG>(#&eiZG;ZsU>q>AWuy(5gLvG04s`96FgJ;UT{Mr_wEMj+Fopd<%(diVaU3| z>juo78gXTYFeZy%$=eMS@3&UW*rTpK&Xs6e3q6FQn(xA)Vjq6uiQ-W>-Dnb zacfDL9riCwlcugigH34Gb!6ok9UCe|0;SMX7Q1YbfP)@44L81$$Ef!}`zPn&j2XL> z%>0UH&|=OlD9QVXvibE}J?j^64|+Il)#R#7@%le6`e^!h*iemCXAdE@djQ*DL}~Pt zF>RqU9pM{{*O5lG@XQ(S>l?KLVOO55ez=YVD>>pMsJfc*!C8@CC*@ zNFyh>(5&~hg)SED1T#{ukS_ng$>i0vTKIGA`IJxjm2Y?Brz$PBY!Pn=zB>2SWvE#S zl#H>#HCl9QHY)AfU7Xbf z&A3lqubrph#?o%Jm{tx;*5U1rzO$R>X>uG&#(N)4rDO{H3g=a9Y3lH#?y}K#C_exj zMF#P1ncL0m8@K+Ua^-mv7bReeAzA(qD+e$9^A2XqA{loaCEvP(lqV>GU2)8$6fLKz zCmxKI(5_heal`U112(J-*evAlg~z*p2m7Uaw^I1A#x0;USIHI7Dmp0(^nMmPn(Dy$ z@AfJSfK0?aWK8r8C7M`~JXG2% zG<1J0Qlr`&tk-4N4#S_$7~QyZ7^UXJc?e~RXQ+Wco?!w@TI^!&NEvRrCv~xi9JPEX z6OiKmr03tY{*iS&6{<*_E1N?XAF~<#{qBz=BQjZ`*Ii7+U47uwWKLicN;4UYZ+MLy zq|Ai*+{Kq!-aWn^$sm4gy|I9C1f_uId`G+bgc#%GC_2&!HY}QWYjmS=gO)1JTD$#* zwka?ir~jf~bN*7ap@Xe)v+1}jCt7wb;elOG^8ESpQ$ZQ0Hs2RH&Y4yfMu3%U*t=Bz zq7mg(elE&BXeFx%##v}dmnTkxgcHh^>C0iL4q&^{IoU;yw`oMX@;P-e@?~9zXj1>T zMWt$&+ZFx#xe?#S6)7!j{b^tUYX2u!iVBvlMY>nXN*v!s$QOR{`EBpFG83UTph1wT z3;mUt-XX=T_zf6A$6al0syAt5`nwX0%K!Ui4PA=RMyrvsey*nyD^i9+o?TYX{eHjB zI^};zzBTA=^-74uLK_ zvng=Y^z>%b6V`KJO)8Ft$TwpQkrLvt#x;4R*PV+dR8@0iP0poA_pmo_Ss>N4*2JRi zC49hMidL{_+}U9joZlev35*?I?Rye8-WNDFy+1QAz-W4U9>`3g`xS_zDPU(N+%r5- z^LO(XZjy~s=-N5+3(AZ3Eht+)gNsgm>vvA+Gx*+*|Cr7J701{x4MC|?x?i46_@%jZl{hAzLL=RQ!CT0>R3_uQw2 zVErAvCF3BoV$v>uO@{Uia5YI9_a@>~CJHGbX8v4nK^bW17tvHlTy(iHd}Wzl65H62 z1O+z?*-8MhJx-4-7C#1E_~;DnxO@L@Z7mp8IquVp+vN*cWU2?IoGDy~lt`zKr%nSa z(IrdtXZe)d{C+2>RqeQk5<9n&{ZT-gYyR*w5ZOz<0Y5o_KK){`#XFc4q;L+8tosP_V322R3)^~Q3VZ2B7{)kK{ zr5J}=y<1Ef1uxaQhP%1yu)*NL-lF;`;xsds_%s{ngVbun(_;D^8rq<54Hgh z?;O9Q&9~_EFl2m* zvL*?icsWWyF1{+FL`$eoX;5U3iwU9t&>5A@iqE>=g<|)1ix)v5wCkdmV_?3~{AX_r znrkF`uhE1zX?{idT#4uGYneBx?~C%eiBojn>Nbl;M;`)MJ>^%Tk+!@qz4ALGg+p`+ z!bWK17Xop-KgYzh>~ofF^|bwk&8lX3`#`()j~dpMpWR`bP?hF+#q3q-1*kyJLl@!y z#xB(uBEGt7vlO>*jl!jz+wauVvrd6j*h; zfiZEzTTEr1>P!Ms?P+8cc_EU~HK8}&YIx2-=mK6bdSWKB0Gh2%eO0M{4+oiQ`~&xt z_a!R7Ly)AGUZ7KUgl1e^P54-fO;U4FS3OU>Owt>TjwXZFanAQo$3HvYfA16Uor0R_ z(Y>T(gOZYMHz`4@-Uh8Yy~`0v;5YoM#Tl6K!O2u{$1QQ|S zkpwThQI!hL!TykmY4FPsbU{big{}e!x(Wbvl^2L}(18(*=|l>9DHWG$Sg^=OakVJT z@5qOaQLjnNAN%?klAgM?>T!+&5>o3U3eLA;0hr0)R~^T3i)Nglg?TyHCh0<#}hL;STZR<}3heYidGQ8gU=)DHV@5tqF(sEUlJ9iB0EIK2Yxx=^3EZ{8kYT}6R z1!`F7JNc>s{pc1#e_lZQ7s}@3xWh}z8ZQ{#Nsi##xvmTU-ToF5#zpUBJog%vU#vro zwJgaoRev)-nT(xo$oSVW1AC4sfgDrP!O^|i$~VeMb+R$L0&RpWeg4kud|$Z=u^1}Z z)=XW@#;lA*rgC5koakiW$CHPY0X#PNZpodXo7!MXn&A_U$S_o(_0R?vN;urSr^bD;_^K8_*TeyT&FJF8lMR{4_$R`&Ko%LO{LZv=84=^{qVTr7ZgH{Uw#YGm^$htjql}HDVK9_LMn5EiBcRuUtr@l)B`qdXQ<#Gb*NO&^KQ$$ zkfF*oOKSYSJr%!s%SEH8itEeR*A(L1%!LWe&U+m{(1iMXgts;^5}mA`RLVjhHxTLg z=hGRgfR5Q|=yHqdqyvR)SELiAB&Bsq_e<2t?D0D}hv>=Df&^`DGiaFT?@>kMFVZS( z76dVmCRe?vuQhU_^RM*e-?UKK`SzI7VaI%iD)qlAV7!G)o#tO5%W>1cI=baEWTg|+ zjngdwOnvB($U5Wc$y;`aZmPrYG%MN{Lc5}JdBv0r9FDMa?AB}v#t)ByS8RYk+(FX^ zX3jy7;zNOU-EP_{r57bOlLsn_>28>@ItH_c$dID}D^R@KG4zaQE=wy&XPgZ#xFz z4snWA#EY;{BBp7RPT1$upA>CRd|~<5}J3PY1mTQ}yL5AS3al3pqK3?ue=|>2^y(dqPslK@hmjHxC6p6OI(6ohVVCY)>N=6QwPaj`V zipEtLtqH*|&KOBuQbDP8b1H9JYfxAGGQjZQo13vX;8lM>JDE7wvd3h-K_|oFaWLR! zfolD3eedi$y$_<_RrQxeY?-R_yW5)Bws{3|4K{2#L)KM6=xqtfegj@5vo3yg8WRD~ z8{D=`w1TY;b2Lk*;;4Ofu$I_SCbu};TZ7o>KsSGRQQhgRXPRrOCL=;d^E z>z6&Ef(BPeL`)GQ7|j839?M)?Pd90=JWT^r;x4-dQzFD}5fHmYK^5%% zeW*=7z9|0@<3}RN1xCx!CFqxlKut4B+$4jY?Y#W5Fe<+=qBQsG!=5#J;&#g~!%;9R zs5Q=I2g2Yy72mC zXCUEC9bsPPQTHFT!Goa^#Wxt*cHJR=Yw8r32EV@Bc>q({?L37Lz!pNJxgH|TyKlIt z!+k?Ji6}W6uZu4}Y`xoJdQ^+iN#Nr!4zC`72VFJv#U+;rs(8%%#-fXEd_x=@43owO z!A@WJPJwgG)?w8;yqeeczo#$0Mfx@{wH_-+9(_ded+x7W$WU~sz9D?S(c@>NGsTDG zW!$b?F5d81G&B!tIsfP^o9w^<{_hHtX?_;m%}35gleCn;XvdybUp0}CK<^Jh7XGy3 zvwWD1rDPfp3OqQ}dds5@S8c)g^x_ci;1xH8%pafd`#&5TvuNe=&#CuV1htqyI(0%8mwa8$t$gcE-8Q=qd{+mn-QW0|DK6OGB=?&`oU-3%l_u}! zH+Esy96k?SiQs)tTY?V4(i*aNkfdO65N9FiAlByKATC1SAg3)CIXo86nyWfhoVL4d zu4-^r*7))X+s1reds;s4mj_j=N|}_^#qapPCif=i!eYGiJn5{J@1A^Qp<(*Z7T}#~ za69a>$0n`7QAFHMH};=7Et~RW%tDoGNm8Xg{Mw|Q&r8xd%SE>D?xKZ1C!9XNu46Ie zmNkB(+O9y_@am4k|8<4`zViWX8u?JJU=Y%BNq_c}bZqkcdM@~f|A2Q)Xxrhu?@u|? z*b4CbE6%5zGmgItjo@YY(%6Z=I{6OB-{)oEYL6``=ZR*|M*l92Mf4vNyL6#2fYQK{ z?_NZ1&Z(NXeDOi0vzHlZ$xFC`Tvs9WK)1m}FutDU?k&&VSO{h8#Uf2(FsSCW=47=w z~mMPMZ=(ZP48VDnRV{qZ@@|bLvKjp#BO2^6H|0_RsmDt+7R}LIxKHzQV;t zy#MCSGV%Q(ypg#Qm)vgk^cfsB{+L=gmhbAyhGaO${Zz2D3R4!Hiht5GJgcDoh{{VQ4JkDy@ON zke$A$!)vN)lNwzSsmA_*Hc{Le1VhVw4@b;qtmI^qlji#w^22!Fr<3;*Tld>;h4Nsb z#6}NH$4@!aBJfv7M3}>PEy59!!<)t(m8;SMrC0Ve9EG8~M-{VMbaURO-(Q#2Fv<0r z3NpMFTTeyZ`p3^18n`h z^PEM`LwJV|Rkd1Isr|jAHOD1vaA{IR&YZaOj+fm94cvWN_R_cr^E=+og5|<=mYW(E zEL3@2(4f}$Rb4S16v+cVzYvB1cdmH~XGcZs|4YLqMM*mcb&@-hct#euJX!^7(;}!V zfARm*d@?W}yKDY^4NrS)Z|N&0D>V{+5vZAs<#!gZik19Q|(u)b}C~4Mji=0Y%^< z6oI=4>IhyyOiv(LpM z42)r}>Kd}=Ve>RWD8d#&Cw6Y}0nbMx(h^X79dCKxXY8f>?hlUbIg2-bwNXH8 z`scLWD0_R{0d-}cy_b%8?M?H9;N1CiT{G2c*7OcD?Cm)?}wiwBK`@F28H=&jp zc4$!`je%PNIjpMGSKB7ydZX@Ot!3jK;Q>;bQk?F$BfXAyg07^Ocrsn<5@;5g7nHff zg{3Pu@Nr>Hlp9c7SVmEqgI_-XQ=E#9)xFfjj^W4-VR@)x6K9E@q;O$BfBBvEb2Ide zN!5LcB;K^20tjAGD8lZ*26gGMmhoFsq!n81z&bZUphd)f_|;vvx7Reho5Fv#ij;a= zqTOP7&7P~+M3xu*XnlhKLL<*;`~-K}j9o{rX=EvDSu#qcpML&!d!ge{GmD!FOlD`f z!>Wg5H z?E5Y4rD7wyE4`jEVB&`0go2r`+e0XtCI=U!ia-Jld_VMobTcCq(N!;|;KuVzC7bpi z()nm=SFlc|ny}n}Bd1+`LCw%i_2D6Pf=`mtZu@^xbExu zSajd*JGU8k&ylEW8(p<-=U)^6?s}@sJpWfk^MbNa&RYfDN-xQWWRnZ5f@4lo(1FcgmZfOGO)qKJ6j&uB#DT7A&r(cMUE!6e0$ zu5nl#3!N~uvb+=xspUTKxJ$XIA(ZJu(|JwUzgdLX&7u=nG$ooh4c)z6q?C*p(03!n zBD@-lE@=7~cs|vHUB=!odbU-fX5v|P%GfB!(%@|=*-c2-~&f?F1XEhVe9)l zkPGl4+kfBtFlBO6WES!|8cO21B=y>J9%G_(_7*6o{zn1+?{yIyJ0*$s0)>4X>uIV4 z3cI{YMCgHA9c33baz38^$MXew!jKyR?CiYXk79h@`g^22jfc>BFC1LE;Rsi17Gbw1 z9k6Whe~Na{-V)b#+Yq%7JXzUu-jz@r9DZer!OZP$NUs&+<@A@{zHC+v8J~hlBPw2# zd1g$zn0@)E$wz&tqr^kS2E6hWDmE5a`u&nZrbu4K1l?IynRGH`EQEE@*TkgHsb5t; zm#Cj5m0RqLI1}H@J0)gc^nfzC@+WAW(Etal9}nPKZOsZvM|s4ytv&=%H#>T7>6&!(3?hdH|pO%ZQmNwX~YSSt%xkWZ(bW& zqsNIZ_1gC%n!OokfwDK#)!;MmKGP5 z6Yeu=ykDu6dn3D!7wcj~345|p#ux0%x9Q|j^P$(g#_o&0iwQdu{^x+gcXdBi2evxy$A>jdPIoNq-1)ny180HbJhoeO#C@sxJ6y>-OQVb8 z+ce9K&3OLgYL88&3VojiGkd<#G3@PbL{k=csqR zpT>RAX+3jCN77q5Ga>o}awuf_)CZ+gtKTXTr~9*(uDX~ox)6Ko9?12 zDp~Z&+zX)et4_Tx{m!&p|BCUT=)j`(`%5MnuAISt4m}-^XqH*p_l*Co#JiUAino}p zj90W2Ma3-}{!!uCgx%i-k|p#p3x|q|J^c9usTnHdI1k=043ckA$XnVs8yMKSBBQ_Y zglu2T?p8K+k0O}dvp)LUKS*IMPUv!TdBvw>>ORYz!FknS`9)=N)YCj3vogkt;p+uqCiT-mkjW1c>(ccU^@Z&Jk~SZs|9 zLopIsGX4H%L*aZ;r`k^z*5O01ePNmq_q*as^($l@q5SnfQ)dYd2Ie)Rh@i}0_f`XqjOZm5zIDIcEE z!F2CXio;VbLJ(OujC^W&S&{dXBK2mp{8Z$@l$k9cZ)ROs^P@ZoA7r&26`Y?u2M$m7 z+}cmJYxgT*{=~o?iTe{o=G_eZWPv+@d`q5Jqqc5n=ulQ^25ATQgps+*)cuhfpUQXU ze#)9lKjCG&d)@ZR7&Q{*Thu%AGYomtfxq^oXqfBB{z!S51hz|KlWEA&3;i+$`{yf> z&xnk(EnU|Tfs{XLwC@`V1(tb^vNp&p6!?`qA^B@vlL$t>1M_;NH}q{|h~~_1+?v7ZpZVt76efEdHHrYg3-6Yfz~y~X(d9GY z%ri*5|LyP{hLWBtmirZlHSsy?Uod`HNf0zhW;K==+^eE-rq5s<7|NKHFmF z3w@>hvBtEqW#9&WZkq_T=5l#`zwOrSo`#$K$MG?3=I3xm%@2$hm z?&Uzev~^ALOAd*vRk|%g+9Z_XtKDiK>JWy7*ZW>1$2+^iRovAiF z+^$0_@Aoko&C}!kbelS6U@RS-wbrDwChO^a&;FZ(#+_0FM%&134>j#aK?mRJtflto z(GNumIxV{JJn+hu_h}vnO>JO9Yj!80t-6v*WbNOX;{N>BvhuSjc_TsH@u5?DY{(U- z)M%~MmvwAP4-~#$955YJNeZDi-hVFVnudzxlbd^~pF2yWJU6Q4YIXJC*0(k|+8)>c z#>PiYCW-WT`uHc8W?2G^RoO0{oBEj7PjK>!j%`9s?Yv*W!4rA3KYhmYcDc13r8jR> zsGJx(tG{rlxKzme28@jXlc}uv^EBdWpyB(KYj*{XOB;p=2&jyX!eSDHz=!|7xBVl2 zR1f1A87%xZAR_QU&E~r{1Z-)Enyy-!uE z#TOQ|J(2BZHNX?pRCwX`Y&%dYB+;wTKHszy8}G!$WQM@V#4d6hyVo{xra;VJXE%=es=*@Y<(299H!l%RMX$sxc)wUQ zo45FpXuCxrR5-JwY53J}v9XX$FpN|I2?Jos3y#&82u~$b&Q!kYtxiM=LAp^fAfpz( z>FEGp7k<`gKzthe?}XfbCnOJ20W7pTD=?R+SS188q)LSlA~RNW!%y)LKI2gRU?Vgn zB8MQXk7wHt6_X>=F|B7@sFg&HO&r(rXkJ86F(^gMPhk46TjRBh>|N{9n2%XjbwCKy zDuTqYwOrL04y&@0_DQVCL?R&*mWn|qVm>#s>~5VGegLtAVTQg0n4O==44!eyPNT{? z0i$RX+J^+B(3m$7PD**sJix)EMqbtzi!OVnkv;~3!?1(5;4ESyhcJ}6FN zM1L^oAlJ3@71X78gv{prEo)S4e8S7$U>32k9>!wBhdu<)fCHcunTlyWvl^Eqa!lgB z-a}F!MLKmOv+N(3)!2peFEKJ?@ogu%emc}I%y9jfExSNvniR1v)|TI`CsQg5(oE-Q z?P$l5I+-VnQ+Qc%nGNG+ikdO;r$$rvsTbRkv|D1zx$#N|{&13p4{v4>(KLy6ZBgbk zWtag^9IpOT0G5%CHNXlYo*o_7k1l^*T9FV0!g&X8vl4Xt24>bdfv>a`no~$BY9%2T zOq1R@+YN1`&D$0=4`h={;BAa9w;lB5>##yRi@G*3vJ?qpz#*NcSTb?L_ww}K`l#|H(tWw zKdOFOzzwD+kS+|SsM7j7*bEnrN*1v*J0G>ID0Tgv<7UYZ{m={x;&V?`H z@qaqWC}N=tbI}+`SBhK^jJeB8v+;S*LMC_tAn^ySG8nDh4ggXxjon)E^Oncdzd})A z!Z9u=IuF1d0whEJ3bg#S;s;5astiUN%pEaRVh`%eV7|iu)leBi;1_X$nlDCVtW)Cg&Et8xhQd`1C5txi;=_~tp$xdTlBlsFTsB@Lu_k9mBo@+GD7xz<;a@o zcNzSZ&NNgqb~;>%e?wha{HwD{vZl-M%_mk1D|#=!3GpQWuj9Pw^^akG`o!ksyr2GN zH{S&`naNouug;qd3n40d+{_gup&=w1^{V2+@QRDUtejqfNyTjorvOyJX>1tZ0>KiT7i(H+1}Mwk3&xM zcPq(S&6>-h4j=Q3jn8R4ZlODr>s$MkXOQWnq|=SS<9qFwY2;b+?txr!L|l10M6(|s z)lyQ6O9mde!LZxFET8>BNYbq*|IPX6a0IV*^ZWOt%F%Zhd&?cU)p3iw;G6aB)BC;Q z>m;`jiLlk<`H~jOtGa_6a6 zHB>=aZ*e?UwIfdVfj#l$WQ|W`bbCKqk-5_}NuqwWl=ABm@0hzjXDCZaaenqg=hLua zL}0woO~bU=`Ro&)vr=>-$|0(apvVyUtq}QlUR}9Z)RQ3-He-A|4B+BN2WeV7gU&=D z%T<42ayvRd#tv))hYoYj7kD%bSD{mMYeBOpHdLjkjiS7tIH%3{V@pIjfXal#5j!Ch zk|?D>W@Ler7jJI_=c_adfRL@-qg=WiXthpI^8_P(5&eLzi^GH3yLXF9T0L5<2EXs%pza3LaI0uz`D;dJ4^(sev_q!FZO>O`NT|XXG2S4xd%5Ne zOO-=6rG$kGJly5ESspRYB*toOsK1pdoo}W!xA*qpR;oa=HWUo4ub71=Rf{f+X^r1^ zQZ}@`7w+;#-?a$w5pb6gamb!6+hRuRTpO65h(v$6oUVwSqPHU*njMg??uzBb(f2X9 zr8u+PIIUID(WWzZ^sOQ9K*ZOqHMSbA$@5M1%{-OO4f`?8fid(K@H(BHpO)m1t(@9I zU%z@*j3@Z=YYNd#>;)NmHwhj3J*aKg!h4%la277%Wjt-pss&UEP4kt*O51la0YjN3 zzh^D9X1-_w%NX8L;>+H`{Zm;&W4LV(oMzc3B4XwUtp2+8U?N_oQDSydd*TJGCBhtH zc=YPPA)p?KsDVd{CVtOKi*N(CL463e!P|wWRsvH&d~Am z!9^48OTuNY9*_A^?4-=rLedP}KXvlVmmMNNGop*n&AcR- z`bwxB9gzjUN%lmr9lgMrYR|Ds-*ncN{LoN*j`2KJ`XbDUGmBW=>&1~gBsnFSAgsAm zKMU5qqVQ;4SCv%y%n}BXNhCzFijPTD#68SkkGQh9xWi$I9ALb=i1D6AO!FQ~ z^4MDTjx`q`xzoh%^dAC!rCoTv(@!-d;=>UJ=+_=9=IEpia(U*=y*cKhEE*s{w?X&g zRacaGRUez}b#xbXuLav`at>{ts&1{|x-|=>idX041`@Rwlf?N>`mK|yZP`{>td2X^ zQ^!)?klnddv(~LuS=$AKC00>NqNnByDK8)RtxXq(P&}VrkJ>;!9aqKB3aN953Dw*S zvr(27HoQ9>?lnI)o!?z#zDOA{nYV|WK7696C15SNX_nKs!UJD(^oUP3r!77WJtQ*3 zrBF}l9@@iz^jVbnviinXM=7s?<9$}!o+QL+ z^_I)=GBqjuWsL!M!D8j!_c_}iPN1>~+!*EdD~d~`h2gSglk;h_{C(T0+?H8S()Z5! z-xNS=Z;m=eWysU2GhN0b~nCqe{4O9G9xOJ z>A{Rntn~dYyttC9ts7sA0Q(h<8MZf}IqLpGCy8oOA1vajMPD!SqOjv_g?Zp5%zNYTW>QqM}))B?m}|7Vxk z#>)7!tn^1rG*UF!DVSoPgn!On&9Lne1@?Y1KvV*)Apih>DJ!Wc0^5Z{ryw98rl2Ci zea7+fDe;>Z#LOJ;IbOYBV`1fd!$r*^@aDY`kD&NFA-Rul8HL_+Nec0N5*7U@sURV* zF8fhbQCv`7Qe0I^NM0JKCMT%^RFP0N1gaY-XxRXD%r$il)%7fZx*&A}J2fL0brVN* zQ&&S}2_2w5v5~Q%g}u40v#pU1$W+(P(%j8L z*U8qx$yH~kjR9XP@jmfki;*($&o=(QBjexNpbOU z(FsXOu~Eqh(Md^30de`CQ!1j8bG~HOCS*dQv#TO=>Z1#qlXA+F3#yYK4Fz%jImuBK zsli2=@zoh$YV+cx+T~N_bU6fT;T2@z4SXo(F zQBz-2S5aNxSXW!sTwmST&=6nTo>I}BRoRhI-Je(2o!c-{Skqoq-wkOR%5NU8XzZ$N z>2GXlFKrtx?wG0X7_97?D({)A8CYuQ8E+n(ZWvl@p4jRrPUtC5>8i|XuPN-TgA7!s z57*}mHWqX@RkJN8YG zb+Wy1wzqh_JAHkybbGS0y|cS{aJq4P_5JY2_tUGhgN+}Ddsj#6m#6z@(6iHv>+|bN z==JUO#p&HO^x@&*=O}o5*ggN?v z2xiavBR9jSh6~^wB=+UTM4m#lSOsed`sSn=e6o8faM6~-u58I)ZQu_E&3NdjW4yD3 zW6Bu#_`WYNBh^pd4A08v^4k`l=b@Xgo8!oc^J*igX=nH7*7cNXN9?qb>loa!vg5*z zd9=m$Wem?%P-YjEH-i@Hm-_FW){PBGj|aeqJ{OGi#E-RZA$$)VTc}>y4ba;4deSSt z?UhVVnn6+=%Gp_87DCS1C3R?u`-UArcb{RmzThKSQ|+#4ZdJOu-mn}NuTufqQ8{Er zWXI-(H%3x178t?a%S2M}(bLzX?r`*R=RvJU!sD#l`9{POpRf@0v!!0%ecw_0X zTMGQlgjN{6XVI|f_DQqq;xoQ}gw`iJ9yEk4_j&w}ow-`CetbD@JFnNh9o#o)y6s@2 zLo-)NdChKY0QUj+e5zqXNr@+C7jdb+AmU&6?4V(#uMq&l2+M~!8n|^s-449YlCw3W7#v( znx-@5%@D1!wqUIw`DMlSgSJ@OIDrW*^wAX~d6xQjMXEZU_Q;Vg!Rj8Pge~WeIeo!?vv^IhKqnypvd*V!|HN$0l z7w30}LSd%$$=tKTwSw<884fa$@iX{qr{NFDV!i7XIq zU_`N^%<3i7F*mmsLcNSjUhh04B0lYS4c$zzBFUtrTBI+MJ7XnbA@NHAqt!HF9^a#J zE21wSXpeDGQ6-svURrVhWpj{*9L}?eIJ%wg++qp9GB&VV`aaVWh>8a!@SET zliFH6|7MmM0hq0(0uCJA(x8Z`PHc*S?l}}c=1CgC$m8*T4^~;$p6n{BH9Jp$R!tQj z-Q#%zun_|XyZ5Kmw=R#F7|=PVPlLy~a)Gh6X`VMvi$*?|O5s>eC32TJ1XLZn2&ori zw&gdd?elwT#U!aE77{o28x?u$qVuW6Ph93XWqxA)K`*^(?eQ^M%k7CccJVF&HGY=% zgquEdQD@?Udd*DUWg+n=^3HLh>9$t}=KF|Gk7sg+zQts&+MCdcj(y8Q2M!iNF0r?^ zhD{plg>RN_DR!PTl7#z9p>VJSUPxGl=?1`3F+_3P2~xcF@9QqpQNygj6G32GiwLo?8~D zhk{@n+Ml~Qa6D2uj??0!chk9(R~I5W1VYPGB?6|G^@I&?u+j5S1#Q3RLCMf1a(gBH z1K*mTzj`MO`rsrKR~k8#{Tu?c|4rNzb9A~(&NX$KfeSQuFJ)G3LcyjFwT{;CArKraey; z1jd;X%P&s16Zj5{qx09ch4aUh&+Od>`m&OZL)Lw7vBW|+S7#kZ;Eg>eFuO0G1_~qY zeQu$JdP*p&ARksWbIwX{uu}^;vT>6PFd*07J6+Y54B?d?e2of>+(8H)Yn)Zlxwqx- zy-Kre(^@X{z&j1WbEPNNe2mmezH&1?A})7+=EDLmP_5@NYFKfG=P9tG7BcWJkr`F| z(r&=xD2GV0Xj8#XVOp?lV7S{_4FIuoAWyW$g3|j^u5_7Ci3EE%n;driyK8s(%a8~% zh(?&U>=rf3eMni}7wpOK&r=Uku%-kZuLJl&%&@<4@lrx0jq**VhB7A&<5ukaHqT{% zf<0b+^3Hkhl#DZ}7@0v!my)=|u+pN6oqbY(<7Gx`((bqe;-RM>OCf~Bhi<_<7fX5$tZp)EtFs$^Ht}4EUd)!pOT&c9{2it1K3Ao0 zC%>mU8yNejIBed2#7}Y~fI8YbuA^ZivXIfT5ak7yYM}yhTQcMsc;{j&SEsy4PZYn* zLnX)udojye;xm{u45KqveC&tF8<9YQOl>rEWKpHCeuau%)i<>@-nfPS1zsC9wxOw9 z=jrtXM1I>_vVtrc>7NK|`tzAjWCLo@=tWkVJk#v%;xQZZ?M%z%zZ0xtaSE9e+kf8k zlWF+eLj(1D2vdb*lZKDa0nNrRzh(8!XNH>35F5zF>lpdcJu{G%u_4)343~2f#Jyqn z%{r4(ZV8`9=teH<%-nf_8v&Cp1th#vCJOdx7(xR4-`d*7U+Dcwgm zEA-oW%z9>b7le!YJt0NJle^v6YO@Mz%LIAFF%C^!*BN*CCp~^9wYq@KNugWwJ=&b# zB0et!W!_C#P>9<(mz)-xi0saGFI>L)K3qDI4Pw^umoQRuGnsqyJZDGyz_tIb`KwMi ziR<1HG`Z=eJ%l43n_iIeCU+HE5~WE~(^-B0`1{V?r>Xbt*S36`{F1K)<7yd#x?G@e z!un5K1wHdDEI*qlmvo!?cE2}lPS=Z2$!H_}@f6(X$y>7BzT=h8X2SSe&S`wfr(rB^ zh?TRg#$5_vN|3IzM%T-Wk?YXdbzo2-R_KUQ=!#NV0aNPC8ZRR83?l5Y{a%ylXDe!` zig|DW8;oPn6Y1_<%IaZBtPa(tastcO?^HU8ZQ@-e@s0SDu^Y37C->pMI!6;x6EvA| zrK&&$;V+gd*|lsgHkX~-)(>R2^qZ=#+#PoKI2?*7lspU~bRhx)H)DQdRL|j7##h>% zGjg(c->!J0n$y0o6Dy}lr;NwKx{GsuMjAD?$_r(@H^6SIX~E<~)7G7j-urNNiJ;D{ zILAtHep|De$(3g@@2+6PU16h5FQ|QkJnH`T4J2tJwYw$+mD6at(FTlV+;GAZN2eOj z{bcY3R!5Nkmgu?WwEIRU+H_D`K}SZk6m15#RKP(tGhlYdjsY8eo4CI_s#4c{D?vn+ zFL(&(R@{v?PDIewk?b$C?xchJrASUv3&@jT@28(u5SNX8NkW}f^V*ubD6~&nF?aS0 z7{xD5I_cuF2{;nb!gF3%(}WXDV|iFhSdLHlMQOy~YBo(W>B4D1vF>fkZl)c32OCL= z$>+)WGd2wF`!!oVAaS$XjZpE}5jo&^{~jQO@Zo3R4cWcdTu-XaLeR#evu9hseJ1Is zdm105saFN6-*{3Mm&+%NdZQ3fG$k)2Dxm1WH`9;*EiL?p^cj`R{_NWv-p&3i9tWJ3 z@V?azg)Lq!v#Xrf=a&ztX)B{{7==Wa>;BwM@}+fSN_T}f>?xb=4HG&Fyc!`jwW-Yb zv)%M*vPRoip}wpJAp;L>1Wv$2YA6L5 z2hm+%UFr&FvB0Slu2FltbTshGNX|DT_6a!`SC&BPQvyq(__{;i4*lRU>);GK;Qnx! zhA$kYh9x^OFs?!NwC7|FN6z$3Re&s-JJPw-M z=R`7oK0qzm|H4&dx4BK!RhNUb_Laod(q#x@WeIZSQR#WeZM&)0XHhW zh#o}hRekJv!D8gR35lG+l({YDbsPG^_`F+Y8GD{)E$_Tjv=X~3PvXTh~MohSxf9jHneV- zhL9f}5u%ex4O^r5wWK$BLHCKyASwz;F=T%pIVSs&4H$Y=rtf}_d!5y(x?48E8u`nRMGua!c3R0pHs$Uo?26wbDf&G>ZLkVbMzhKw7>_Cf~EU zc+D8RFL?PpPUYnGp0R;VtMzr`Lh4Ksx;Um-V5-#k8keb`0(M3tO`{`5@b)M5fbJ@+ z`dCL-(UcUA^r6(8p)mcG&k=JX`doVNGInu*b5X&9&Bw8VNTcYJDLrQ@4 zd`n-!<@U~=mSv=OPx#99gqp?Z^Ug-Aom(#HygKq%Nx684? z7IzQv6hb|tVI3xnJaPIXb&r10yVV6swI`g*{Wc7H1t0QK69;VfpmCIJvUZ%`^Tt{= zp5G)|spTMY5i9T_+FZQw>PZ1Sa(;qIO$&7zs;!bElAxoXHjK%zZ=+@AuU<6Kl9Uz? zLleKtsn%HVwt6p^pqNGt&1)zfMy3NR0rOHn6pW>mZ1F@f#nVWgz2UX~ju4LcZG^_R zN0FZ#GB)(egGBJ=Yms!br6nEkX8mE71B@dt@n|PFrzXYBF>0I@iazu7$E!(YEY)4I z74Vg4Xx*pJBs&yU{IawUXp=|2R=!Yc!4xUq;oj~iqfk#zv`A(vhkX2uRXyGr`kH#$ z!-(;MUe<**tsn+%XI)@DbX%mTMto6qKfz6_(o-v{@U^kIH#PLBl9I#Ni0?@`j1@-{ zxSEEv;=;m6X@h`5_yds>Ag0$P6Myn!=Q2tTj{I$Jz&1u zT9n`OK3P=uSI-}o(%xm`Er0G2{FI$p-aHI(>vn+jaHu#VYM?3A*_5c*9vdR@b*M3D z8#ugmtB928xG~HK(*FJo$d<0&Ld>NtaxjL{2o&tmgJ!f0sXWp*+Dc zPZ{;^l*V838~^`1H;vYJwA&pt8EjAC5@9JFfS8E1aEYLf&wpREBY>SHC88+6BqlA& zVySCoYGeqq|5?2&j+V0OV8!vjOr}1q30|%!WQ0f0PW7T_tL|-&WUsmNUAu6ghA*JU zF`ak$_TzFTsY}%|ETtF=C)0NBE7y%U{fE8i_8O|R#_*dIiPiy%kP_NO2#N^fJa{z$ubmu*nQLy)i&RY&fPAXDS>sT#}|re8Ludh3dk zyZR*deesvy_^2?%_eC7Oq<`^ms0y5%_8OU)(aHu3%}Hs`QhB}3l%~bT#9dK;jx2QG z6^zB6KDo%_xj>HOs_~lYg$i8`y5u&FtMg~q&iThGicm843qli4 zMQPNtu3lpfx_d91J=ZK(;(z;@w1$Jn2f%XF|4Li? zTW|+6!}R}igB|)?voy6dw0E&F{5#Ztp7t}@{i`X$xfd`EJhaA zy7q>4EdTddg8u=FrJsQh4dFAuwL6gV6m~baj^M`>i>b8tAD^_Z*6Vyzi~qj zgZtH(VHWtiW^C-NjqMCUp#KfiU*$6S2TVWtvC*|MwD`AN|5+Y~{}&syzu1BG(*FUE zuD-sZg(1vz)^;rV4t92iR=-W?H&GCA%DJI?N?)g@%(pnnOf=^ z8-iE_P36 iXVCuDP)OkZIfTnfAi~OJu!KJBV*`snVWcoM1O5wS$=>7u literal 74796 zcmb5V1ymf}vNlYR;K3nCAh^2*cL@-JySux~;1V=IaQ8rPcemi~5Zv8${(&U#z2|)A z-n;%;Yi8)4UAt;mJ@r&|_vW)C1SC2b7z`K~%6Hz+0Tv&=(1C%0J-}~7}bq(xI3=JJV|I3^+ z<=$Z&7+_$}@3){i6-*p0^{jMFE$rzX{{Bg4V`Uuj`I8tT91a|42%?0zupH>S8T1Ci zK!84D63Gq0z#zarODTxF0*8P>L`6bIB|t|+#e55e!HECvJrWT;E(sMHDJwMr4hjBy zc5-}5N@{ZI50s3YtTdF2bj<8bv>a^gWUOM;yq`Hf2r=@?aSDjCNvMi)(1>!gOA35Y z;HOs+=QL5^`6w>&QCdk#Rz^}zO-WipPFh|?UP@U>`J;@EgrcFSx}B1`fsDSjw2q^a zuA#hvm4>dNroNemiM5)MlYx??k-D<2mXv{(o~f>?z3wL`LnQ+}eFH-?Lo;(TLjyBo z6H8MAb8~Ye3kO3R4@+AI3ww75BYg)meS1qYR|`FNYZF&H3p>!u!PUvt&DGJ~-Nn(v z-Cf$?A@ts*b^?wyvhGfx5og*3p&5q1BeL)s7ztofWB_m02A%Mcs9IU3Eo+wV8wU zxr0qbT}_pp&Gr4w6=O~L!)>Jl9ktV)#Z$d?E90%J{goTz^}W5lodXjcL-WHUVSWjYbpO_D z@A}-}!BpGfeD~f`|N6qj&aaWJUo)Gli~FmS2OA5Q8-tg-^Y(Ph1>VuR-a0E+H(S;4*)h3Q&+YPU_uFOf_|OwkT$(dU}fsSwCJy941UmrT_ko z%334?RBvZwdjij>K6<`9a*qzm2{>Xufa&&ReGM-<8xYr$FFsuAZ$9xwnqob044c&OqaD$Bzg#3_FgjOSS53 z0G^h=Geq(z*%^Y5vy*ilx|-*ZTl*X$-eTS%-llma9&qrV!_8T3?@a~OmNr0D(amQ6 zu$2WnxOOwFP>PWlF%_oR>x9)rY`QI6W8hSiil%+ zDCD@UMQE1fI^-9b#2s8wh*yjHTxVqb)U%P$8CHKdHqmPA;2x$_lvslk)TZmu8SbRh zyUYPTU6j_s2v%C&y>qm$Q|ulFW@OZ(QR|(`2-vtVz62fua0~INyFHqkF=R$2&M&xm zUcP|a7Z|gw2H3dq7{?3yiToGc?QSWn!@Gk_Nok(Vo`T_zuSs}>9U#K)epdF9p+1VT z@Niwtm>5n$W?fqqbVwUqcc?oA@hqg~rSo4vRebA}4O}odi!-5!iT{+-7&lRrG;`k@ zr*#d+x9FkgJ9?e}>wun{)pfk&7-;*r6`4rz5Y!)Y#wt_YQHAAZ^Z<^~^3+m{^eycp z*2C9vtUY^Wnu>?I=GEQu<#dl4Xpx1V?YxTzj*V>hqS=MrS=PpY835}WU;)6=e*Z;B-gJ=yX%p?A|mQqLO01^K43 zwE43ME<*E~ZlZee74Aa&bZhaQnO&%OX z`=k>-eD6RSz7?3m_}udFdDpn|VlrKzUe4b|9+7thNRxY%ND5S_Kl-lzB}c{+s`Mc$ zhBD%HfQqk17y-k3b%b26X)9Lqx5czX}#-W>W?tZrpzE<8l8I@@*#WKjMI^27$`a$DERz+mz@T5-02VVt)*^HVg z0?Xl_Zt0y;pO5<*24CgS?(6%0nd9o%2LU9prP#w`Ie{jnWQcOsAr2RI3^$8zZb(cvo(rnjh}C)RqbPNnr+f~qd-jQt@0aYA13)X zO}DA>0*+w_o`+mcnT~aW?--GeEr2aDJgGu&H&GF&VO*&>oej-t{q%k*r7E_OMw@9p z@=^}z8s>-2xTih!XVr?)4H;t{MDu6}^7IqYm8cR*yccdgeLEx^7$kRXODTwMD)|AD zxprMOU7UvL0Nn5+Znr>NzJ}7~`j8mZ6mw*Brt&V~ECg<(;7RSxjzQeE2OMyF7ckbd3StM~ z4*|^rodL)1Etk%%KYUMqBj;>6XC%2Ki&eV>jplW&>)y~rs@ZZyM(DQXxDEge`_9~x ze_IY2VNv7qw(1yJ$3$Y;br7a*e66P?uu^^=!~X+A-!FHOa;b_xpP1{)&weZqE9MCw z4r^?+t>Z`*cmZLs+uAds{jnmbJwUjrG}rN>p)iE`O3O^eFTxzl32_Tz&P-08=397E z`EXC*5nEr`bQEE9%~7o7Dn?BH_AUYbvV%aN2R5O$ zxiy?VKrG+1OQex1(8m75GYgRhcz#irh zyMsbfrnFa?p7DYVmX|1a=cyKQR+s{Q0T_3@fWey7hIi1TlB`$gVqz;QPgqt{Ri zxVdKg4|;cfF_^Ih_@2uaMwsLTiwOod0&;#%8MjKVVo_X=$jRAAck25JREGfg>}!#4 zdR6?S*7VE%^FBYw0A$X~?OEu0FWVm(zvTWVy)H$JaeLR-7@vzkqiImneKn0n*# zDI`Cit0%t|MU!n+XF7CdNX5K#?C$aSz3mL0VeP`5&~v)#yc8qgKr*S63}v0sg_h z>}bXYyC*ZaZwJv4XEOxKaHD)CN0;oJz;6 zVj8n>Sn`^vJPX+ZfqEDB83nIq^yIkG)|dCFePA?KJA?xZ8D6R7-3jqT6+uT|e36+g zl%i>l@wHdcHV?*mMK5Buj8yPgjhI?J26bM>Oip|PG3N7jqrzlTxp~Hp%Ll!Ae{brl zhia!W@SWTKRMEQ&MGHKM+S*z~_u?)VX#5|uF(6j)T7p%w)pW|J~E?Ye(hmb|e0o@VL0&($9jPrk@_14$ zvr1OkWZ5$l^&<^)7jXQpHV=5!x^XVD8oc+ira~L~Mi+>!!4V<8?r>bflTA{0A+>mvufU2a? zI7~fqb~E``uj8iH^lL4@CF$?LVR4fEy0V|w5oGnr(48oS&+ZV4S{SOzIxE^9Cnv6i z)S@o9YW_&W^aFluZ`i>Xi{}a#wTj$C&Hq7N3~K(D(wL8qAay`XT;4#sA|v%{i;^*g zr4HUPX!uo=aiT8ZnIICzJ>6vh*UJ>#2>rhgz(5HSux`7Mc_>+e*m7#zs&NAJLyaIvWypUdxz) zch|biLn&+x3N$1}@Wg=BZOkx{(*);t7RY?}r7-K;s{e2UcE=!Q%;q^Hgi~t`MYlyb zN6V{SZfJ;75xfzLe3;Zl6ZxW*5-vF7O4^NdqTx-Uozs2?4RIEyts0}cH+u({`MnAh#h7}BJgeEgL?FZu{w15{yp5l z%9dPbz^vb*7VIWmHjjaEgn&1%vKAo)VyAMQLDd)0$IVH0lHFqbZJLc6m0hn_EghR{ z@Tx6tIBY1zHk48{omZ0bR+L{N`>^z7hB)vP_;TSZkW)WcayocSDe!D=mlI%_9OdM6*Ld^Q8gT(SnD@mUSc_1LCA;ZPG%&YK~HuS31Q?;@W?Z__d zBy3WE{=Qk?sQH;2#C#*d7@GzBK-Kzd-9bi+h|UsoRF9o>!m+h>%RBS6ItHcSpVR;;%>faUS9*z}TI`uph8= z0~as9HqH_H*3^TqlC&vM2MJ5Z8D8H4Z_hquLK~W~rD}Eb>C|doc&9aoWR6r3EWf;^ zl~7^uA+uFPZGv&UOgG>dHQIF$C&7h&S^e|^a`zrf!ijm9>eCt4hGH^SXe8_Uz5eTN ziPnHSSN|Prl>?tJh^4G5>8iR z%`7zB)8(KYd(_tk-Hjg& zBfd~oln}mMLxF4xYFH%4C}LvoLq0S)Z&SsBcTKjw%drcj)ImK`+jZ~8E34g0f{jSwjSE{~=q=}@Y?0r;|A<7Y&?(y6nKNQe80y+% zbYGXlo9B9cToB>C zqb_(Mati@oX(^QZZh=}+RzHdKEtmfR#}z?;L>1MWNW)*^$McDEYRbFI_1H^@YeQ0@ zl=9)>=G4>pf$bmllFpaZf}R^+iJW}ntV-FE{^EL6xP31cNkPj}iOyi&To|@uL2Ga- zy$f`fr&qY@hToPyd4~(9v+B`V`NL=w7gB1DT*FVfmHedbb$yw+4(jIXTy&gVg)A} z%4r@?BT9f0EiT4nkdt-}ak+NQ!Lto*=T~|VAZP)Q`lx*P@RkThvF?IA1^;*<@=Y9q zI$Ij#J$R1ZvTKRjq$?khK^Q`_UI>K&%ublxfjgJ|W|;r}&e?sbwogZ^gZG!o4?-NN zGd8&l2I-hQtcuEc+XtP2dMF>--+{B1Ge7>q;mijW@NAhcex07p&F?%7cJGkuN)gc% zq}Tx^Dfs{}w~3nwjG#|j(qW?C^utrOrfW3mWRhW9*yEEjOM9UC~KH~JVKJp?V$ zY6~P3S$e)PTsY7)_~mNe(WeF~SYXALJ}T2cIdAzPHybJI!mU4Eo0K&d#4A&Mq|`@d z()9`oDX!2ma=r{6WjDt-9~3f%i))RD9jaJ6*=X*b_)6Pl;v;=K1J-L!F0oXty=R{I zm2uVj$Lp=vE1|4x{nu^?)JVt*QfR;p$h4=BZ0)`-(&4u?!%+2PsmpU^!v4*QZ$1hJ z#Y@J0&7yY=KUE5xQMG6!SDQl*U zX9~WKc7C8i0buMHp`IyW%Z=gTx<|iJU!sZ|34U{;<6ajQ$nfd`F?9`1?)*tZtJur? zOE*EIaw?4)O&6vLRqmu_IZaZ=R?3upG1{pegW<9~5^~OSD*^-v1Jj$V=u1gO7X(3f zM8PCPJ_(ly>iGV?+X@2%^Ku9G`Io;h1kfM$h7Jyt;pE|wOD>gx9M9Ozyv+Fw^4 z$-4vFrlkaXkr5;yUg5&5k`ga~bM-}wMKf;9ln&-5%cUUeJ2%jB+l*~;^@?qKa5mW7 z8m?wme#jXly`drpMB5o7t9Ln_UQn^`m~W@sYZn4Eqx-w6b-KxvehCbYU~g!!=o)8n z8GUoSRHVzO69ltYg@Qn;fUBkI3UXN}K5K9^B(>12;HOK|+{7Dx{K!iXxSC&f6EbS5 zrG!q}TDZhk4U<+kAtX|)-ij##b4y0CR|ab6e!Q2!y1hJJoD=O=&ZFEYROhlFXmzVc zDSP5@{%}FGkYf3Tc&fxs(7^Dh<0N^??*7hm#dwElM)-3s@t>bY1k1cltRnc%zC$@d*Lq6EF^9BfQ9Dt}GqOJa&y?gC+}?f{|Xf9AaisMSs;NF@U~a{1W`xeDzmz9-n~GgDIS!w z>F>nhp9}vpBu|FLGi#TGg%)@|5>fzw&!Wo{o`f!i=KVC8>)+x;w1*}OJQW5FS@F%I z_qz2^`}DStJdU^gB7Jx~Uo&#WFIRH6%z?2{>c|08~4RH&~S6j<;9avuV0huWxU+x+)@f*et6 z-j@*$i3YFo_d(4VczbmoK}2XEgy$Ngb|KVp?dK60Y@X3F=4YE|VLi;Yp*Z&3O`ym+ zbs``<@Q%G&x0xv#M=pDfIa1Y<5t9h{j%iiH`rs$Y=D6m~BNww+2dTCK{y!w z*cTooEye=<+JxOy_OFg!&#t|it-O)y)I%KcuOXA0o9*A9*}el(qZy}i=5)s$+sXB~ zYB!jfe5=fo>%>9x8tpLPNnjYlftc-#X3)WYBYzrRFbsF62p2zZ;%2yl6o+@U_b3 zM~986i;zUDBj-rrkI{gfH_;nde zpJr)2jI@y$jSxYgv8sRBZ{VI-a$CNx#h ztMHx@GkX(i`W!#1FEg2UCD)BQC{8@9@Pg|PacrWz&^?l8_qxt04P=o4F6!J)-D$v8 zK8M>n0G1LE>a!#?48u@B69wooLplc-*#BH%UY-#eI_N%Em++W@hzW+b0oU9j21ffg zNuw$fqJl8xZy`}dk~F`~r|H=o{%|%CkH8Cq9L%2sM+KVxV(*d-${LggB{6n<(OOKUsWt2;i-YBE zVw{RZG$!=mlD<{49w|e4b-AFzTzaNo(Oj;2i_4;$sA#-+;0>)%d%}F2Q-?sH5fbVoV>_AKd7>4MJ1u>a;_Jq< zR4%Id$0;nRdI|=9sk6!Vf$E`Z{QL*UcmUpOgAw`?`#n5HEPo6xb`7W! zzt9BIoIa-`>tk&~UhZiqldl%-E}L$;z|Y9JUuzSt-R#0rfsX&oSYo zQ*j5^B7#)yr;P4G>=&xm4v%uvC}D`wI6QdoHb+GbI{C@C-L4r%bv(^pi;lt5SEt>! zdF@M|=ST*G3}dPc?MaZ(o;>$j1En8l_LXaGyU%ltDJ^;x7|A!+%%^v1xb+9+6u})U z%p3OKyX?%2&|a8gdaViTE&KLXp1d8KRZH2_7lqK+f#~QI!#I0AG?h!v%6ImG4m&@Y?}LRU^uu;7lYAWRkZd#~-gc4Ioc_ndOt-)5f|BkoyApXrom z7INes?^649#sBF%Hb!u!0$~F+8ilg$<}L#zRY^?4_6;#`XjWFY%o8_39S<8Szra=X z3{K{&Hv{p9EG-Gb9d9s})&_oRC*tJF#UZO6RmDAJRL?5w5vB4f=L4wA^%FhzTa0W` zW%g)<8Vu?CNWh~h>s9Q57#nYQ(vbq{PH-MV;8rCI;xA=j^zscUP`jtpGCq5pOzCUX z9mZ;~Nx(5*U3|YW7j8uXjp;gEDcbl`YDCI#D?`d)TT2ML{ng5%F0j9=cn%@4xd1-I z-T75(He@mm$HesO$L}b&9alz^Qmh{;t<{$t!qdzjL-_-vp=6>ffSfFL>2~#`M1$jo>BN$tMWIPdx>FRAB=fUCNf8tR zkJa9gOI1K1j74?#=i?YZs!s3XD7DgE?zbI^GjZRMhfT7Vm9D>~W?`bIMDx|J=s^3i zW~TMAzgqT9Y3f@_eL-7_HV?|IEY`4yVO^aw^;|CYp1ngOx4Q~iLEb{uLtDzF?|rvS z#%!2~d;>W>?K{(kig(?K z@D9wGj7O;Rj<1B=pt#-<|5;V0XO6FNqcS<-c+gVr|dePGziWDmxA$lY3l7SCdwjk02I zY9&8#+#8kjlKoK!gO^p1;wdArk%%$(p61P@wRsXIxM(~AlAwXj&@oS7i;Vu#yOAe@ z05di_k{@ukT$KAFxu#Zf7RGJjx<$LkBbPEVKex1P+v-G*>FMZ(AD{Fp<*vd;?Hlc~ zOvi-V3_O@k?5Zsfc8^Jnq3n{xM4Ip;t}{QBSF^p>jddL4mFyr;Iip+GVq5uQY|)56 zJAH94#Y!i#gEn-ySZW(BJ|8$ag7i9YCuLG~oN|)uT@N|;2Z?qMBY`w8M88S6X&)^A z<=5lHFiLka$SgGIHo$Csm~H1GrT0agVQk4J=a6#er=2IeF*?N&!50MXyX9a9%g5Sb zAA7AKE*Fly8Z9CAtF6(SpIo|6dI3F+K-}ko)wCM$(-qW?5aa(XSbw)H{k_?58`~O`M=RZh3>$B0dGPL-!y8m<&fc!tm>FVnnS{Q;{WNk;U?`UWDynhSS!GX3{ zfn5FU8t~^2(CdG8+J7>~-a*&F@&8x`0ch5L50R;*uCbv#y`ZUsrLK+r{}QSHq}0aR z#?j_E$p4EEo^OO$>ROo^8QMG0*%=rO#SB^bF(CUNa|aj>&+^klLD^CKtT#(E(t8 z&WGVOSR-Xwhy9Ew*X#E@p-Y_KGb-S8Yvh`PYTkeK;SYzE`swfBhu82)vYR>XT=qkI z=HXhePVya=L_1HPe9m*4{h6)fS|l&`Q%7wcyzH}ljztZrN2YBWdb)ICSu1fj)Px_-d5j87 zLeNqa-j*wLqV9;9lni(cimL~((iWo3m6PJMz4P3-EMm64WnD5@^3!< zr!<8J`B>lD%HdBcZZuoREQ`i1-Foo_2y&H|*T^ED(W4&j+J^Di3ALrNuH*w~xCj0H)G=|Z?!uiTdsx;++J-l!tN>ln2Smbe`>*!sv0cs!ai26(xh zUv2Im1Az6o`6GPpcTYt#+N}>y3oC#p0I(JS{LBkv2VA#49PV4UxZX}p9JhEqPDQTp zcmj`Bi)L0@vw_#lce}?cPgeI6Aer^#W5D%Y_d>ii;QBH2IBogqXi2%%{jqc6n(uM) zI1O-pybM%dP+o4i&sRQ)Yz1y@^F1EzmL881Xtg?DSJFQP0|$; zJ)hQBnnVFNrPkU!9_L94z@s3nV=b>U%BQ=%&1>Mz{Rr^lXbGwHel4{1VUvDcyW{3= zs8+kqP_@Mcn9m3JRqFNBLg3|bzW3wN9Hmd#M0FyQ71_>d30vDIk4ee+m5eY_-bIh7pG*Vejq zwKM{Fy4;`P1592*vsJbN>%5ZIuoi$`S4)7~DQnC6^RJzi1ubNMYW2D~qD6d-b5RPoS*lE5USVJMxK=(WRfoRYFKxZOuzu*e&~Em`U4Eyz z0KC#}Q2`$4Hd~H|uXeUx9N4x4o}Br3a4l3%u0qpWPY`*CxjoL;it>X>(_7pwua2Lb z25!<@ALg!FXGR`DU|E-J&~1vpt_0q#x!d7Doyx4-Ee$9GFF-%lV(~S3-Iwy+wj740 z18VMzW{v?jSG(@0zH4iT+FC81jrS1*S}pfqz%NJWmzzBJ?pkgxBd?HTfWT1TcCR*2 zWuyiARvdV7wKU<}y2<=_&n_d=dg7DzC_5l?lCBL(g{SMsgO$f1;5tbEG4DOS%*xZv zUU#x~>`uJbFP7$(n_S!L)qQ#|kLx6>T>4fw7vS{+_xly#%F^-Gl=<~J-_@$KOS=4p z!O33laSI4x=^jtrK?Hr;GS%ex3bywF@-#{``~4<63%}me)NwlLuZ*KHz?a z?>N#r9bXH0aW(a3 z_B`X_x%aH;JuTI4d0d?e1>Bvu@;+GZ>qGEZ@OfO{ncpRAHM_c8i#^HK22CQ36e2wk z1C6h5$yYAsf`B6Hd(C(kNPtUWBt-Na^)0~l*?o{7-@}RietL<(1MQXy zDlTNJ;*E6cRM4>t(BSG}1XyW5VHx2&`>B-P>u^Q-T}e!NW+Lw6&sMsN#jdRpgWKHv zu}9~JoV`+RNY66}zWs;ub?k||P;HM-wyKg zB83KS-KAXg_hz>u-JtI~-folv5rNAx@_AULULI~P*D`!RQ113FL!Hx)pEj=3`C>=- z?oF9~wkAHUT_SniGzJM0Slt7U_%`n%9|HkizE77DSCQ7*D&)y5Q~==ZzO~o&J&^Zl zXayJ!>}+)d0(dO+)LbW&X)Qq$a#a^vkyo`L&8g z;D97yRG)Q@A(gj?6CUYjUO3pOuBl>|jxA&-e4Wg*{$6(y+?=D4-i9S7J^oONu~3Tr zCZE489u9kHE1yh|#A>v2aGsP~*gU2U9_`ie5A1ad_)fgT|f^dCZdDe?nCR@7su1~ zi=yI}xQ;FxQvWz@d3Ia+>mcD@9HIvybZ^GSAvDEYpOrVE<7Kp<<6-z%LPFQn<(lD} zwU@*AK_nBGwCkFpF8@}<00mtWC=5CQ`#rnqd75Qb4hGMeUpJ1=VotcqOC9Fzt7NKn z!WO)e{V0m|^P)nH*&XR*LE@_%WCvI8f^r)Cw6#}cvW0T!4WTI*48=rrap!zUGxyl! zhu)A6M0hXhP;mK@a%X3E&~TB!^)l+yir`g{HWXyHfR69voV>y1ofOb(zP@|ohoOna zG#fIi^AU-I8zKC#1g`P|S+|M!=dkL}t&+Qni>Oz%8ExqJ&9n6@IovAD?Cn;mQH_^2 zE-v!BWAgfO_)Hg0f$Nq13TOx=I0{;9z{Crf5O|_O4_2b?% z8B}NVnUz;nOe*Tey%#J%k4-?$$twQ}`6^#ux=fCI<0E+jbt=s_a7|VtSRx@wEOc5; znrbyo4A4=Iq(BIz02L0d1Tq71@=5L|n1oLZI-II&C=F(VsKPx7bQJmxD&S@fRG_2a zL|@cWSUae}NuLvSw9&~B@d<9a_ohif-KcPL&z7KuPjL7wZZRwschCq3H<|L2I%VcP z8v?&e*7Y5YFyEEtyK$i_Ir@f(x#+mp>2K_X7tXto8 zi!eD0!|i$1S++P3(`Gq@2}D-EwPe3m(EAwmrH2i*OP?m)x>BY%STq;X2cm0q=ctfj z04b!yv@7j&TxS=yw5WxKN0`M73@Y!W4jdNT$}ML>t}y8x5e{o4Y>w=C6wMNDquJoN zP!?k@^p&^RsqXG=Nl^z4A4rD(ImB=*h0wKu7J}JsDB(%HFnnIZ@*@O1&w&Fi1lAKw zA}@{qUyTw)g={R|UuO7D791=(qz`5~$qgy_PCz+a)mNP5lYY<9mT6y{TL}n&kSJsS zwf1)KG4@Q^=I4dHGFAA9ESVzsTO<#%{SEpVP?yKXolRR1=Ae=pZ^4e4ZXC==h03-qV)kKOgyc#EVEH5}Y?YEauzRh+g zfx=CqL!)gXnEe_h{39$lba3X_5-7z8Py5X|V7*x3824zJo$HEyXj^vqgVn5EO3i@+R1D91vn~n7MuW^Nbk& z;3M+a5;s!A{q6rF0N*w0FN#Qn^9>a;N0w56#un~{3dRypA@`Xn1Zc|HUdSLzmYC{~ zZ~fXGdrOqjKx*?CeL#w$NtG&!UNUf-Q7@ep>D1~ucFzKgq~ap_#IU}!wEko)X2@d& zreW{HlLAft$?@}qvfd_clE3l(7a4^8PbtOExcvh{`O1qw5dlreD(jn|X1e#CK@#L0 z9NCZ=$a?T_xKBSFDwoxKg+UGzwP}!q%}PG4c?Q-Ki-0iBHlx1tIk$nlrLs%_pEUB< zj@bAA;1M&pA(gH01&>$+6ao&%yjA}I(l}N$RT_o;UjVrv9u-{fQ4aDn2=N--DoNSS z&!Gzw6$yPSStO--X}C+6BfE;T1xJfeSCFADTVNCff-aI!?#XCs(7c($^<+XWXgDoJ zxZ2;igMR;=|EJ<^z-{kyXsc}$)4}z|&aCY?7 z`|ywR>U%IR{G4^l{b_c|1D{NiNBK{-`hPr-lYR~elpcshL|>3g=kpolN{HR(Yz^s& zeLstr3gT2Mahcxj)0mYYx>$WU%x8CI`{`m5+~ zCc<|%$oGSSkp+SyKM0q9ll}i?_-wM@44>^wpSS~JIZ|=du>#w{XH6D`lsn%~^I?g` zUGUqTCAS2wo%(4h9!!0M(eof*k>){uH?bfQDB};4Hkl;Jl3h>W$6vh%$P6wK36LiGr`{y!~&>2XSpCOgY?9{xAA_9vjmF9EupN-YpAqiW$ie8(DHr2 z zH2C}PxQnx-jfv#VP2UgJhgSad_s2Fj1(`k~yW3sh5I} zgYmcEw}UgXf~*0djO&8+pES>w6__=u>7I8`1c|;N5DipjsOpvqtV+ksd|^x#qSmt^ z8M{A%Y=4>CXJroac;VmXekCJfDVR+LqSF>heptOd&+w9G?i?%lB`H=}>>SbXT#v=G zegDBlN14@TbJBZ|=^p8}(#U^P8zc}qQdxYR*7#T8xuw8i)qPp%5XiR~?o`~6>i0?x zQ#TWkD5e^tL&{%@o0S`=ULxy+{cUzLsRu-Z@WM-0i&HN}ZD}S11fgZs-?Ubjf!l_m ze%}hBTGHp5SnyJkEJ?(BR9Y7OMW1zeb4ixG(lc>*NonC#a4TMQsY9Lx#oy8OdxYATnLA4ijOFS+2IRwMxO z(n0i#mrOK9AzZ!`pF6*JNjTf+zqlMR2R1xO1XTS;uCgE*9lg|kS7EvxLhtTrZ0|qB z%={0}LS-F22K`Y%-#`}p{ezp#OR1;Z5$Nik{?PT0#BVBRc42Zy!(j~t)$ugjPau=< zZvU7h8nsX37je+^Cpp9ZmPzk_R!!{kmWnj^hiGKF8&u?niEySO;l;D>bjw-zo>2iJ)&UOPP^ke1RW<#+!k41O znT^_5|Iz@#NXH{-#_U6A!F>n&1pNht<{Un|5>$- z|1jk6FRg^<1q)~2p*)nuzHb;=F!T7U=VI1a^EKe5NgFHpZ(;i~?=PAv!cs`^Z(Z7$ z3pT`6LM=l3q`cO@oAzpi)cmh$G8dpx zO}%w`xpw$xO}Maf3~{9_FEanyqYni0daJlS?G(~WBB6wHz9jkTZnpRRXAo(=XaECg zfczVO6RBMvo?o&aDsCOi6F#M?<3`AaV7-Xtoz4tWxTu_*BFbt^T1jH0y z>dAAT`lmGlZvo8ti_(H{-p*23Ir$HTA8!q0G^VdSSD*hj8dSGIP{AY&-_yaFQe8uT zsq>=Qn6aX?aVp6#UHo&CZSMQrJVqkUqz-6FBNK*mwzKRR{3+Mi;SCuqdv^cckk=t8 zqTy5rn<%i;y$|0D?EX`yg@0_Ye0op)0Ws$Lb`z-kLI6>&PPinfhrk9uf*SJ|qb0)s z#ss+`ODQBZGyzI#CShSoxj^qK62su{Q+fX;kp@5RFRm#pT!`CFNpBczHT?p?n+edY zQrK(!I=VP1--nCxd(w@9rPjgCHOz2u`;l!V8_A^hi1g%^U|OE|v9sJEvRL}9W9O5oC2Ip{EoVZ)(sEwjlO#~V-@{(6 zX1?}7P1j9=Ivc`YUdYmYlOn=-4U9epg}BkVs%{`|4h*jA2er8D9}vgJ&E#KBu+WwD zqTMq?V z5e%%N!n)kVtazaJy$}nb0v2KBp040ja#9h9JPd=QK0~oTsWEp=Cdq?R@4t_>HfAGK zSRxTtco$Z{DW5AK^OX+~C9yA-=$9mUEK$StCo6#s8F^s}J0B4`*014RFm8WwDzrIQ$+G^o@8shMisQQ9B@SvHQ&OPMAaHnh!UJ{%(gsor5O zKRi3H02*HL&+urdR5JEVwIz}cwR~H;LIcJrc8BQ{@=%y|{~~7NADsI%%rcl?2jOYX z)Cv4>V00)I`%z z9MAfDJI28H1e^{+>$@0%G$#bZNGGs3^@y(zGo3S_^f$FJ(X%EN`@??0Wf9O_BGJ>$ zXB;Z*MVF_Ke6b^V!GFciu4mbA@81krP+)mwutY*+K&eWmSuvY*?mPuDJ9x`~W!$M} zdHm?#hSP`Fv>TA_ z?eJ-s(l8gzXXfV3Yb*P>l?o?{)$0b6CL=}NR z=-k8hbWFn>iAty(`t#X=sAM}oy?zhQUpJFZ-5gi7Poohm!Q_tX!{&-Bs2t>0_1B=K z`V$)YEjEo}G`s4Uh$2?UaSh`k*9sVr8a@}}gV8RKw+&G2stX1srH!cjeV3!=ZK^-@ zO?`4N!CahQo)xG|71F{-P6YuhTLfl0>m@kC@LxXHyfROP6v;7=m^cTP zeW!#9rM#;w-QZRczQ`w#Rhe3;9^_UbVY-L?Hm59B`AtV)%%3f)I3_B1V&~ypqaB|h zUx59q72B^ys$qMPF0VTj&EgYhTILaqkU=j&!>g$=3sU7#Lm*plag0rH&QsP;40UrF zO^oe&A|gIYWq$CkX9db6Or`a{{Rc(ab014G&bQgTi{syiOzfP4G!S{=G!-iq6s^%H z3xAO>aXD!@K2|e|qb5px^#A!s;@G`P*RmN>Jf+8*_CiLeETF^zBT+0Q`0k?MCpzi% zv+F|!@$m;+IFrJKL!b$TS6-J~qQcnXlsi6}G6a0S_?7@25`2D<(GyGTOv4n364HnN zuCIkHy4W9PHhiD$sxT-Rl8@xjc<4@o1Ul6aO5X9K2|v59u{(pV4xiBO4zX|AE_FpQKSAh)9p9e6pG_Bf+iAD z$&@Q7XDdtBxRr&=^2z(hH0nWVRU&S1g+^6b8ev3T@4Fl^&rq$^@hft?P8O)mWP07go-LG>veBPh;=llD|Bd@M=-Pd?t&+EGGPUl3r z#E@m`+%^r0a(f9P84*v6&81R@{Iq9~?o?Ws7~GiyV*PK@E<^@Bm@(+aZVysxdth3G_zNTz0hXNRsTe z3UPu`cw-VTn|a}$*(vrjkLdRjsEGHlp(7xk>dYHB(U-P*4S>uCr_P;uPMBp|ZXO93 z^SzG!>CJ%~rN_=p#XY?Gm4v22JUuUpy3$E8A#^GC%<>7E=gdYg8bId!t`W6<^ur*V z3txNbD${L|!2GW?Z^=nmR673K1QDzWIoHS~&RRD#k`Z+IZZqiX{ripdwuPk zowp`6NJRKC8fprdnIX$pt#qOq!jk&?eq=~y!g2{2B6SNhD7t~ysPkLLa!r4#ojGGP zR*wO4jQ4k=ANkG>F@dPIOTHd_w}`F2%NdoJ{ln+oQFJ!;iR4#CZUiCz8L%; zV@HLEm5%AX4y0nP4<+8>f3;`hrwRoPQwG2xr`XdALSR2ZtpHgTu02^!8%Ob5rSF#X zA+_UrXS05vWOsrXr1NeQDI61|2&(Tip(|W81wO9{I}!E&p?I%gEO;mUDgYf^Bggu6 zyujzI^O+!4`|IgKOcOZW0`i|P146zg^zv7|9tJFWIlU|+S^z&xV!m$1k?WWgfU>pC zrO|W=jgo|7d!St6qIE+B2PC_wy)L)rD_?YJMAL+x{Y>O}rH-<@;{f96*FN^)mj@GgrsM)D8p&95cb?_p-7?9RmQV_)kFk za_6bpH4A_P>~g0d&w$e0yte>rQMS3n`nG(fT7;O|1;F7}j~N2JR|()wA`WUsG2VY< zAAtxnqAODqXCE9vU@8Y-HtUv}UHd0aPfUxlmD`_y=KF*VQ~AOh z{9=1?2o&q}#~EUqyJQ5l+jB|+Eu=qzdoP!K?te0iz0WWFbP=jK8g#sD==tjlcfss> z21&Qy-)_JrA>n+VH@=>HYk`xI&x0ZSaT z$t?989CF5 z>(7Rr#!>scJFX}A?^%R1Asrx4Nta5fj_Nr&m@AQCMkNRULG zk0ec<#wjAyBbaJ{B!pk@SLET8pXw+eT;Yn$_pznyvl64O|G&j;O7{GW_})Atcx+Vb zdcUU0p7MQW*smRSC4@`CLQM3dnB&0H=0M=-QA|OAdOY?jok#;ilK(7D;A+W@K7^RB zd2XYe)6_i-2mibIOwXeTe*3(oLf<>*Zp7UA?3lXfm)c{?rvbe)evh-843qx}m0qXM zoaNbmr9i+w4;w1z0s01vmyN#d1qM_v2uz+h#A?thv2_n@ci8wA0Ou1x(wUHqr}~k^ z@3FgGF4wC_OuxH=q>I@bcFaFju1RCqs(w?2*pS3|3!c_R^C`g2&F4N zc;vr^Ss~y_a{o%Pya3<8WtskmY+r8Nfz!Xe9`ET@!nRI~oLn768s(uR= z8#un44f(I$0}-qdKZ-vV(k#*h?+=eJCPj{V_#`8U^eM@Y%!a6&B}eyrF41A(vQ zpNAcM-}XDtq+Tb7NiCQvp^l81Dn-)$(~oa@yyHmBPC4g(`sMgb>zJe;KiJMZ(CduV zUeGo=#d@Ze&YiO2qaYbECzm^IX}9XJQ~cqyB5Pk8Ovo$ez|IJx8N7G<)>0ycg3&DBp;mN zB!|T{dwUFkH(Yd>f{iIA5E9>?XbN(0gd#kN6A%0vuzZwHE;b1oiy!Dx9I*a@_Si@V z%N4-POWtp!o5&;9U#5ORLgQsY4l!3b()l^wn0?Q-k%4S{pIyo%b9 zI$$w+$AyobB)~5wt2kpUzR$B6gdc-!56S67v+4R?kPODSN3XFz4eo0{t2Qnx(ou3> zH!1Kji`td1$Hlv$9Z{f`4#%>_dUBB-kI(6n)8zL!J{HsFoX|5DlegMi5bbhPTP?$& zY2yZ9L@w;gLvZ1Al}KWCv~4b`BG09RWouw>MFb64wYTOlqCjCLW>xG7kBqr=JU%so z6P9lQ6wZ)~FOJ+I;PPv0T>q5KPqJ*NkRWFg3qSo?3?>m%(0^i) z8*FqmU`pk#`hi(0$MtYaWl8D6RxdzcAHs#mykR*tfqK6Z#`PEuLI=ks=E7Nwn-E7d zQAQkEK`3y`&^{AFe&$0%hr;vNe4k~q1yNzx3ziJmaIjbuanU@#rAB}S7Wn1!9L}W9 z_f@;!Utjx3f;2|-OrhE0a2$8xpeXjHPKESOe(tGH8Y*1LS;fNpj%`cDePr2yCZJCh z7jQQ=H0U5|6GO~0@}b1R&7}J((Ed-p)dxe{fAcLtAx1d}C%MOI#Zv2+d+XW1#zv*v zoe9}}6U4Hu&%^vwA$C&*qtfg_1s!V17JmS=F8=p03t58Q3Jx*`O)?5aL!Ecyhm{8;xJN_i$Rj|r|jw$e9MTha0 zLkwo2yu#0U+qg9nGsxaB*}u3!<pJiVi!1ctQF&lj|QU^E*ZMC}!J&8BE608ubKggeX@{(gT$1Q$yJ*ws1X5hTfOg%VH!1<1&;zYF8t zdX_ae3@$vtEgUWa{3ne8k#m>;Fv5D=e}&8%5|Y0C~+6gIty$I zOohFBvUjgXWF&zj$~{Db<<=;N1=On=M!xbhkQd#*2mE(=ltAIaTnWU)z#d}~+`tnE z+5T_oqX+60A@%E?jRI2!`4$*+z%87BJoyHFaFyjNotTybC@C0Qfx8NFrt%QfNnrlL z{SJN{wdVf)P88$*H7dbh#PIY4A?R;O+a zV25MlYmlEmgu$JH8MuHws27Ts%3&_d5+8fXxD6p-ayUucD$< z_iX5(R_yIqNE2kS0MbE0ytjX^t^g`E_HV{Ozcj^O@m$z`11RDDyyD>ow|UE4E;}!V zFaGJGb7tlA+WpDu<-RFnq16)obC}|#&|+RseVM0xnnqRr0{Y3{jp+minOfJiooE@U zUCW*On|`X-z0Z;PsQzk{xw=&AvG()F+UP06S(w-Aa-%03)2q5)hMUH{Uh_Pib*s8j zcszzGnFc%aqyEsF^k;Xsyy~_t?rxqe@mlXq`Ri5i?qi*9-Odir?C!?1y2uZ&rH$H8 zz+NU#R4OIQDDAK&-%Kt$y>q%^@-wv?Sa67U)bUsp?Ju7h>!L*KY9c~zuAf}j9*BV_@QEWS}h>l?- zoINvfyrAj<^A=rJgqzpEW#bXMpDPx>TvGK~d1DI&V)Na`;%~kj zX`AN;|7rw1x)7W1!t~=#p26FB7G~Y8%cgH#7h_(DoA!va8`lwtv+HJ&V^j;mTR+$x zakkQD=0^AEH=gf{nTeqyT~#^Xc3vJGLzJud^=e`9yFuU`=FyMiHsdiQ_)p_x4^`Ckz#5@%@?Gz_Y2#r>EeQe#)a~?%bhn8$qZsY#L z{z4nULhzZV@-hLF%iHWf?KOqbo`PT6zkL~s%9k`|zLU4MHdskK)STg?%j^`~RY5GJ z6*-hHdAA@^w^FGN9z0=f%Uxz-^;L+vqL*jp@$h)Qykf7nj4iXQEjPi_ErP+u2wjPr zb;}f_YKcMD7(2hMiAWfdmj0nyCu_zh&p3BZ%8KDh>qU>o(Z5fx9#grl*p`3a`qQPp ztN=@dq8PQ382kA#qdOmU&ag`a*TE^Du_uPT*U^U?+d{t41wtC{jALynOTUes6xs^Y z-csrQ6>W?NOJO$7oLJ=DL0+o<=@g%w!tD2z?enFw&Sgp7Bz?bkqs#9`v*UN9kVai8 z&-(9ljp}R->(*D#uEpodfH%miy{jKp=!J1yV`sGw8+%-*U9#=cW;B@c%qnvpt8{TK zzE&m_Uw7%|)$-8VQ9n12vc4zdMh)beqpD#(RK_hAlirU0{b4jgt~{EeF!wV#U~uXI zVhzUggmq@<U=VPD#y|k=t8+5Hj8|J%7GX50V@ceW13yDV^+M`Z; zW!r^Fm72YI3Q7I=M_erwdZ1x?vx{t@;8j2?M*;{y`DI(b+R^3O(d>;KDU$rf_N)2d z*Dz5XS#tw6G=&UvbwxaRy|{+DP))Vr*^aT{^Ccr-Z^2WN%f=k}Ser5p3yP*4}wDr>zIHh2C4Lv7e=3d3^Yl#81+g^Q#&sq8{>do2#^ zoZX}tSc@H;+Pv%>e-CRT&!*=Rdvy1umm516AOTx&QuX?8xZQ4KGSfPbvc`Dq60L1V zzpVvHuVQg>8D+Ki*!5lA{_^~QYPnHXAf@3Uqc5DlnDNCdb8#;_u=v`PZ%1^O zUguYa*2);YgC%20Lwr>(S(Uf??;LTg!vUf(T~vjw%e2+OKX0sm2Hd-4P}0ssYG9e=>D zR@91N6+qPeNRE_W7BekKiKd6w$$D~paRpIMY}S!fKRmp?{{ba~Ixl*)7Lv}swx*;A zDGqO~W%Vy=xg;T-jWhRk?qW)3trrlKdGHo))vl(Eg*|qqe4ia_yZYmG$d6FEzYGpDvcErqp+N-5dmG4#4CqjRH^IA385I!^j$^ z@~F(~wbcqo%eoL_ggzzi=<9#Pb4PUzC;r)_$l@tGJSquOHR%~ zm1ztrlM`43u;z%Ij8mE(>fcYNV(*&Yheo}td>1B8*klZmczBIt)Sd3V7MAI)oW#Bth!h*7dG7U7R?vq>%~K{q*qCTG__;keOin zZqP9`2WVZ!=@xj}^Jkmb<6`D_gPWBgKD06?|7^cn+t%q`BmKi7Yj^7hI|8}yb$fhm zUFu@(%Yp1XZQEN-O6Z}~#rEpO_N-bN?Q;m|{Ay*EYh^&U@?Kc0 zI-DZR`4tyR9_NB!*@&(lI$u2*07SbM2N2BpI!E3*#(ad%j~P5TAN?}I*nX3~%Jl+8!h+5vG%c||g- zi+dM9{_hT50ueOVAB81L-h0#>hCwJRKqydJ1c<49oxNFu`tLlHSg2p`=l}?q>&6ar z_GsI-g}gw*{b~USc0bH*jy)5&*=_>O3!ozvn*awIo(KzJ?aQhi1=G~pCYYx7`#y-d7bB4Xqcx%-(D@? z2BFyfFtfQ0SZNe?z)CWf8$005*P(WdLqJpzlOK$E|J{p#RxnQStwtJKzg|qKFDn9( zghHV?x_Eu5>~!;#XCyA`08G0Au5+<>NxYamWXhuqbgpCi7C_$G*}IQGiU2b-L(Fgj zgcvfH(9z2^X5Vkt|m!1%lF({ALg-lWX6j``P><%Rh zD^$ly2-3iI7t?N*L7xhKx5!y%wB{(#2108ToR-ZGWx-2rb^Fx9W(|&N>!vn5^Kah^ zP3aZwt5C;$j{n5Hqk6k+^4=?=DL`$qHkjEzCxy|8xtOw9Q z0X%T(61y+zEK1e&SZD_&-B_s{#FIQ0>ZK;UGs&3$i?jXiqb<-~mnolY1;6`cc-c?+ ztakg50vaa$Tr2siX0nbmy6(M%m#A+v!S4`jgN{}~k4CSBS5KXGg5NE3wu{YKD<<2D zerxra;Mt894Uxx&7EiKEbe*z4r%V$ZV}uOaor{6Nr@$? z+5PCYE8o2tZEp1CgxxLkX;AGoyXn*0Pi5%Y?CWm&qn%+gYr|Uso%2RR ztyrQL-3@;C*O0~UZTF*BgHt>8w3Iw(h8d}bDxh(@ibm>Il+qzwTz0) z`zbA6a1fr2F&;UdDA7$KSulqtj}al#+3;EfQR2jtuUgfJV}DVu7hWYKF&d*pq?_PL zwc$D6q{K>M9w1oyS_EGx_g$D^T=IBELW%gu=q>*l&p8f%>E#J;%-NU+-it4ClPjo5 z@ZJ>L2lnK-H7PR_+^u_O7wUH&&-^*T6z?v)ckaii)v}sr+7sDFVeabq=nE4}HeL}V z%-#uNWci-TKN84ug*nx0%nbjo)sAr?uK#^ni?5CUS@gwIMD}{XF_NuNrVc#oaWTY( z@KgBIxA|Q{@UHc%!xtMz%3O zNba;d{%Y=6AflP#G@QzH)~Q=}*P+@kH&7^*Xz0@=pA}2)#h5qcYZ@svZcLfW-F-~Y z;e@Pt0Ub9`LtUEa;lna&&Z_>sL~=4 zZ&kJRH>$%TZ~uIcuZrTJKK{Y5i}CYxhza?{DNo^5g>nD-=4>|MV}U0$+{$K8kn;sH z$&y%)$JB2KzlcP<+e{mBAM;g z7*Q;m;n1l6;JRn(1S!VU(ieS9|hM$Sjctfxy0sgx-(v9(~c>XCTz8l0&vfN_q znJD2mSLRJOkkT_AmsX`EjOY@>H1WzJZAu=W%bhr5uUto*hoW{S&X}Y_Jk~vxHCXz` z5%S*&iJymxsU|3Y7@2K-&z@y5m4}PZnb--zBgUSGvah~k+MYk6FyjtlDuJR)jMBz) zYJ+#PEaw`Z`!iO8)5*b-mztn2gw4{oJ(b!K+19nWBl(dD|{YIJb-zpzy1%`k*tjS^ZSP>$S!Gu?;LN9RnqR2l}?gi?19 zXIx=GEl29DxWAe0Bh%M==yRc*(7yg*2XSF%dSPVFUp2I4L0Kn$IZ6XX;Le|teX7lg zg(PmTBeNVWk7Tep<M{LtfRFIuO=klx7Ek`$lO_i*xd=)Bz$)p8Q-WwW3SA#awFj=U#HQ_r+_lyUx zZDh|9v4rNEgofHTFAEhx$t+S6OQrFRQoz%3DO7{BDp=SQr_y}H4?QX*ZW??}j>T8+dm-%*fWPH&B4$c8z z@pyaXs{GDuImz}@-43!bZw0cGCw=q?k<274EkkXhNk2w6f8xoKapSQeHl9K8fg@f- zbr8ssk>XwHz$3H1HX9|^8!H4?G5@=U6gQ&w4H75I-$`@C&z)fY(_c&sKS~|vmwKOi zC@^3x_2!}(a+-H{M4U8E?)H5W{2rO_AUTPCX7Utmr-Wt{AxK z9Mv$9^In0KKoR#2wJ}Zccnha)@l9o;7&=iuY8?2k#dqZQViH`Io`_E8sL}S-c_`?p zlkOIB^!|i}r!86tL^*6e@}E;Tk$VD}c$&gDh!Tp8J)~gwQcKH$rG0|e>Y5}_y2*hQJCsXPqE zkmA$ctT(tlPp5B0!?|&(iJ)Hhc{4`3MRwuNU%4`+?ly6Na40>8L;cTfb!dHjovIAU7z|ijA;q8vBHSDth>+9`*((m|pi`{6T7_ zz9ox6E{ZfNiWP5#6RiOi4WZf71?Tv9IONbjv33Js?Yox)GIWWMJhAUB{g$i*cySmj z*VJ#>f)eQt3{8O7O_Ji+%-eD)lUN25o(WR=7}mSDaLC1IOG#9(!0WZ8F6T(sjmi>- zA|~N!B=^^+@gOl6ecI?DGMNYjx(h_61tg|tiST}6{t|VANf7H4-!4-8uQzK8$V=Kr zl|~h$F^;Y>=fNW=*@XeDWUm|OGe4pZ4#$dOQYe7@4DzD8L3KS&&)D(At*>_2 zb|Vv^xg|>gwVM`Z(#wzTI(>tG6~>!yn>6Y(gg!aeKc#ce5+G4braL6em8deg0+EE-Z4+TI1iQkKI(Bv4Br<-;N7HX1K%m+rJn=m43a)(U(cP+f z0t2q{`&yjVu^0M4~x04xjvSg`z*KpBekCnUB+ zXq%XS=Nxr3mJ6ZMDGFk3dB1a^7gG|_2{U0L*$_D6gtugwS?EV>Z4PLz4WRDzfh!L{ z-rGpG5Ff@!Tg3Ya=nhkiP9w}jW4Bve^dqdzXi@Ue`G5KrX-J=7pbXj=c=b4`Ko-Vt z(C}=i477c|L)mEq_zc5O;Qz#Sz{mUmOCbk@Nc(Cp=9cUDcGRU@O2~o|j^Vx1+&7@C zkef<6Wu}xfTPy>3E8hV7L?h+SL0#Ep9xt9z1R78bp=av*!;0I2Du`ungHWfBk&csD zM=_EPUwE}Y8-S-cgF&JQwEEs22nUYX-5R#tE2rBUTe2jWa@u|e$l*Y&MYzwz$I2KR z0#Q(5YQG2>yS*^UBG!YQ2Nhz6fE{O%!Fzeewxi_Cp`#~BJMM7fQq?hzVXyQFQp8f2 z--Vh4$RP|L%72EXini;VjD9bvQUvvDqs}G5haq>*M+I0M02$!I?4SoJJ3g$3@dA7U5Pgfw zUsAa$fNgBh%Q0cv$2>pJOHk?{J0?4DY{AVc}QRFy1WFo?$hBshxITQnpaGqC8< zc0PWt14n|GPOalFy;ZUcJD>+h(C|?Xb_SBxKMAIU@hxx%5DJ%F=b@6=$cCtMcSzjr zuP7RPh~yOW@!Gxg7d?20fUQ4YCYKWJFTCA2*m}jH#%iDKoNM{Nuql^sxfm791%H zp%qbVX)t-tG<9KjL@<$QovW@*@cm9Mb|B92a~`$?^MZ{vP}n3+&2#lPir1EK7|bfq zz{SE31_@Feq*re#jrM*NPh+6dM^$$WE2)(MQoV?*G|}J?s$|cM2X;X>Hq0L=+0b{#Nh(3>qDGTQw_bFh-Vm_F z%8 z)aCdY<0MD01btzGnY5=jmsk{qeXLMSCfx0}N)JtIj)>_#{M#OdUqg#iQT_D>PWG7T z!Mp1hA&Sl1gh{s++FJnId8_{>oMMB&#Vk1a2uHqkd?y4<46vPbQzGfGbsLWE@G~Y! zQD5`1WI|!p>&t2bUJfaIcgdw={$v-f#oGXe8ZOSjq@IwzPvUzvRHcY%faXT}!)R6k zym*oR1$GT17%V}N4&lq7gtib;zVcgAQ}OHj>lH8)wA8FRUCdH~PhmCO^956SDh;r< zvtsw-NDog9C{U#b@#M|dr|?9uED-|PfAStyEtdBXvFq+X_@tBITfhckV1p}fHM%|l zvwpzCWKg8lL9BNV#v$U;3t53!k&L|!$)FL&o4R3=z%*@#a9Xckplgk{q+Z9*16}+djmcXZioxz9 zXi<>5YqUwfqiFoRaZ-95O&a;k zgC4%)&ARk2+_wZsS`OQvyh$l=9)T9q3Tr!&3B-?@f9=}@7}{pA2jF~ z9G=4tt3%yK9-b_#qgZ8OT?V+subR-I{lRz(abw3T7w}DXSm23s0;O_b8hf_054>8i zx`MeOb&RwX%ndpu|1md!r(6Crix~iXA#j4b_n(2$QVd1v8^FqSwv_80iMr*tnnrM0 z;LS<~g5mDBz-bt{E0K%uHP+$FN(P#1#}eUx4dS2)c8gA#*<#mwVn>U57-TDWEa3b0 z2BUq>je|+t0veOis?%sNLS$mfl%MAch39F= zT@0d$xewPAc=iD)C(4EG5g-ABJDB;Jh)U*mQ{eU~)4+pVfoHNveB69Z-S3Qid>^fYcXNyK z>XHnHnd0~vx<_C>7~Pexm9d-ctDa>3aGVW&FuEVr-15GPtz}uF6jdmcfFQvt&wG~4 zi_b4?2Rj)gMnl{9VYU1`4-Uze-zcH1)Xc)MwCtTNf`@e1{ zF!Q)90jJ}^ZNiaCsoPdLu>EVH^ZT&0K}+qnE@*F04^%s~kJkNdW*yyuRX&9q*SOV( ztn5gfa-Y?ntRG-^>QWv3z0xHLJ`jCnVVl#-d8c=)VU;u`EL6}#HDx?FS)A6ZOV$hF z3qG)(;i6Ds-5;-Y?H`6)HyN%Q_WTvyU<2PX*{!7idJC~oRkxjcGhKQ^M(UFnhuTHK zRwo7_y))tOm!A#h(mN;jJ4W(;{X9N}NN7MID`c)E@gW&Du2{zv+)Enb z-(7v+;c)d^Mg0>7@;QOhV3SPM^ic*~+TaFq&IftcHzY(8FaCKk!iA3>38_27?bFJeXti=qcC@R=|C~hoAAa$;CONhRiKXbo*bfIosytu1aeSz#_wjaSW@z*TnRIlsoapjc@@6QU%R5y^%Ir>ZS7v!!2(0ox)1r%eRdfuia0>HH9^p<0I=wBw&9NM+SJ@ zEX%qxQ666(dt@z)^t939Q;Z1t!{aUUta5cNJlItcFU6W^S{DW$mvEOG)vjc{tb8t% zZZxfUQJZIQcG;mef&oF5&_c;+)&FONs&!}JNfP&ZY09XC<}j1j{Fe7{$mgTzKfMP1 zh9E>&lJUa3Ppc-{<-RfvhkX4p9Wz7Z(z@mc>N4!-TPU~i^_9dXi8di&A+g2 z4?H^qNySbn7^x$xTtKITzLKD-H^uhDj+OHuXFHWod$fNO4H;I!H!Sn!^0s?2k*KDh zKtNQ`cLU&p8!K{Wd%ZMDl|ANOQ>~kzCNbz6r}r zWkKpZ1!>rDPr5is9N}?OR0*m@lPtIC@_*4qt4BzESYy}hp39BUTmid4j_3nbIuTnG} zq!~8fqN6dMypY0Q?VWrM-?ko%42}7HBq?TmrF?!{8^-$SWzpS;dP5prlVibLsnR zzC+f#uv6eOZdaX8sff96?Exrnl03hTLp2c-NZ>r(kcO~TQkJ!Ow_`Gb;|bO@@FgP< z4G`tmsazGys0+ioB(_TqoKGMWUM5=iP9`0e3S?Ez*b{XwuUiG?sP!8H6NwEklL1M$ z-PBi{vNl{W32BH6AfPKHd-K_borR2a)wE$VnbmxpBc66s1^q!x-qg#Z7Rm72*KgC( z2{`g%+hGVeq9$dXl(pbeoy6L)bFVCg&uJfvm+H4y?7#i(0k&BuaXaT40O{d=*RN9e z$vX=0?&z>`t<_#o{UMw^E9PyqvjfZG$p99%vl_I{8X%e+H@8=$KAP((W6j1GhsSwr z@_Dq`F|1Bk)L>8MjEaXP8dEKtUpe+m&?emCx4-p8>jm=p$X?xyBdha3twWNVpsLth zL%W|O2-$#o9)?Q2-Bu$w?cL7Wg1Vk9cgRA$yaCB>o)KR5Z? z>l8A2(QUZ^xzdJc3K#qkUV#SjS1YD>R(l`LYMd>n`__}G0KynD5`vCiXbcC|V8DpS z`WTRl)S%}p5U>G|#O<(Rhpb8`sdyKH#Nw}U<0bno?no}fb#c=j90EShFW3ue#ELcY6@V&0gztjF{;fZw;|l-d=#ZbWz(1ghxc4*gd#0y#z=dEwul;^FE7loPDVp0cyKIS{etW$F z;2n_YCOg#)s<88pmwrdAMyulDdnvR1lihy=OW7hN4GqB)$ekhWbHE+zCy{2!c!p-Y zoHbw8wVJ9P92 z2sv|4H3)gp-NC>3RgAIGt5;lrEFfnF&{9f{f&kS#tp!gcKwGLTnF0Q+YCrx-ZqxO_ z?pv}>xXyki*B*ejR75%)3!b2J)TvNf47wR7c~^RghxH1;S9*;bW2-ER6R{(aSgbw0 zCrDiJ0h})(lAXkvkK*H;uiwPF1Nv9~>vKS5#tKd){m{P34>dr==AOz3PK3DvU_^6P zoKulfPe9HqssT7cwZ15tyY2-P%mRQR70oC2B)jpfb1EIO>Y>Otq_irU%Us4qUP>tx zL|*5SArj;LBfRiOeG=V9*>xl^a$e3PnsqUr{nCj{0Cb2P+Oh*ay3A^Hz8)=A(R6xZ z`ICH!zu)egPGNY_e&y^pIm~L*ymh$yn@GgvtZHKM zvFyD*;%!b2=+az-U-dZFC0B^6XI4`m0dV@gG3}VY+9;)lDh%8@|+nlxA*9a=Syv`A%M!w%LHb>n7#Y3u_F=GWv zY(DP`m|;Qf*`p8UUqGeK~Px%4?mkWKq|exl7)@r;|Pq~!ox480Zx>aFpjy`cp z3;5Z6`Gu%G5xsOaJ94^^!8CUG$~;BI86W*prf=ffQ#iA#^avfqxKNCSOU%pnKg68xE%|sj!E%VMRmH9Uo|etpM^j532gws6 z_QXRE+tKy|!G&GcX{%55@Q+=XJi>DGlinDIX=aU;Fi+r7KHaZb4S@sw>4>+E;u+r! ze)RlJ_ZedNOm=*T_xv3mksjvM>4scIBV(p6bP!z*&1;wDvMjh2UljEin%HgDg4p)Qm%JfCm zlSHMe;O1W!PHES_P>RkAaV0>KR8( zaF>7M;v=eyQcDD%P56D>`p)Y3AF={{QF~%8tKP2~g49ko7d}`|+tTShjG^~6Y~eD} z-?Y)vzd3TdbmGtVe0}a>qp-oZ0^Q*aLcWs03eLbr*Vj)W8{;)aBjFaT0zrlR85sfd zm1(?|1Gx#At;_-aLpuC}2PbnRsUh$E)^wbL^obU0w+uQ6cBeP+7E1;{g?v8w7U&Z= zvhG^npp(boKAl*215$V=4fU;BpIcp1Vrw--a(4bzv!=~k(R*Z`Y)||@R6b8!c09=_ z-~XXYy}@y~!|7bB|z0#B-@n293 z&x7m*skd-FblqWn$<`9U&+Z)CWKkfFF@#1-`*%lVmTsgwC*tKIS=xqlQX>hmUO z(J6yOZb##g66p=%kLMRk=}&lm8og&U4EK~w@Rs~uS%kdi0_+%g0|H<8Ilb_+&NA)e z>4j42^3pM^^1@%0UZ!uukpi?+;Sl~Z+e;!K*`DG$o|^Xcyc!xow%v!67oXG*F|E!T8c zf)^xyl+9?b{WiH8?0wl!MsW!!Oj3-8%zX2{Kv7~=I{op$w$>KXsPu2ndQ#}H;xTs~ z@#~P-o;M~L1&Y$sKWOsi`?s|=)2E_G9~rXjen)aJy1!rJB;NtCEC#W>XcC>S)7;8g z6Eao8UNtH)%BW8^ATipn@mWPguITT%dI)GDpb{Od1dQKK3$<}}&lu=tZ|3|Umf`o< zbW98Bo>^+f8o1}NH_u>KDh~~HV%p0ulBAffswk7=GQ#y) zG?5nog#@VXUR#oNOBg5LJjvteg)%z^eT$)1eRYZ|{BDWFv#S%_rQc}L!5(baz3Wy{ zD%|aUrBX26*o69wm3)VaehrsXMl}r>6KCB!uPx1rHTYT5_{n5mgbmb6S*`Hhqw&wF z?Oq|8PxP5#QNh?Z9RloYX2kahIbweK`a_=I`NE})RKqz1Uz?KO+}_j1lhk=-i9($4 zmOoqK_aM^8Jf}*dMErs!?(;k>%G8qjhX)EolI?8C`*QS`6{M`#bFASTj!4-R>VrV% zO@t8T-hoZO(UP*z{gwZsn9<|*sM3H{79A{qWDJ{Nqy?bbMEqPS%IP;}q%4A$@>dF{ zPL7qKU){05Igg=eFb%!`k1Ng!oY&X5ihN;)ZgcO1Q+{Xp=Iahh8rPAhWC$t*VVNc zY&6vbe!i<*44j!C#{zIKA2*5YnsfvyAFr{LanoOpzi6%bVZpsN04Ynmeh@#0#Iby{ zF4)4G1xa9Yxn=?RhX=q^4)tNDYbzY)iDwT5Mygb4p498k8cPXe5;x z*&Hw9*1FD80w;MdDn7xCsbeV34v91c*OsnIWp1i~a9e9yU14x4-P8CFK_3-_YP=UG zXhk|}lslU<>7m`452@5Vxl3Bfo=e51F2K_#e)kh|snvoL}iV0!Oz&O?z z4hZ+BA1{&)2UB67@G$$q*}Ft<_63!ZVp!h7@}+kb33vypTo)#R16gv65`STv~2WY&#xWc;$qWuOFZ8r16Vt0$lZs^iwTN0j>AY7jRYp_quzvf`%zrz;7+{e5&ySKgfs+9uph#X=*b9*V z%s&ETWKn%fYF(j05r$V)Y4}C2X3Aw`mhs-PaNo^pn`OQ{}E|VqQshXkiRfNM44+t zs+-RSf)PORiKZ1^b{{aJUSlokcFku-Y*|CS0+h9l(>MMp5#P%;0i~NrUAkcD_h^v9 z%QA0mu9CuVEq{&94OujA;CHw$jyWx$V4!uj8T#8gTw(ILBvBUSS)h3C+( z^1hvRPUDE`_LP>@o?Kv0Jso;3gumk{B3KJ)k3W8HJ8@|Y{h8AK(%a&ftyc4r^FQv!%(;oL z8u6TWW_w#42A;VgOj5Ycb__UaW_g&Gt|qPB;pH}KbvysENRX=SNcn1x;8@M1^F2OKy{ls%45vLBB~N;i5W}(MkYFPqsBmlDMWEq9 zcC$@^QpsXlPwlcnkUUe^Z-by8m0?Y$Bx0j{g7ycp&jkpp&J?%Z-nZZbA?wU!&GA`k z%}tdl_b0E?G&YgI(iZ;|PC;g^eoBT6%mc~Aw|Dtbj_W(Y5*qW8Y0JX`SIs{fmXUJ` z8vj4K-aH=a_WK{tp8XCb*-F_eRLT;D6pENEm69!?h#@kzAw=0iMAor{glyTu*s^EK zHrBB(8OAckGM3-<;(p)v_rCAX@2}}m(>d2U=Xsvzd9HaGKcKf(rFW0j9Hqv*=K`UF z_tJ@XgLAxm^93dBh%vC3y}dCnHTJ|J=;IQ*IW1{2$=t7Z_2GUBvZ&CfrlA9@{g6sp ztpNWZX;4H`04WIPN>mVeB%|Fm^Hb!&s$+^j$Uy0^5QxjlT3wxe_q(P{G}mdNZTV=5 zMejevhy2)$o0$Hz%fI+{VE--?2iLA zqAxY*QwNZy);M#Cx`%dNMkJjqh5g7YQhjw6%5n<{r`SCbel|W`kUQ6dVKg9%yNMnX zqmHQHXt_)E-?Y12N=YmvB_wqQd+6 zbADZ(Jr`Zwivhk>jGv1D5#Ro~(B08;f|S%O>KVEVAk1~PhJ*19Utc32~C`c5Gss14b|6i@FtEEM-M=m@(< z^3STThs7lSK7s9%`=FPLV~0VKTDkubOIU8RMfn+!PIGJAONqJ@xf}-TI$5#+N-6&; zys+e@hvYWU!_B=Ia8wlPB8qDzz>nuQ(*eL~@53k~>$oIDnYpzv!;&a2z#UI2%CZlUj5(Yr ztltnSKbwV7#uFmBuKuIVW4wR)hF?MnN;bM84UXU|MexakEI3c?H(!vP|D_rym8a23 zx&$XbaFDyzyMZ-}J_BQ9iQjkD7QNfnBe@=~AAeHe_H&=Yc;mW!2hWqwMPKVJ8~kFj zr(#M`T)S@Eo%DN{=0E2+k}KRb$L9EZxGi*TvFI$;QyZ&X!Hd~TX1PlcpZ(`p_+L}S zyB14AAu@mvNlTS~zZ=l5E@9+PbS3$qQe`IO=-qCFeuA8hhngxF6;@Y@UTY}8-{hd~uC93X7!=>xBH-~FE4XFZXp z3uRy~i5ZZK0IVV~MNpcmt#JQA6_efCNAV%$o&Ek>FbWVe5$#<}#lFZLkpAyn%0@W^ ztL@Xb4d|u~w(I+0WRZeNna5K9?!L&MjS{1=<(oAXmv=t=1SIYxQFknt!+KrkIcTf0 z3HlQU|64??JvCndHi% z9*3Aa0;B()OV5u)OQ`oSHS}T@jDSweCQM-got~BH6LU&c_cOD`Q3;W6aCs@D+KPfn z4LM&()&Hhey^xAEd-+<=5mWpPjCQ&I4bUULD&5dja{^_m@Gh<3aJy{u>OZ{0R^<9K z>eFB5a>qU&Kog4k9|weh9I&6D1?)iaphh0o`MU2F9I>o_CkPzrKs+3f83IHyY!pC4 zWeepTnqo`;Py?$^Xg4CR8kcxi-M{3MjVc4is&%q}V=TEH;^8p`bIa!C~UPA$Ca>el+jka6C#QId0Wv#3#c3X z1K`MlC#o(KNSFwxZMc8V6WaYuN%^Ge1M90{R=Bpr)rFvcpvk0GoHNz3Xx}VPAne*u zOP-qRzj4+)j{!D7Li5c}Ua=<#Nmed|uUAaBE@crp>(k zx6ljcVsRV4Bd(P}S^%KKY2<+s1*QL;9@+Lk%%AXcY4%dHb#@9!KX@yB`Ro?R7)#N6 z%75{KwuQ<4*ZV1H@5SsGfjwEYmyn8e{4@X(HT#wQA2aF*m{FzFe;w94_Q^i)f%wHF ztNueFN8Hp6#kK!BF8!m=WN?Q6^SEG8CdluLO}8S_0%&THS2hq1PWNDne=7Av{o@oA zUj_h-B_L)?DYNtfTUpf|Cbc6 z43GR41poQqy;9z+$QqCOlOk82NN7ZU@4hV8dBFov};mKX|T-lu$C(07LzDeNWc{0`#z z$2tU21#J(b@Y5}bR0zOeb+FKWo&f)J|9bM_ADa`HP~2MXf|A$)MCd(#BZ-MA)&l((nVmg9*V%Q9V)o)gmbqNU)e-kD0a#dcC=|601@-ZFZ3 zY0pE!e{rue=iLf?Z>vmkd#v^(g6y$`QO@68!|?Dlx>ZMN^>+75E z?)a%!n8=ribvZ2DlS=PEPFq`v%`5KyeWs5*xi^$7gvPG?^h7eQreA+e zueG$dgWg5&Z4Bae)AzRc_gY^j7`#8Ritt>suI?Yfyoz6%+}v%o+v8;y_fXeppC!B@ zBZ_Vgwj&;n?(Lq~$jK3Isl>e?I``olsti8vjX7EEz1g{n*}2W)N?(_^l~qc-Z>gvw zPydx)Kj`d{-5)A%751Wf9>@e}Bs)Eo>l4(PC^_Rne$VHbyYi{xOcLdzAIm@N-HlEx z^1yi-8eTWq$saLsJTh5wx_uqt5J%r9)N#9*S0-S>e|jS;lO)-o%i3_o+o;AKfBRz8 zF8kE!hSkUFyB{asAFf_s5wd&4wswYP}yG(0CR4;=Qqm+29Uw8eX>G9h2$RkCi)z6o@1&=($ zj|K6rEG^T|CzpUHd}C~-&xhX;=TegM@~2x^aOB%etgt(ejtY=#VQg5rXnN_q1QXAo z3)A#jWQ}L+)a?=)`IOX|f>)EiLYrChB*`WX)+P{u(#k1jEyYjHA95{jD|WKViU-)= z>^jn5uRV0~$IQ_d!OqwaR?ez)Mhmv#%KUdnd!y9m-uvhP$hck%;ep1BTAR7EksZ3Sk{L}H>yMm$zwOJUG28LNVW35s#T-N)U9uG zmtNef0D$U+^whAJRC<>J<1H+5AAb^lhSo*g$9$^_)`aVCejiqE)? zM4soq_FKF!1-y8Ur@E@eH(L5xj4;J%P)bXjg6&=#<12~fq+QG(b6y=|Wk5=@Ek-=B zwQwCd1kadKt-EzCA*_(bjul_EAhpZesB0y=6c?G$$<~PcIF)AjQ}G%GE}qV|&erI2 z^zqKSt6_9DH+i{Vm7lq3mu@j8)p`aLq5X><5)_&zir=$C`fI#hfdJQ}=)%xXV)?a% zmA2r3aBq3D7Y4e`BQvobljh&H`zoX7ichv%&rI*zZ;?-DhwPW3=aAjE${(`?=~Sd> zv3+WwcJ%P`(&BI~TN69g@4R<|FsV?i%|+$XZ};eSbxbjwW92$7IOVdVWY;RKOY?Q< zvsw%*LH*hBTly=<7UW!n9uf~CSv~RHg>w}iO1RWH_oIS(Wi%~oYxpJG#7C+WJ;K*; zYOdS{SyR6d;K|2*d&!B1VN+&73&b-Mn6bRT?px09A`IW0vq+m^UWrJw)r;epUi%2${5*y8w^QS-0Gvcu1KUqvxDpti43 zNMs#qJ_IkFw@964UW_QW9lPhpU)?r4+WReM!9nvCuQ>gDZ$9{&!TD*!ABtWT8pgmn zL#~hEC8LV|wp&3@_=0rG`n5QoRNX_G`eC3T%6xII>rTfyea-CB{$PwCW!v9CMikgu zXf5hNuX=Gz{k&#gtBIjMGh@0*n?jAYRb^^pTw*87CgNYNejROpf;4Qq8eb9>%6tB5 z1`;dl@AuP3+X{*G_YV44Al_!z>raW$%c>rTQFc7yP2#j! z!ZnWuifNS-Ui7x!Zs(p9wH*E|Bibl=ti%b=1|B>b8p_TV1tNUzTBL+i5qv&zd#Q1%v8H`UDbF9?(T?0t- z96mr=-vQEI8+3idv`bUC{E6e$-9M|p(zjoI?9t&&5L2fLHAq~o7RMRvr_=b(Q>P@@ zF{P6>KU4kix)gFW+>>438rJg1usl8zd1-gDskqHfOs?K+)TJzoo^$b$7tG~8Doo>| zO5dkto~!n-^bfeoV4EJ(%6>pbCVvJ*DHmVDsl?}~@RZ8vu?VAn`1Ov(Ty~o1+>>2Q zUhyK}6XQ8sp~X3Ip6WNnn`3>O3ynJ_yym&FAA+S5bZN_uT+}&l8D}@^wD`NMRJZe? zotnO!t(txVH-6f%STV1>#RS4aq)+h0Yn2&V0)pJDMO;-5w?ao_j7uhkUBq=UtuAk} zs6gvu_4B3I;Uz@A1}=JxOtXo!qypkqI+Ih%_@|TYGGo2vPl`awHV-$qPn7Il9r@q+ zX~FrUl=#3m#;o_<`t*bXbY`U%+nJK`V_(1CYGnL?5Levw!kvy?8!5Askpf&3$h6St z6$%U=^rXptPe!n_46_BuO;u8Xo@6Xqd1xw;L%mX7Z97u8%|2PiuSk)tD(GR8lrb?UCexo;`PSy0(u+!gw+&K$Z#pqa z_wcWfAkR=paM`i*hg}vA#)?=YcP3M_TH`8h*`G9kJ)nWW!L6N_0#l*h)og`NF zA1MPRA0q2>FR_VoVcAkTj;vBU-wHLkKVK!aKvxB_cHvigVP6xii6{-4xAa<8O596` z?H!sQNDHVkOhwSJ44sUW@2T{80n(Mw@=Nl8_Y0^;@^|Q`_Im^{e))T~HPTgyi|@U9 zbWP`o4KkcG^qBK7yEpmBxIjvr-i5ZgI^4Sa`D$#$@pz|@{KpA9UldbE{dW+ z#|%*r!0Trju0}W2!&_q&F&(ap#h!Z4EyE;Xmi-;I9q8gHPhTRdm< znBPsaLm*q{_1iU~lX5_|G-$dgT*mC;ST9alechw+xYov8?z0|&IY`*t{C>jNYQ*g8 z@IVmom4|ae<7IX0&$j$^(3bk`N_vQ#RRKvb!<^jWMQ-MXXq42Qp?66lh()~_o*316 z8TsLh+(`+ZciBRdj(a!Wn91_5S&5Xhe5*(gcahxXZrjHHf1Qw3r|UtcSvU)-ZGTEdGop>)Qh zy|mk|l01h13XU@7w{`USQ=$bu=MR*#3kb>k>6w9=IW#i!8-EjvG-p6GU91`V$YXdmb=W%kko2#Rp|icZXUn4dT_)sG^8ts| zIjgfoDOtU++(sUUt61kwA?0k3Pq=&-F1Owku197-{%V}@58%HDh3pT;t3-O-7S3Gr zCQGvng%Z7%b=D2Mj}jv@LoPnyWT~OnkoUbXF(80(RtFR#ig=n%4fSJ3o9I~8AhPHE z5)8*QP(1^&q_-2ql5D&53x*I&MkVsPe@nxe3csjjK2k;bG>ZB`UQyK5>n6es?vcHP zR4X6~R!@`05O*m!J^r8sRr@6n6&zwC%mc%d)lYpbzX4*Y`jc3w4~V5#DwHUPKckgT zt(q}nDop?DM6O1|&pTLuW!#Ui{XcZ#LcY>6n!#?Ls|GD^brJL3Y2xnt7Q3D#l+DQ* z(Ko|CnJVlPUSPp=8J9QrWgHDhEXM7z%tSvuCpB2J`aszQ>V2zS{}n@sE;;Y;$>ovZ z^TIWOlXm)1*Y6?n!l|DzZ>VNRkL9xaVvdX`l%J!!|Ft$tbJVxiWr!a^eoe2KADo~w z(AwO_JuI3!{PwtLzV3S&yYvg0-|PBeeboJV)t<7EJ8oz`Lt_#nF#Sgn^OW*``_cW#*R21$y|yRjl?)7Nl8ax zckr4r{zQadL}Q38lMKUAPv!OBTO*(n-A99+R=-ZSN3a^ILRGoLXD!h9+tBHnfM|fR?fgUP?GqdWgn9^*qoR(nJ2hE4`jUPRT)LQc`28JUnFDrCw0ZOg!cpcqd(Pu zb@asWx#A)1&UY8BZ=GyExA3PTdv>5O2h%EC3eMPFVRN*57N6MtHAaMYDhZ+Pmp8 z^6byrYaP@+&>((^P4huDpXSj8KRNBtUQ_L&35!3BK6}*~`y;#fI$Hde?2X-=aadBJ zmtMM_Q^BPbRY!Gm!2AKz5j-sNgG~-Qa+fWW#jqLYftkdST7wcSCcPXN9bc>{Q!8@1A zqPbj8%L^8Oc2{vxXQhiw8L)4TuuSGHa7%r?Qr>Jk(zDGzRi+2^OOpJ(nDbkjPHgc0 zkF5iTnl-$?nKU@b+0st=@|B3j=DxfGlyP__94Oknsf(A6?g+{Gf6jWP{YAR}miM<&zs!Xa zt_aS6(dREpWAq%FDcr9)r)-~h$+nXbnt7VpUikVB`}w7dH8E&V66`@q{0~Z^Q}@6w ztSx>Omn6?_Lwl5Z;yd0s`%i>DJ3v@&WE##~csT;fn7CY6FUwG}-hii;j?w4B0$9b~ zH3F(BUX-huvCv7@V}nZ9W5_I0!t2+cL1iBV^-zxS*ie>5wi{G@eQmLj`TU8(Kf_9e z!lIbEmhoL`OfVteMdB6gh|#A)ZladhE$5_O+h&VQ-q)N~3oo3v8T%%EbH`J5PrTeeWjXnLicowle{|u55X+)uc+2r6!{<5qC#wl1-fV9h%zc?82LwR|?dZ0mD51Qc(EgvDu}i%pD=t=b0h@ zYMT53u3V1xg9@L&Ko1riooMuxUF-}T*8IvuIwOMn-FXws8?88V3yOKA zeO8n+ha(nxm5JcVkmL zJ6>x@Lxgov?emBor%F`lvoax=HdLE$M+9%VB=xq*u;0#WD&x2oJfph#Ag7V>jhW6x zaXD*sdYEg;m{tig6#%aH0C3L^0QYNvd4P7S0kn@{0p~mjCVMzW!7e9)@M{3b%2zAL zzU5^&7ARaYnhcVB8Zn@W%)fB@xJ6u25xeh*2&+SUjG5oOa-QMVl8*yw=>5FLryowM zFIH4vs@q5lsRztO4B3ufnL6DS{gmB_Gun~h!5`h$ve5gJ82sDNRgRJ2+kkz;#8s@2 zS+GZKd?TNevkA`hXZN3x%Jmrzu;xTGK5 zJTaQWoVI1-ERZ_%LlrAL8dwvQxGCOt0S97w#b2J&R7%_M)b7;zKK7PF#xe<$>T7A( zxQAN8+bo`=LRZ3caIKnM+)JXH!zDLGM zobQDD@c}vZdlJs`JB@v_qKqR$mn$gHE2F`I5tOirNP}hXbudMj@*3_v!?s-sTOZBV zJ~s3UXI@i4Tb@n!GJZm{q|F&2g;zUM6T1zqo3eiI3f#-0#Q6Kp%% z_^pO;*shnU;);!{`deuU6+~isgtJ*P*wLO&-}^j3Dit~zQWsNSq1JwGxwnxH5lVPJ z{!9f!z4FvJ_%5MKLtuudgyNQ*78f!9LUpk*zN)WuWlPm!7$IZWP2;=-%T|?M+XpzfeREH$~lkzH>p=E zmObtnV;p7jUO!4fN^SD+Y%>_~Y*?X^FS|Gt5bNF4Bc#Q30@j1vznTcEcMj3n0)Ab` zF8Bg`EG2MGwU#G?U$qf+7JhE!O1awl6Fn$M_Te8Dr_s(54D3TMYgmS0Q(o)JwU2JK zebUhll9DSAiyu^dPl2(MzxW0`%?q1)T+J{;yX{375^nx@fX6tOeLOnP-g}<#9qhgC z&+P@|Qv|DK;$5tZs4xJKG5dh@2}#U$MH{_0yxAdBNG3ip^&Y%oFb3;91AacG)ag3U zV=eLG2e(Ufitb2|EO5?72i%!b% z*i^my*?UWM#^A<<0+!QWa18HM@O|y=xur5{*N0&_hhX}FcO-CuLyj!?E{6e>YyJhs z;}+3L9Y8!*k9ckXcw~wiUrpE;qg(9aW^il!EG-6rG85!_9RiBXZ>1yb$Rba=mD^cI z=2iS_x36Z@rb{pDfD0BBOo6V~YIu2z$TM(nf=DLbNWS`}K4Tmqo{HA`Sh&MpyN3GBZ$L95|qlSXo(R(t>5JAOmO zCCBw_@7wrp`;W%LFAuNrIA=S?Xk>CPkzc#2hviVf^q)Fyp=RDe$pvC{q}Ih1C_qH{Qv>-PqwW3A0uj)5Y-P8&tlo{s-|b{T*LW zyvWdlJ>F5tJXO5CI*(Ad2Cs63e-sg3MPUCen=4(%iFev?`U~k&DuO zxx2&er?6(lO64}@{J2GGo#bEZk*F$Rf1=`td}|Ni`@<=Q$V?$JBmWH4x=9mtAWGQk~mB&s}mFcd*<+ zIzu5g9RrsrBcOUhMxBbw7*IQoO@j-zG�w{bKFroyZ@CNuSK)cI}{J`Flj64Z>F$lPD`yE>D zvMam96#67Cy^|`~Y@uZ08lO!jJ=D#Lyw2hM@49F!&fKOOk^6qpu&F(f(`qK4zD!+t>yTel7d}1(9z8L z@BK_)Y!4-M#ya2Hquz!E^Oe}&lv{jNrDoO#sAF?3V*2AD3~*^1H2K=#YFHI;d4iwc z^Nv#5gL}Lnv=?hT61&Ge6Hh8SoT$iv2pBZLF^GUQ=`gO%@oP8=82h~I=zjWsDNWjZQ%2?=-@%pz-`5rs%?)l1hj`F9{ zCLdlU?8#FTWCKUK&sQub6hNVjE10Ee=xavG%~jUA3Ef*V55Mr-EN;lOld9#&y;45= zDHK|T6(5Q=PRP01IfQD9f z-)3C(7{$m$cg<$eysL7 z7akb@#!=yw&^5>G|K3|Z<+BEMTuOAF_*uU?{K4kMjnk8Ij;@J}9cNn(<%ANt=0x}P z$9+vbMAtb1IPYhlA_mxONFt~2oDwRZJpe7+5vYt);y>8s3ralRl@X650`Aygvu5Zi znxi!i;Q6^((@#vZm}}btuYM}cOPC9O-(SbC-|A0O1J9dkMO=7JDEnpFMJ2sh&@8uZ zQ!as$s(AbLX-M(9;f+|UWY;L8BmKlGR9tqAY8w!!{X`|YZ|qkSZ;dc6I4}ppFrGE# zX!EY{cf!v1TL*O;ofhL}6-BI%qu-K6d zuaLIy2R?)nc;Qu${gxc|haR^^fqhZ^iG9KQKuTfG*}KJNZiVD>c+^4a0C<*N`@pNe zQ_Qdtp~>nNm%J@BczQ|hx$8MEoMji)I@_}b-aj^;;(yz?syLnMj0U765Su_}cSQL2t``MHFMZ}YbK3Xu7iD#C)?U@Lq%dv7X(6m)Mqahg zL9>Jct=;V<3`6#M(rrX&A!L_8!Pq6{(64amU2+$fs#PMlhAVESM^(WpJ`ID}-{tj1 zL|9KHESK~53>zkAN4`qqsB7f!D?AL&T1nDkVhFn#4oF;7wLP6G?L$=!wXAlW zXJ_8Ge%&~+zUR&T(!zf^b%MTEb>{uL>}yJx$dHnvUFKko=zd{HamojU5#wzLTFFr+=-0LGT+`8#r7OHyW6`i{uX(f9a6C z(ooF0%}jpq!W|Un*7DB8ALTPluDX!)Y)b^=Dqub08mk*$`cn7OX@aN^-?7Ym^WCs@ zURs4EF}2LCn2F$Z6s7X*e4p$B9@jFXgndRcVt%><@ zoM$m&MjXXNNTpRU3%^xe_xw>_i85lem*lHE3rVIWS>Juhq{~pDQkBl(+Tw*v>M)?+ zhWP@Fu@-Ti*%^@!Q)a#V;33V2DfT5528BBeqpx)i0lhNyD64bx@gll`3J6x=?u6NwkIqOB4XZeiD1-RZk_Mg?yRVj1Hd@;GKvGQlT&N~o7U zr;+_;CK5a$Ic@cN?^{mA1YG+ZtmgbIqB_B0R#T&sdsg<%NT>+-fY0b^ZrQ^^F?aO5 zq%x*9DXMxL*^Ar!N|YtGF}Zxp7Rp?oyBl^LG2Xv?ga}5HbD>>L3k{vC_U!!*1LG9d z)D1inzI#j@MLnB3u`Jl&q+y)tkaWC++4RipIpIzas2m==f`+2nZhkv=i~=3dW0vO% zezJz|m9g9zS4J;m@)=hy$KR6^vM(e+tV3uI_Zsj-NAfS8_~s??e%6b?Dln))xlA~v-@ZvqGoKA!je{d@MsQ4UsqtbTpTpFOK6vE&+iS}RC=ltDWxrlR9TrdUgS?-zZ%*#9M)7x1U zgijO8qAshuE{8iDU=V%!D9rpxpW1Yb`5C!Q(`rD2yW&5QzDr~&QdR;lRpUsmJ4J+5 zXbjPAm>6hwLJEPXgtmqhzW|yKYdHFPmqdU?c6ne&4*Cgt(kab&sp1%7)lbk02;wp41xw5Q##p4nV`+rHZQpbznjy`Kop zof0s2s`7o>5Q1#ei!|UeGKJCqv{OOvHl_m}zf1e|z z#woc`RQ-skG3;8MKKi~eW5c`B@nrc;hR*h;wY2HqygPA*2>s7ITcQDLeuwli^_7h=`5#yW7LEsk*vOY?d8DsNRsnpBw!cOF^=(pT;iW@qHxYL%xt;Qpk0N$5)p*=n9| ztPrAG=V9gv?ZDpQDNNOeW8+>wW?X49iuKrT6RI-fjjGLF+ zD$8*ByG@*+wB;)Lz; z7=+kn*^s-Xkm5$N%bvm6_HNDxg!5RM$7=?gXY5^Fx~Bz z4mSzthANogY;06Ia#Gh1bJ6rgiRCvWg`ArA^z^slejz(>UE4PtNTOPFxrL@egvKq= z($}J*Qro@myR7?UZnF)gh{@cm%xe$8BiY+SgeL>XiKD$^9zRFM+8a6RJXA26h5Cbw zAr90z9^5M#j3<5E=U$aFpQG@s+IQhPq0 zAU>sK&<(A_+_C2zpWx>qch`l~V7mL)msx{$>?`9X29F=d!&|!KR%9)4p%-L_Bu|u^ zm!!v`=IwD~c!K~k%n}!EA3_=}p~yxFSmJ)HPH=4sSn}iBW5)69pwzn)#@oCYm&T~8 zoM5{h7yfH}YZ z6=cm9SxS$v{C1yan4(I*Z~%(R^Jevjj|;mlN=uA%lfNed{q54n^@$G6m<%Y->3HsA zAkXUkZ-P%gwVJe*6ld)<<;~RUlGu2?%K}UueOdEG#1DAlzq+jWaTD~loZZl?dKmDL z#cUKv{@GxW{=nGU5jZt{(0ST3R*;td^hH1sf; zO{|(vWus!qcFl6hZ}&0+JPrGP;aX$6C^-#K;dWd;G=4Tk&H0_aQnwl+Y$ZL^SAcn? zu|!=dmc>beE-C)wHg@owpg2S^|8z*p2TjGga`}R4;F#P+Fhx5ci%k=18a0XfzTj5)6|F*a>jAc0XJ< zrO)>HWK00)?ng~9A;RnnBni>ej=8)N0guUwH^Y^STy$Ft6(|U|!>^mbZdYT2Js)Zd38O z_xY|>HexyR0*wG2Zl%`4W(v;LZ5_{~!`-Ry$9UE|~zf|w+Zn-Dl zmM_(dI{o?EhwG*T6zh)%dbD8=)IbnwUkz}Pff}GE9hxOvAc?7XqVotpX6eu^bBcIQ zE;1#ypR*fciY@Bzmw$1Xp+!i{WGRL1QC9Mdsj=h|F0r)ahiFA-W;tadOo?hV%gq`vw#`k9DZJdZ)?+L%sf#RqJ?1 zKlnCZuXBj>T%w06rV&erIW_Vw*4sTJuibT~oLQ(_pIUB38_cE68j4b$>-K3|5$-g| z9iDgYZT(O<*qOM4aAf}q$8JBI0z&t!8s`kvL-LI_*qu*f9Jf!j&vMF=*=F$?x^bvv zAktzVx?d8l#}`!fOSA6iSQ^_}M;E$!?i` zC!zqMuq79VI^rJ6{nDmZZi^g;0&?mE`Bg70tN{M(Jr^-B4n^-sN)>I}uTA>KuD#NV z#s^es>gvwK@u8X5C|&loHk1|?n0`l&$VD7o7d6GXE+P+;BVSa)u}G^$pI~}ZC1dnW zkQRQzYeK{6Ax?VoB@uj!?rRW1&JY6GnD>z*S+ivHUD+X>Q2<~aQK>Sgc0^Wn@iD&t zyW}9<)W40{JlJ=5>oKXb1WA&L-T1_EM~ES#;U0@#I~J5*D1m$bRq5Nei8K>qE4UHjQ9VoA@|`XFDcU@(>0+y?T$zJ;z(gU7-@Zp&F;^i{aZN9*+v@fb8Q~ z0YaRaoDfa~9iUukS&p3bco^eN9t=Vs*}+`@1$vEP2Lt~Fv7eZsWi*A&=t;()zwqlDhTrWM=9 zCnxcxR4WWogujZPz$yq!pN@@5MvgmJL3I7Y@;S2QEj(khm%Z|OYjMN|$_k^)&W|tj z-x6~-a=LPj>Mml8y>5>2^Yl60Zg+sj@hBeavxQeV1FGBROJ}3LY(8EF@D9-Ins@Q8M(X`wzlKMj*d0DkDZB%><_y z;)T*`l@@~&8fUuQuh&f4wPP0}#}af_vl~!vVi2N>z`SL>!hlOS?Pa2Ww>v$vUS$&e z({yHHOpccJs{i9k0bH7s@uM%rUoAH3ods4vhK?&c7RDb-J7Z_?gL!jeBx55#*h_i$ z=c5WUwC?IY&6$-!61laQZ->_kf~4+h{D~ctdCe0Y9l|=Rf|u{?_`$+ra=fiDS5;cU zq`vMc&gz-VHUn0x9{1&1v{@psbimM8_8D5TNG|u}&n)Z(Zp<#O3w&V{I@!GBtLY*Y0CI^lCF2}w`~%<8){o&&0fMhqky)tD%D-WJbNA2 z`R^L*9NPOmdtDPYvPYkS{(eYjHY#Atdp#{?P|zIcr$?6X9Q*O%foe~_4hNwCkZfP6H$7^q*k zc;7v6z<)G~f$SZ8D)M)MP4R9?p{2;CtSPP=T6Wl>(R*4^5O1L3p^gR14sQ)6b&|kN zijUbgq0)kmo}Wp7;UUOem zo9>Hh-5Si@lJwV*s6MqXs!btL?KBzzsbEv%Yg9c5eE1Kps`E@Wm!E`zQ`a5b>@baN zwE>7uYWSjHG~w^FG2hBi+sv4xMrde(&E{ZefeQdIw6>t3MTCYH5T#&f5q&-+T}sS@ zpTSeD7dzDKEc^j>C#J*%c_xl3#bo2N_`tS@Q7sb5kQ`h_Co2iW5D#MD-#B|uPK$Tvy$sa`%cFgj zRfD;s3#N4F{sh08nAZ-!2&MhKV%Zxs71;Uks|)7{o*`cUr?9$2 zg05Neplg;Ol(4GeaoNJAEo7bqn7#%>SI3SOA10~P!p7=2>_Uo0^>*4YB08kIm+!0r1rkS*CC@mFpd28(xc8&w zU;;bT8L&eiFs_{5-#Mi5YFd!qVb}@NA&DLA#tkgyICWx!xH+!0GeoP*!_t^T6vzZ{ zmB1-Vru>X&)Qx}?$*gp*F#B~oDdC}BIiRV`(HgQAXsi5F58cm=fa{~Uee`(zrKTYC zSVHKLfzZ>^4=vsbGIuKrBm&}4ql+Z1k}?HvkFWv>lNtN{+P1;aEo9v3ku{A}lsIfx zfp$yw*@0pXKTynq3se%nV+2=0S=n~Vzrh31JX>X-P&447%SU(3fSioC@&>AZKsZ2> z`py7n+D-C}JmXG&GpSQOk6JGBZveY(mh-wdJLSF|F90HNVhU&yo-N`1+q!QD-TMr8 zmZas$z0+W zt>KZ8-wqyyw(hd=sk(9b*bXpc3#14DUp*v6z#bULsTnh35vQcstBHTo`a^)Y+6-eQ z4Hiw%CRS?n&r};?w^^M`4SYQ8x^77VUPy-WqgR;+|AeOs&V_CvqRx^ZHD4jKg-iB~ zw_#jn!Ak#JTvTtyp>cL3y0#N8OP+?(4-_}JxPt%#(%%N9KORc|6kbkxE6*}fObu+n zXSS{&4p!kd4E0s=FAmFtsnWc>>z{&FR|nTymT1jW;CTcp$liV^vIn8OAc1S3DZD|5 z$4Gziu^q!UjARPGoVoj+=xPwk-=oza*R&DZ;{RV!k1x}|ZT3m?*teY4RE%D~Wr{yc znBSYC*yT72su?RSp+NwTBtiu?frE9cIsvf48wCX3fRPMXCfXD*vHngG=1f>ltGr^u zD===)bYP>>jzgIALL|SOBGG5!XO0+OJ!y%8BIt>eo(u^;i|VgeI^}8cbtD%pVumZZ zBT5u*#ugvm(aW9hL_3?|bcpE?iFJbO4*i{a$h^x^{_s0pHL#0DT{AOIcnoe(FiE(` zW6|rf(=q3F7kA}}8o?;1!H*543z4`d?h>F`!NCtMv9S9$ixGK}nxrZdMU_kmr`BO?!S&Zj{yTvFUy8U}{r z719G;X;$rAk8=cmP=>sNGH@M~0i;M7+IvHGS)fSPxyV}Jij1lOq+YPUhniWhA`f)d zA#(koy>16E)&GYMQyK?5v-8>@*r;{?zskM@9_sGzvvSdj`vP+qetjWG+t0@#&vX1S4KQrq0JkPh+>-+ud)$3EZ=iYnH zJ@0eQ``nokgEo?rQ#f<-LXq-b63m9eU&$`t{#{Qu_tUwV4vuav>MVlF6yVN>e!BI; zcUDBUOG0`jLDV9e&-p@m@;o(Rn-{<%_zl@id~xlf;td=mGo0k2enH9YZsLRvhReS zBJZ5EJ$f0PNI!2uLR|uuhM3k*6*7!o81qc7&VxKScM9ZEz=OLW56%G|tRjy^){N#( zsVJ=0l<_L1r|4x(c{-$%hQS>CtAXG(dyK2u$*P71g$fPdgKCf@5CVbh2XRY^3DY5U z%&#>%J~)ynsYnh5%ydRe4()r`uIEVVeMHdcqEH#h5S8Il-MqT!=qG$OV z)YWpQD5O|2g%pzqq}Z>eLj*~3HT$EW!D>TLy*iTG<+}$&S49=uF8mf-zZIcI_qMvb zYi0g}Od&`lbG}R+TEjm^Yd+xn0n;Bn_R%o2dZ}V|-;!iKHtq3jYsIs_Kd6eQxuv-pb|}*08+BM3zP#!$HYvZ{b0z9~1Hi*OGR! zV!55HSUZx$m668i^B2i8;xSs7aX z;hHaLw{KC{Ru@TbZT%#6zXkuhS(IMyJ$@HJvZZ28iBmrv(!Zr*GvSYF+CG!>ve-r2MGBM?EPx?Q2tae@5yKh>@NIR`bZy$-XTMK&W$V9NGxUtR*|pLu_N6pM(@0Q zc%d8ckG-}Y3?roJD{sn)JW)`#N-k-`uuw^>r#uH@EnvQYnU^6m7eZ#{#nj%YR>c*v z#y6IH?#4++%9+K)f#}qj$oS*QPla6DC`lf^W(y({ovriZk+0Lp0xs_T4AQZup`;8D z#88e#uG^YJ${9Dc3+dE@B8s5&FCLizoYL5(j5@%_Sgsgjsw-{D`B2hbR^eZotoFQMFg>rB}JvQo{pSy&Es7E41JqnYl zM`FXnN&h5kmJGlF=E~RXT>?tFKs$6NLtl+In-6nhaaBE#PMt%0Vuv=O~x~eEh ziJ^+NmR!;1^7%e>favi-s1oU{29?Ow!AFPS`h*wHZ-rs^@5GHg(meXU?~gf1X1gVk=Ddjg=GEi>Alo7mSVjW2|_mO>O9sy<+g+|9w{$U2rY0Q~9hq%^1o6DFmx zwbgqw)%f>sS{Wj`(bM8kAreq_DECevI6)t)RTx(aZJ;WUlUqwd`Dz@#o_GB#=+91z z*Q=mh%T&wPHvwnyZ&n$?$QTGC0a*<&awmkJ0U=yab{PTEctG;v_XmV3-|-7yV+V9{ z^%X5i;oQO*YbN75QK%vjAIR=+Pg7)_Kh^$$XQ$+RLBV+&C~JaZ_iwtF?5>#r+sM7& z9?(8;Kg)V>eS^aGZdUpm8-6B8%UEe7MT0Exxsbi{vbQIP(5lQkz*V&Ea1}deejp-s zLzn#=g>J!PwKjqP<`+bst-O@e;BxS_2Z+z;Ew9fX^jJP)mkGU zYE(B4aI4#+9#FbMfgUv}gFj*{cUQqC1X16n#cM?DW08txm|}=~Iw(zWu}QzDCiidN z803DSS}TU!mCWMyhsM#CkW)R7sg~*yr>SW)^u-Qb=>SXpa?cV}m-$$5Za`0N!1&c| z(AHE}o7i!tHcDW^LxD+o9mLc+P@8RH+n|Vwl~MxfJaHNcLN?UZ;;-1HO(|=tr&F0k zR$XlNcdvkW3fA3r^IIH~vdY};=<)wnHUYJOqWia6+?a>Rsonscs_@;@6I8%>ps1dH zy2QLC@L8z|^!O5%Ih}2o-Prdh-s)S8$V`jd>25euVs$5U4ztMh_6&3m9YJ@GwOXqq zC{WD?B{Y-b>vj@)Qg?KMIGoKcF2ZBi!2a54{W#$*>D=NVLxQU zTCxrMfnS;ezXUZN&o943Da6zoGoK%ezA}0vP3y%#=}>^p`~6SXJ9iCOq?QWwOagj& z?7}BwfP)Gb(cRC&NOD1Q@5B_2yNy$43ENPLQI zu4a5Vv9zAMc5orI>*3Nm>51k*VuyOqI_Zr2yFJH1kzfZE32Vh46kN@F>FyF16>%E| zb|@(+e`jZm!l$B?Gm>FI*CK3yLwPhgXg5j;|gVc$V@BXvH^d~G>9<>G4!m+C4 zbPBT-!ypg33zW`J#DP)O`IMb&Ak!^Pxke#MBMmn4i^d@MDL~j8M!{Z&b%4EW%lV=~ z5rt^j+IkpPR7CAT2B{l#78v`_>vvZHwVW;de3UWeqRBTv05uIgKr#;~8GTxQ=+_Nc zGmno>Tr+nFW^_({5jOub--O-an*iVU_YOv5dF27;(`9XZY-@l*W9i_DbCRj2zo+&? zruA1Z;LtjNWb!Q;YY4(>AqWF;8)O`XP>UxQ3&o^ ztFBGDph)y#z%o@&$6>dX0bKxCKB&P)X8ANAmMN|@^dQhKOKT}%`D2Y*M!r<^oF$wL$NucH{Z6m!V z9v<0z^96u2Ye~uPOEC$_XQ_I90Nm@@>Ew}3+O7vongN;goSO7B==%eL47B5iGyMk+ z9SgzY3ZH|wC%p)<2h-FjEV#o`Q*G4GZCD7dWq?GuKT(Gnokr)^5A}q}xo{CB7q)|P z;bxEvPb6TS-A8k$Rpfqj9L59cWFkg$AXmQ0gV^#ZW{f>jEI_bE00pj*ti5IWTBqgsZc5JKw_!_jf4=(*)2s{#x*%l?}jb&#|G1P852%zE8gl#Ph;{?CV{I} zESqT2RcR1S%@G&TGoELO(@!1J2lKH-a2uLbtoO}g@->y}2QE5M-b3}YXVtuSWwiq= z&K|rZJ23I_lI(t^mAwcx(HTl{&`H7IEC_?ouNNX-_DpL8oK}OFl`Sbp6qTszhSk@; zbpGj@yl?r~wp&?PPG+O3QavvFJ&9ua6=$p=jI3u3V!0Iv;Ej_X>Wc*G4pP$JEK2$d z2%C(wShCV7VgWG*2pglNUR8TrV|MuqGrg*&_!l9a)h9FtMWZ*P0>5AJsHcs)t@g>1 zU^JNMAzyPJudP{;7X+whz+pkuGnvBz)bk8PJr6?nY)YVeHd(+$gVaSWQ%P*wgRP#Q zlSr{}_5=28@0tuy$7WOnC$k?`FRpstYgmuZMPGIrf$8~?-i0;of4H!iFL?6Hl)0Yo zNLTs&Im?Hj2X%P*CBs#9xwJEtTyM(rhupu#C%#SEyPSL-1fnlM&;^gG^78ED1L|MK zEQrXzurRJPjRGlN^gOd~gkajj;(O2tUxw)c+5t4eAF4h(IU!FYOOE?~dTCs0%8S?h zpi3>&d(p~IMq5So3ur>Y;cgX;l;fGUb!gBzMddlp|2luo93y@1; z0l>;3UJ0xmkTFvb8Pf%kF%PRfAMwWw?{)YZC)T(7R`-!J$3gL6uq-S=QEr$7+T!`<@dVH6Y(4s;kbH0IJDuM}td0f{TVV1?LDeg^T%T2s1^C zsl1z3baz0vpqOpktPoU;w?V}iSNFq*T-=o|((r0X^w^t6*OY%siU@p>{ox?`%I$i8 z`#bu>aZdAG!u#4CPeblqEEF zPQ0mB%_Wzpe(jwTxh4=_@&cuO8=&l4z!7pIm(ghz_-kT+yc7e-+QYx^!=&=8I56Ge z1)<1bS(y@w{_ncdX4Hm};W4SKeV_L8&jZd&rVo#t&l#TitS9x34Js`_dwYp;VPyg2 z{TGQ)Y0(6g7NETiwK!Pc{h*bHS{zoDl49LM51RmE=hxmpkxQl@oA{BBMRIHd+UAbV zM^1u^1y_7V?AU9|n%e)j(n9a+CSAZ?(WSMgVs2!T9x6*k!d2 z^#Z1_d!g@zOO{T(wS<4Pi#0o<`8rT0{9vYM-e5p{TTt8ga*0Q?(KK!IO2RH~Bv$OG~<@7^+@e0Cz==;(b)h=Ws=7|#ocX;6<`V`y0(3A@)QHRjlmS=W6%_m z7-$ME-%sJ8L18?}&o}MYe-@o0vMk;-QKaWxyJr`wDRdz7PTX|vI^ZL)9y2e&45WjbR?9wJB5t(S(rTYl|b{NN3(>e~h42L6qh*0J)o3ry=%pfc7 z*JU+C>JA0f2-AGN?}aLp!9?Fg@L7QA zdrIhZOt2|j`-NZ>ZIL9O#gfc~nTz>=uf?sC=yzd?;T5?*>-n5Plq4U?D>C;oeBZFu!*7&16NMMC!*A9&bHm=} z^NRI-dg5KDY#CipS6bmUJQwXPNt+{Zo}eorD@~gtF8lZzA}U0;Y2RJmP7cvWK<-5i z@T$}|7eo7-R>wcc+-Jtex$CQrax>#I+)uZEj167@dXvR>^-Z1#xQ$HQOE5v%SS90X zZxm?as$`B^;!fO|O6yNITxiX-j_T2uzxO>;pmJZpT=pOpi`|dRQ_sBR>w6wpJgdnL z?G}UQe=drtmga@me~vG#45!Y%Zc&PX=l>EsUFE|$FXJvYs@$4R^r$_sk@%$cI{U2WjdmswT zl<-}gQztBN$UbI*iLtGfKUi#zCQe-TxDM_V0cJpq(oQf{(soBg(Rx@a?XiEv1Y3)j z+d3%Xos`wfY+!8rSRglF|B+cV!T5yF_?t?>M7u>S^aT0^=fs%!B%Jz7)Z0}%@1}L@ z@%Hg3ir}w2zp|*iVmN5!5m6SnV8z%LXuE-^*07!y0gHPXZv-ZDir z$_p*275QIQyk&vIO-03!fToOX@3ay>^;zcBFGiPOB6M5mbVBrt_o7ClxA~p*&r9BX5!4Y{vJ|ao(h_A2$O@MIG zv_oz!&Q)@EM~pTuMma_Uk5UY}=-q@!(^_s2Gw^NWtdw|!ZlV1EsYpkX@8p*#ixNzF zh$R6tcc`E-fr)N(BI@K)ZSdsTD6rtm#Hbi;gyicULXf<6Ad|0sNGPhvsMdvTHBRABc4XtN)jwg%o#-R!LKFvBZmeY}RJf zaps*txsA8~-(FmCmwghf2L&Jbn`enl8p-a=1K>wY^?_~vUDeM@lkD6q;7Hw)DZQw1 zCvOmQPm~2Ov14ipim7A4nT1~{BAeQjY-$O})Nj3nrqs~;ngrRqxL}J?f-ST-i6XXB z<$xbXB4V)MV|IW>FsHicUDMHnh z2mtzwlEJ}tE?6u=Bu7$qsvHqzi&KzcihvbCM~W%pfU8dp$zr~oRiuD7tDterP)ae$ zNxa`qAz%P{du+iCD40xJjIbC9iy~KI=I;MVgU=>4APJbm^90EWnzBjCxk`fo#Crn zelg+H6HylTJ6514o=veFlWu`~%EdC_g&>h#xK6s4Gbt%h$TUK&$ORmHxh{^Rr4&Ko zQ}!AafeZLHqCi92oo)1_2qI3|JAWacPPnEeuD9FeG-EINxgj4wIUhtahr#=%?iueW8wozR zG5PpFPxA2rc7Xlw^#EmM!2}!=U1)4Tj2FqxbvPA+!TS4R{0}_-FaVkRz5k|yVh;w=u7mFys zThue4m|;y*f~0ICInZJv#B9fpFq|;2LmFXyKq?q$mmR6 zT&CSakhae4Ne%Dg^ces_Ao&x2AWMbIy_bUE9W?VAOcXId=W78ddGKU7#GCr1iHJ1BTTM+kzuUrzQru2~Q;W0p8)ZWhaeMo($JTW(ph>5?F3N`RaMV|bpd zDwV&fD*oB+fr@+I1=1i;Qk}5UF=zHOr%|zHv4msF8tr(nDCh~Z_5^C;s&pDx9{{`H z^ED?9hHE5BE_{R_o4ktwvTi85Fir}u<1o*HvL21rCjS&IpPEDMBS*kth4=H}OQkrB z?^vVh@sc}0DoFv-A~KMc0U)It;i~i*Z?}s+xl%j+f|r5!T#NDM7A;P)XrFA@vhWfJ z$y`%v-NuC$uBhZ9%)LQDoyTPdWh-$P3QKjxtHyjyllthKv_*>W${4D0wxE_Lf&j} z6T(EIKwkQ&k;^nv=kQ=+2{&_Qda-nC@l9A6C0fsZ8fw^;rl3h@i;bD(k1&xtqsvt( zk%4}Cv#|+^(D}TA0JBTnpa|`D>y?DZ)Jp-MCczJ{-RQNeLGV8d1sYNo(35wlCKr#y z3paX0TPQ&HF{i{0+)Q@9Gnc>i7ObChO5?UvF30Qlt1Ona;(3^1=unZ9Q8IFaWE9|& zHyOFzphMNi3>~WHylipLzvKs{8aE5m-|EQ=Ggdv2|YsqYex?{6fS8JiXsbz8g|ci;9u*55%k^HKa5g@dCXACYab|lXg0Rs7 zK5OCbkb4km2z(O5bG7Xs;8PcDRAAa-GX-zmu83GjRTssVBvJ!qpo86shJ zetTg&XlYB$ck|lTF#q-hYHKm*6ACMg2LcS?eL-t|>5Gmh)EcH{|Nb!(bSvd|x5Wv= z+x$UG0jb~C%3tztnFnoq25u=iZTWBHjt8WEU#a<=C^>hv#^Y&qK|dqcAnV63KRq-z zkfd?b-0KWC`rP}A@`LiaEH0X&iKn;0_$v%Yk`v7g zv{X&LAFYsAOe~*`fmNu9YOHF>9-lgXtpvq8sQ84nZheGmMc{Jrxi{sB7Ee}0s+_cF zXMLK#%pgb6uPyE&S>6lhCLnPw!uBYq<&o@97G)1Mng?3eujLR8jGD76ABB5Nt=F-C z#l4r7DSuloz?DM1oP6(nV!8C8AJ#?Z*qfY%4L-3oRV5yD&VRRNCEJT44u6?Zv3c2$ z_Ms#zUeog&lW1pogs8Sq;^lzm(i7%INUrN5#7<}dK^3K$snj}I=?R)i$gDAxQ$K0l z@K#Nzc|HkRfRMo@tt_wr_Nk#dc9Gb-(u!AS2M^}wpJlpf!7n|FI$g=W=zaNg!Q2NN4CBQm~( zEzct|{u8pa^uA6#`cVTLtiEL^@m)U?Soo88&A13A`_A$P(blZV%SCrf_oQif9e$Qv z*|MH^=e`z?PWH0|tzJxJd>2vyMuQqu7(6jeY@S-!%7#_i-ZqfcIV_FW9_2|to)U|V zl=A1zpKl7W)NHyuLhveaIp(0qS?W?`p_s67`lJ%1%iH@Gf=&!PGf)KM9_ox92IGqS z<`ql6n9WP|#7No{SH8kdt=|>nwlzc)=RL8D`&^WFKhdWqf57%LUa5cJV#}9Jq-RkR z%&v<#|7l=xW|vlRUbXz2Zv2&^%01J{b_HM(>~;=DAIJ5)^Vk>mfn+NkEY4W!J7lZn zq;;>C*nHREr`@`Tq#x>m`Ac+F?i0ip7-s!1IA1Sx84Z<_7WVJEPa~Dix;U69HH%7) zyWo`18b=pn-(7xAto3p7Wdrx7#2MyWCNh3#oy4I;7USoon2FGf&e%fR+0dos>{YY4 zJXOEY9+92#dS)}M#dI+fahK`BBBe8Mumrv*4E;6>%h|vTEQ$IUrm&0Og9~lh!Acc2CKD)adFD3-!|ci~O?}l~ ze%qbnY>aMEg-(|H@4G}7!d?*vxrTbLey*9(A4-%$qmsLtd{Y56QZ1jm(&*@o1Ogr!IL)vr zg43d5^a!n_DHF^YVgj~t!eRv%&MWfFtRd{EiU=Mit(;|h+A$8?{V$WY93jYyW|x?W zP&NtPaNp~Coi*&mA#Irm*6yFBsE#wk}*y?>~~y}F=f?_DE)kZL9LFbJPNvjzGSFPpftMCAob%Cvqc0Z?|6nz!f(JjBE1}HusO06&JOz>4gi1Lx zcV61eNmj>juX9I{(wm7s><;|N@gm{Nv)A_A?#Y$iUtAo>D8n}wW?>$C_grN{qpX&s zY#8!(JaRHWjNy=!0qOq!tDp8$dV}3C? z_fM(DC{;l{C-m;CBYU`BGsxdbV94%i%Q6zDVPs!mh)+-&w=7iE2S02Vq?YJ9Q*hy6 z&F=6+C-6~wN|koWp+mr!7;dL`doKMTl{%fH{~Vr7M|>oRBab^_u$?zIpxMC%n~<^} zeqiF)lP)>kK5iuR_%*`=KlYMIoeP6k4(aVq@&V!%Y^i~dmN zzG9av8eAK8hYJQq*?!e&&r~`!Ilp%2?O)R|cO%bS8VU1!O;S(tW1oHfaBY9^?_UxK z!ZqeE9OzUZs?<$CE|7M{)Q@76uR2y55~ZPbdzCKJ8tQ)AFXC09nfIDOmb{=NZSEO7 zJ}m#*f!Uq0cel?*AN}(9`Ikbu2sxZ4Vd7?z;;E-qZboMgx8#iFJMg|@0PBwvE55CS zXX+Jg)=im&g6^<(8kG8x6y*cq5j~x*~k&% z*hJUN_qBjDI)a5>(AV;=rKP@=pI^2X{9l9Apo{kcl8!$8rmo-i5)~Cc6+6`h(@V}FmCq0q|npTljlDEKKzv!EfrN7oQi7iKcv(Gxt?}jUXE_| zo>IQ9F8>~>xoQyjco&$7`roS-;-jJhYqtK=s8{3R&3<6Mqlc-e82>TfZtxvXFFzN% zf6VqvM}Pm-f9|$eh>A)B?RM4C-rK|4%MtD7DJ$)2=jMII+C%!+n*Y0$sXs`$>VmfR zvitv$!Y}-vYw<#(UH;ozfWrRvb@2~UFdk@o4?9oK|0e0+-{eqH-Ti|kXgwHfH#?W# z+WB=BprN~W#*6+#PQxGMSligxx!3`fqdlZ;ygfYZ+`Rs7@IR^ipNl&z@}J7`^s@Hy z{;!+l|HtAk{XveStF^tIr}Sw@FIQ`f=kJ~V_eI71K^O*&@y0+$>bIO<8Y@|G=%fKY z5DI+YpWf2~SR &= ~(1<<0); - #ifdef _ANEMOMETER_ANALOGUE + #if defined(_ANEMOMETER_ANALOGUE) || defined(_ANEMOMETER_ANALOGUE_SPARKFUN) analog_anemometer_timer_irq(); #endif } @@ -148,7 +148,7 @@ void DMA1_Channel7_IRQHandler() { NVIC_ClearPendingIRQ(DMA1_Channel7_IRQn); DMA_ClearITPendingBit(DMA1_IT_GL7); - #ifdef _ANEMOMETER_ANALOGUE + #if defined(_ANEMOMETER_ANALOGUE) || defined(_ANEMOMETER_ANALOGUE_SPARKFUN) analog_anemometer_dma_irq(); #endif } diff --git a/src/main.c b/src/main.c index e533c2d..639da30 100644 --- a/src/main.c +++ b/src/main.c @@ -458,7 +458,10 @@ int main(int argc, char* argv[]){ tx20_init(); #endif #ifdef _ANEMOMETER_ANALOGUE - analog_anemometer_init(10, 38, 100, 1); + analog_anemometer_init(_ANEMOMETER_PULSES_IN_10SEC_PER_ONE_MS_OF_WINDSPEED, 38, 100, 1); + #endif + #ifdef _ANEMOMETER_ANALOGUE_SPARKFUN + analog_anemometer_init(_ANEMOMETER_PULSES_IN_10SEC_PER_ONE_MS_OF_WINDSPEED, 38, 100, 1); #endif #endif @@ -770,7 +773,7 @@ int main(int argc, char* argv[]){ //digi_pool_viscous(); - #ifdef _ANEMOMETER_ANALOGUE + #if defined(_ANEMOMETER_ANALOGUE) || defined(_ANEMOMETER_ANALOGUE_SPARKFUN) analog_anemometer_direction_handler(); #endif @@ -778,7 +781,7 @@ int main(int argc, char* argv[]){ } else if (main_one_second_pool_timer < -10) { - #ifdef _ANEMOMETER_ANALOGUE + #if defined(_ANEMOMETER_ANALOGUE) || defined(_ANEMOMETER_ANALOGUE_SPARKFUN) analog_anemometer_direction_reset(); #endif diff --git a/system/include/drivers/analog_anemometer.h b/system/include/drivers/analog_anemometer.h index e0a0300..b9c5df0 100644 --- a/system/include/drivers/analog_anemometer.h +++ b/system/include/drivers/analog_anemometer.h @@ -21,7 +21,10 @@ typedef enum analog_wind_qf { AN_WIND_QF_UNKNOWN } analog_wind_qf_t; -#ifdef _ANEMOMETER_ANALOGUE +#define DIRECTION_REGULAR 1 +#define DIRECTION_SPARKFUN 2 + +#if defined(_ANEMOMETER_ANALOGUE) || defined(_ANEMOMETER_ANALOGUE_SPARKFUN) extern uint16_t analog_anemometer_windspeed_pulses_time[ANALOG_ANEMOMETER_SPEED_PULSES_N]; extern uint16_t analog_anemometer_time_between_pulses[ANALOG_ANEMOMETER_SPEED_PULSES_N]; @@ -39,6 +42,7 @@ void analog_anemometer_timer_irq(void); void analog_anemometer_dma_irq(void); uint32_t analog_anemometer_get_ms_from_pulse(uint16_t inter_pulse_time); int16_t analog_anemometer_direction_handler(void); +int16_t analog_anemometer_direction_sparkfun(uint32_t timer_value); void analog_anemometer_direction_reset(void); analog_wind_qf_t analog_anemometer_get_qf(void); diff --git a/system/src/drivers/analog_anemometer.c b/system/src/drivers/analog_anemometer.c index f59ee44..c15d0fb 100644 --- a/system/src/drivers/analog_anemometer.c +++ b/system/src/drivers/analog_anemometer.c @@ -7,7 +7,7 @@ #include "station_config.h" -#ifdef _ANEMOMETER_ANALOGUE +#if defined(_ANEMOMETER_ANALOGUE_SPARKFUN) || defined(_ANEMOMETER_ANALOGUE) #define WIND_DEBUG @@ -75,6 +75,34 @@ int8_t analog_anemometer_direction_pol = 1; uint16_t analog_anemometer_last_direction_cnt = 0; +#ifdef _ANEMOMETER_ANALOGUE +int8_t analog_anemometer_direction_mode = DIRECTION_REGULAR; +#endif + +#ifdef _ANEMOMETER_ANALOGUE_SPARKFUN +int8_t analog_anemometer_direction_mode = DIRECTION_SPARKFUN; +#endif + +// this array consists voltage ranges to calculate +const int16_t analog_anemometer_direction_sparkfun_ranges[16][3] = { + {4018, 4112, 112}, + {4112, 4182, 67}, + {4182, 4296, 90}, + {4296, 4537, 157}, + {4537, 4840, 135}, + {4840, 5107, 202}, + {5107, 5529, 180}, + {5529, 5982, 22}, + {5982, 6490, 45}, + {6490, 6935, 247}, + {6935, 7201, 225}, + {7201, 7607, 337}, + {7607, 7932, 0}, + {7932, 8349, 292}, + {8349, 8746, 270}, + {8746, 9276, 315} +}; + void analog_anemometer_init(uint16_t pulses_per_meter_second, uint8_t anemometer_lower_boundary, uint8_t anemometer_upper_boundary, uint8_t direction_polarity) { @@ -376,6 +404,8 @@ int16_t analog_anemometer_direction_handler(void) { TIM_Cmd(TIM3, DISABLE); + uint16_t downscaled_angle; + // getting current counter value uint16_t current_value = TIM_GetCounter(TIM3); @@ -406,27 +436,35 @@ int16_t analog_anemometer_direction_handler(void) { return rte_wx_winddirection_last; } - // upscaling by factor of 1000 to omit usage of the floating point arithmetics - uint32_t upscaled_frequecy = current_value * 100; + if (analog_anemometer_direction_mode == DIRECTION_REGULAR) { + // upscaling by factor of 1000 to omit usage of the floating point arithmetics + uint32_t upscaled_frequecy = current_value * 100; - // calculating the ratio between the current input frequency and the maximum one - uint16_t ratio_of_upscaled_frequency = upscaled_frequecy / UF_MAXIMUM_FREQUENCY; // this val is * 100 from physical ratio + // calculating the ratio between the current input frequency and the maximum one + uint16_t ratio_of_upscaled_frequency = upscaled_frequecy / UF_MAXIMUM_FREQUENCY; // this val is * 100 from physical ratio - // converting the upscaled ratio into the upscaled angle - uint32_t upscaled_angle = ratio_of_upscaled_frequency * 360; // this val is * 100 from physical + // converting the upscaled ratio into the upscaled angle + uint32_t upscaled_angle = ratio_of_upscaled_frequency * 360; // this val is * 100 from physical - // rescaling the angle according to lower and higher limit - int32_t angle_adjusted_to_real_freq_borders = analog_anemometer_a_coeff * - upscaled_angle + 1000 * analog_anemometer_b_coeff; + // rescaling the angle according to lower and higher limit + int32_t angle_adjusted_to_real_freq_borders = analog_anemometer_a_coeff * + upscaled_angle + 1000 * analog_anemometer_b_coeff; - if (angle_adjusted_to_real_freq_borders < 0) - angle_adjusted_to_real_freq_borders = 0; + if (angle_adjusted_to_real_freq_borders < 0) + angle_adjusted_to_real_freq_borders = 0; - // downscaling the angle - uint16_t downscaled_angle = angle_adjusted_to_real_freq_borders / 10000; + // downscaling the angle + downscaled_angle = angle_adjusted_to_real_freq_borders / 10000; - // adjusting to polarity of the signal - downscaled_angle *= analog_anemometer_direction_pol; + // adjusting to polarity of the signal + downscaled_angle *= analog_anemometer_direction_pol; + } + else if (analog_anemometer_direction_mode == DIRECTION_SPARKFUN) { + downscaled_angle = analog_anemometer_direction_sparkfun(current_value); + } + else { + ; + } analog_anemometer_last_direction_cnt = 0; @@ -447,6 +485,26 @@ int16_t analog_anemometer_direction_handler(void) { return downscaled_angle; } +int16_t analog_anemometer_direction_sparkfun(uint32_t timer_value) { + + int16_t out = -1; + + // iterate through table which consist ranges of valid timer counter values + // for each wind direction + for (int i = 0; i < 16; i++) { + if (timer_value >= analog_anemometer_direction_sparkfun_ranges[i][0] && + timer_value < analog_anemometer_direction_sparkfun_ranges[i][1] ) { + + out = analog_anemometer_direction_sparkfun_ranges[i][2]; + + // exit from the loop and then from the function if the angle has been found. + break; + } + } + + return out; +} + void analog_anemometer_direction_reset(void) { // stopping the timer