beta2 review open

pull/18/head
pa3gsb 2021-11-16 21:36:02 +01:00
rodzic 1f76d957c9
commit 9863fc0df8
38 zmienionych plików z 41823 dodań i 4099 usunięć

Wyświetl plik

@ -1,31 +1,37 @@
2131 & 4.7uF 0805$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=GRM21BR61E475KA12L}{GRM21BR61E475KA12L} & Y & C1,C5,C7,C9,C22,C24, C26,C28 & 0805 & 2 & 8 \\ \hline
15331 & 0.1uF 0603$\geq$X5R$\geq$50V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C104M5RACTU}{C0603C104M5RACTU} & Y & C2,C4,C6,C8,C10,C12, C15,C18,C19,C20,C23, C25,C27,C29 & 0603 & 2 & 14 \\ \hline
58143 & 10nF 0603$\geq$X5R$\geq$50V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C103M5RACTU}{C0603C103M5RACTU} & Y & C3,C16,C21 & 0603 & 2 & 3 \\ \hline
2131 & 3.3uF 0805$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=GRM21BR61E335KA12L}{GRM21BR61E335KA12L} & Y & C11 & 0805 & 2 & 1 \\ \hline
2131 & 18pF 0603$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C180K5RACTU}{C0603C180K5RACTU} & Y & C13,C14 & 0603 & 2 & 2 \\ \hline
1940 & 1nF 0603$\geq$X5R$\geq$50V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C102M5RACTU}{C0603C102M5RACTU} & Y & C17 & 0603 & 2 & 1 \\ \hline
16532 & 10uF 0805$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=GRT21BC71E106KE13L}{GRT21BC71E106KE13L} & Y & C1,C3,C35 & 0805 & 2 & 3 \\ \hline
15331 & 0.1uF 0805$\geq$X5R$\geq$50V$\leq$20\% & \href{http://www.octopart.com/search?q=C0805C104M5RACTU}{C0805C104M5RACTU} & Y & C2,C7,C37 & 0805 & 2 & 3 \\ \hline
2131 & 100uF 0805$\geq$X5R$\geq$10V$\leq$20\% & \href{http://www.octopart.com/search?q=GRM21BR71A106KA73K}{GRM21BR71A106KA73K} & Y & C4,C36 & 0805 & 2 & 2 \\ \hline
2131 & 0.47uF 0805$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=GCM21BR71H474KA55K}{GCM21BR71H474KA55K} & Y & C5 & 0805 & 2 & 1 \\ \hline
2131 & 47uF 7343$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=T495X476K035ATE300}{T495X476K035ATE300} & Y & C6 & Custom & 2 & 1 \\ \hline
2131 & 4.7uF 0805$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=GRM21BR61E475KA12L}{GRM21BR61E475KA12L} & Y & C8,C11,C15,C27,C29, C31,C33 & 0805 & 2 & 7 \\ \hline
15331 & 0.1uF 0603$\geq$X5R$\geq$50V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C104M5RACTU}{C0603C104M5RACTU} & Y & C9,C12,C16,C17,C20, C23,C24,C25,C28,C30, C32,C34 & 0603 & 2 & 12 \\ \hline
2131 & 3.3uF 0805$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=GRM21BR61E335KA12L}{GRM21BR61E335KA12L} & Y & C10 & 0805 & 2 & 1 \\ \hline
1940 & 1nF 0603$\geq$X5R$\geq$50V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C102M5RACTU}{C0603C102M5RACTU} & Y & C13,C22 & 0603 & 2 & 2 \\ \hline
58143 & 10nF 0603$\geq$X5R$\geq$50V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C103M5RACTU}{C0603C103M5RACTU} & Y & C14,C21,C26 & 0603 & 2 & 3 \\ \hline
2131 & 18pF 0603$\geq$X5R$\geq$25V$\leq$20\% & \href{http://www.octopart.com/search?q=C0603C180K5RACTU}{C0603C180K5RACTU} & Y & C18,C19 & 0603 & 2 & 2 \\ \hline
& Power & \href{http://www.aliexpress.com/wholesale?SearchText=DIKAVS DC005}{PJ-102AH} & Y & CN1 & Custom & 3 & 1 \\ \hline
& TVS Diode & \href{http://www.aliexpress.com/wholesale?SearchText=SMBJ18CA}{SMBJ18CA} & N & D1 & DO-214AA (SMB) & 2 & 1 \\ \hline
& TVS Diode & \href{http://www.octopart.com/search?q=PGB1010603MR}{PGB1010603MR} & N & D2,D3 & 0603 & 2 & 2 \\ \hline
& LED RA & \href{http://www.octopart.com/search?q=LTST-S220KFKT}{LTST-S220KFKT} & N & D4,D5 & 2.1x1.0mmRightAngle & 2 & 2 \\ \hline
& LED RA & \href{http://www.octopart.com/search?q=LTST-S220KFKT}{LTST-S220KFKT} & N & D2,D5 & 2.1x1.0mmRightAngle & 2 & 2 \\ \hline
& TVS Diode & \href{http://www.octopart.com/search?q=PGB1010603MR}{PGB1010603MR} & N & D3,D4 & 0603 & 2 & 2 \\ \hline
& FUSE PTC 3.0A 24V & \href{http://www.aliexpress.com/wholesale?SearchText=MF-LSMF300/24X-2}{MF-LSMF300/24X-2} & N & F1 & 2920 7.36x5.12mm & 2 & 1 \\ \hline
& FB 0603 $\geq$600R@100MHz $\leq$200mOhm@DC 1A $\leq$25\% & \href{http://www.octopart.com/search?q=MPZ1608S601ATA00}{MPZ1608S601ATA00} & Y & FB1,FB2,FB3 & 0603 & 2 & 3 \\ \hline
& FB 0603 $\geq$600R@100MHz $\leq$200mOhm@DC 1A $\leq$25\% & \href{http://www.octopart.com/search?q=MPZ1608S601ATA00}{MPZ1608S601ATA00} & Y & FB1,FB2,FB3,FB4 & 0603 & 2 & 4 \\ \hline
& USB-C & \href{http://www.aliexpress.com/wholesale?SearchText=TYPE-C-31-M-12}{TYPE-C-31-M-12} & Y & J2 & Custom & 15 & 1 \\ \hline
& 40 Positions Header Connector (2.54mm) & \href{http://www.octopart.com/search?q=67996-440HLF}{67996-440HLF} & Y & J3 & 2x20 & 40 & 1 \\ \hline
& Mosfet; P-ch; 20V; 3.7A & \href{http://www.octopart.com/search?q=IRLML6402GTRPBF}{IRLML6402GTRPBF} & N & Q1 & SOT-23-3 & 3 & 1 \\ \hline
& MOSFET N-CH 50V 220MA SOT23-3 & \href{http://www.octopart.com/search?q=BSS138}{BSS138} & N & Q2 & SOT-23-3 & 3 & 1 \\ \hline
& BC847 NPN General Purpose Transistor & \href{http://www.octopart.com/search?q=BC847}{BC847} & N & Q3 & SOT-23-3 & 3 & 1 \\ \hline
26547 & 10K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-0710KL}{RC0603FR-0710KL} & Y & R1,R10,R12,R13,R14 & 0603 & 2 & 5 \\ \hline
23688 & 100K 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-07100KL}{RC0603FR-07100KL} & Y & R2 & 0603 & 2 & 1 \\ \hline
23889 & 4.7K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-074K7L}{RC0603FR-074K7L} & Y & R3,R15,R16 & 0603 & 2 & 3 \\ \hline
& 40 Positions Header Connector (2.54mm) & \href{http://www.octopart.com/search?q=67996-440HLF}{67996-440HLF} & Y & J5 & 2x20 & 40 & 1 \\ \hline
& MOSFET P-CH 30V & \href{http://www.octopart.com/search?q=DMP3099L-7}{DMP3099L-7} & N & Q1 & SOT-23-3 & 3 & 1 \\ \hline
23514 & 620 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-07620RL}{RC0603FR-07620RL} & Y & R1 & 0603 & 2 & 1 \\ \hline
21904 & 1K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-071KL}{RC0603FR-071KL} & Y & R2,R3,R20 & 0603 & 2 & 3 \\ \hline
23688 & 5.1K 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-075K1L}{RC0603FR-075K1L} & Y & R4,R5 & 0603 & 2 & 2 \\ \hline
23688 & 10R 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-0710RL}{RC0603FR-0710RL} & Y & R6,R7 & 0603 & 2 & 2 \\ \hline
23688 & 12K 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-0712KL}{RC0603FR-0712KL} & Y & R8 & 0603 & 2 & 1 \\ \hline
23688 & 27R 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-0727RL}{RC0603FR-0727RL} & Y & R9 & 0603 & 2 & 1 \\ \hline
26547 & 10K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-0710KL}{RC0603FR-0710KL} & Y & R10,R12,R13,R14 & 0603 & 2 & 4 \\ \hline
23688 & 1M 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-071ML}{RC0603FR-071ML} & Y & R11 & 0603 & 2 & 1 \\ \hline
4597 & 2.2K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-072K2L}{RC0603FR-072K2L} & Y & R17 & 0603 & 2 & 1 \\ \hline
21904 & 1K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-071KL}{RC0603FR-071KL} & Y & R18,R19 & 0603 & 2 & 2 \\ \hline
& IC REG LINEAR 3.3V 800MA & \href{http://www.octopart.com/search?q=LD1117S33TR}{LD1117S33TR} & Y & U1,U4 & SOT223 & 4 & 2 \\ \hline
& USB Hi-Speed Dual Channel & \href{http://www.octopart.com/search?q=FT2232HL}{FT2232HL} & Y & U2 & LQFP-64 & 64 & 1 \\ \hline
& 93LC56BT-I/OT & \href{http://www.octopart.com/search?q=93LC56B}{93LC56B} & Y & U3 & SOT23-6 & 6 & 1 \\ \hline
4597 & 2.2K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-072K2L}{RC0603FR-072K2L} & Y & R15 & 0603 & 2 & 1 \\ \hline
23889 & 4.7K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-074K7L}{RC0603FR-074K7L} & Y & R18,R19 & 0603 & 2 & 2 \\ \hline
23705 & 3.3K 0603$\leq$5\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-073K3L}{RC0603FR-073K3L} & Y & R21 & 0603 & 2 & 1 \\ \hline
23867 & 47 0603$\leq$1\%$\geq$1/10W & \href{http://www.octopart.com/search?q=RC0603FR-0747RL}{RC0603FR-0747RL} & Y & R22 & 0603 & 2 & 1 \\ \hline
& IC REG LINEAR 3.3V 800MA & \href{http://www.octopart.com/search?q=LD1117S33TR}{LD1117S33TR} & Y & U2,U5 & SOT223 & 4 & 2 \\ \hline
& USB Hi-Speed Dual Channel & \href{http://www.octopart.com/search?q=FT2232HL}{FT2232HL} & Y & U3 & LQFP-64 & 64 & 1 \\ \hline
& 93LC56BT-I/OT & \href{http://www.octopart.com/search?q=93LC56B}{93LC56B} & Y & U4 & SOT23-6 & 6 & 1 \\ \hline
& 5A SIMPLE SWITCHER & \href{http://www.octopart.com/search?q=LMZ22005TZ}{LMZ22005TZ} & Y & VR1 & Custom & 8 & 1 \\ \hline
& Crystal 12 MHz. & \href{http://www.octopart.com/search?q=ABM7-12.000MHZ-D2Y-T}{ABM7-12.000MHZ-D2Y-T} & Y & Y1 & 6.00mm x 3.50mm & 2 & 1 \\ \hline

Wyświetl plik

@ -1,3 +1,6 @@
\noindent \textbf{Line Items:} SMT:28 Assembled TH:3\\
\textbf{Parts:} SMT:65 Assembled TH:3\\
\textbf{Pins:} SMT:203 Assembled TH:58\\
\noindent \textbf{Line Items:} SMT:34 Assembled TH:3\\
\textbf{Parts:} SMT:74 Assembled TH:3\\
\textbf{Pins:} SMT:225 Assembled TH:58\\
\textbf{{Do Not Assemble:}}
DB1 J1 J3 J4 R16 R17
\\

Wyświetl plik

@ -1,2 +1,2 @@
\section*{Radioberry-juice beta1 BOM}
\section*{Radioberry-juice beta 2 BOM}
Assembly Build - \today

Wyświetl plik

@ -18,6 +18,15 @@
"sub":1
},
"C 100nF 0805": {
"mpn":["C0805C104M5RACTU"],
"spec":"0.1uF 0805>=X5R>=50V<=20%",
"assembly":"SMT",
"pins":2,
"ecid":15331,
"sub":1
},
"C 1nF 0603": {
"mpn":["C0603C102M5RACTU"],
"spec":"1nF 0603>=X5R>=50V<=20%",
@ -55,8 +64,8 @@
},
"C 10uF 0603": {
"mpn":["GRM188R60J106KE47D","GRM188R60J106ME47D"],
"spec":"10uF 0603>=X5R>=6.3V<=20%",
"mpn":["ZRB18AR61E106ME01L"],
"spec":"10uF 0603>=X5R>=25V<=20%",
"assembly":"SMT",
"pins":2,
"ecid":20411,
@ -64,8 +73,8 @@
},
"C 10uF 0805": {
"mpn":["GRM21BR60J106ME19L"],
"spec":"10uF 0805>=X5R>=6.3V<=20%",
"mpn":["GRT21BC71E106KE13L"],
"spec":"10uF 0805>=X5R>=25V<=20%",
"assembly":"SMT",
"pins":2,
"ecid":16532,
@ -107,6 +116,24 @@
"ecid":14236,
"sub":1
},
"C 0.47uF 0805": {
"mpn":["GCM21BR71H474KA55K"],
"spec":"0.47uF 0805>=X5R>=25V<=20%",
"assembly":"SMT",
"pins":2,
"ecid":2131,
"sub":1
},
"C 47uF": {
"mpn":["T495X476K035ATE300"],
"spec":"47uF 7343>=X5R>=25V<=20%",
"assembly":"SMT",
"pins":2,
"ecid":2131,
"sub":1
},
"C 4.7uF 0805 HV": {
"mpn":["GRM21BR61E475KA12L"],
@ -124,6 +151,15 @@
"pins":2,
"sub":1
},
"C 100uF 0805": {
"mpn":["GRM21BR71A106KA73K"],
"spec":"100uF 0805>=X5R>=10V<=20%",
"assembly":"SMT",
"pins":2,
"ecid":2131,
"sub":1
},
"C 4.7nF 0603": {
"mpn":["CL10B472KB8NNNC"],
@ -623,6 +659,14 @@
"pins":2,
"ecid":18201
},
"R 620 0603": {
"mpn":["RC0603FR-07620RL"],
"spec":"620 0603<=1%>=1/10W",
"assembly":"SMT",
"pins":2,
"ecid":23514
},
"R 120 0603": {
"mpn":["RC0603FR-07120RL"],
@ -678,6 +722,14 @@
"pins":2,
"ecid":4682
},
"R 47 0603": {
"mpn":["RC0603FR-0747RL"],
"spec":"47 0603<=1%>=1/10W",
"assembly":"SMT",
"pins":2,
"ecid":23867
},
"R 33 0603": {
"mpn":["RC0603FR-0733RL"],
@ -1367,6 +1419,12 @@
"pins":2
},
"LMZ22005TZ": {
"mpn":["LMZ22005TZ"],
"spec":"5A SIMPLE SWITCHER",
"assembly":"SMT",
"pins":8
},
"RF SMA": {
"mpn":["5-1814832-1"],

Wyświetl plik

@ -1,4 +1,4 @@
373716034857164
375639289915716
Air_Coils_SML_NEOSID
Neosid_Air-Coil_SML_1turn_HDM0131A
@ -30134,6 +30134,13 @@ LED_miniPLCC_2315_Handsoldering
0
0
0
LIB_2743021447
2743021447
2743021447-2
Ferrite Bead
0
2
2
Labels
Blank
@ -52825,20 +52832,22 @@ rb-kicad
V-REG_SOT223
<b>Small Outline Transistor 223</b><p>
PLASTIC PACKAGE CASE 318E-04<br>
0
0
Source: http://www.onsemi.co.jp .. LM137M-D.PDF
0
4
4
rb-kicad
rb-bmp
0
0
0
0
0
rb-kicad
rpi-logo
0
0
0

Wyświetl plik

@ -4,4 +4,5 @@
(lib (name USB-C-Power-tester)(type KiCad)(uri ${KIPRJMOD}/libs/USB-C-Power-tester.pretty)(options "")(descr ""))
(lib (name SamacSys_Parts)(type KiCad)(uri ${KIPRJMOD}/libs/SamacSys_Parts.pretty)(options "")(descr ""))
(lib (name CONV_LMZ22005TZ)(type KiCad)(uri ${KIPRJMOD}/libs/LMZ22005TZ_NOPB/CONV_LMZ22005TZ)(options "")(descr ""))
(lib (name LIB_2743021447)(type KiCad)(uri ${KIPRJMOD}/libs/LIB_2743021447)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,9 @@
EESchema-DOCLIB Version 2.0
#
$CMP 2743021447
D Fair-Rite Ferrite Bead, 9.6 x 3.05 x 2.85mm (SMD), 56 impedance at 25 MHz, 95 impedance at 100 MHz
K
F http://uk.rs-online.com/web/p/products/1729960
$ENDCMP
#
#End Doc Library

Wyświetl plik

@ -0,0 +1,31 @@
(module "2743021447" (layer F.Cu)
(descr "2743021447-2")
(tags "Ferrite Bead")
(attr smd)
(fp_text reference FB** (at 0.000 -0) (layer F.SilkS)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text user %R (at 0.000 -0) (layer F.Fab)
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_text value "2743021447" (at 0.000 -0) (layer F.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)))
)
(fp_line (start -4.8 -1.525) (end 4.8 -1.525) (layer F.Fab) (width 0.2))
(fp_line (start 4.8 -1.525) (end 4.8 1.525) (layer F.Fab) (width 0.2))
(fp_line (start 4.8 1.525) (end -4.8 1.525) (layer F.Fab) (width 0.2))
(fp_line (start -4.8 1.525) (end -4.8 -1.525) (layer F.Fab) (width 0.2))
(fp_line (start -6.25 -2.525) (end 6.25 -2.525) (layer F.CrtYd) (width 0.1))
(fp_line (start 6.25 -2.525) (end 6.25 2.525) (layer F.CrtYd) (width 0.1))
(fp_line (start 6.25 2.525) (end -6.25 2.525) (layer F.CrtYd) (width 0.1))
(fp_line (start -6.25 2.525) (end -6.25 -2.525) (layer F.CrtYd) (width 0.1))
(fp_line (start -4.8 -1.525) (end 4.8 -1.525) (layer F.SilkS) (width 0.1))
(fp_line (start -4.8 1.525) (end 4.8 1.525) (layer F.SilkS) (width 0.1))
(pad 1 smd rect (at -3.750 -0 90) (size 1.800 3.000) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 3.750 -0 90) (size 1.800 3.000) (layers F.Cu F.Paste F.Mask))
(model 2743021447.stp
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

Wyświetl plik

@ -0,0 +1,25 @@
EESchema-LIBRARY Version 2.3
#encoding utf-8
#SamacSys ECAD Model 2743021447
#/229639/402057/2.49/2/4/Ferrite Bead
DEF 2743021447 FB 0 30 Y Y 1 F N
F0 "FB" 650 300 50 H V L CNN
F1 "2743021447" 650 200 50 H V L CNN
F2 "2743021447" 650 100 50 H I L CNN
F3 "http://uk.rs-online.com/web/p/products/1729960" 650 0 50 H I L CNN
F4 "Fair-Rite Ferrite Bead, 9.6 x 3.05 x 2.85mm (SMD), 56 impedance at 25 MHz, 95 impedance at 100 MHz" 650 -100 50 H I L CNN "Description"
F5 "3.05" 650 -200 50 H I L CNN "Height"
F6 "Fair-Rite" 650 -300 50 H I L CNN "Manufacturer_Name"
F7 "2743021447" 650 -400 50 H I L CNN "Manufacturer_Part_Number"
F8 "623-2743021447LF" 650 -500 50 H I L CNN "Mouser Part Number"
F9 "https://www.mouser.co.uk/ProductDetail/Fair-Rite/2743021447?qs=X2DWwR3nihDKojK28bsY%252Bw%3D%3D" 650 -600 50 H I L CNN "Mouser Price/Stock"
F10 "2743021447" 650 -700 50 H I L CNN "Arrow Part Number"
F11 "https://www.arrow.com/en/products/2743021447/fair-rite-products" 650 -800 50 H I L CNN "Arrow Price/Stock"
DRAW
X 1 1 0 0 200 R 50 50 0 0 P
X 2 2 0 -100 200 R 50 50 0 0 P
P 5 0 1 6 200 100 600 100 600 -200 200 -200 200 100 N
ENDDRAW
ENDDEF
#
#End Library

Wyświetl plik

@ -0,0 +1,41 @@
PCBNEW-LibModule-V1 2021-11-15 20:36:43
# encoding utf-8
Units mm
$INDEX
2743021447
$EndINDEX
$MODULE 2743021447
Po 0 0 0 15 6192c4db 00000000 ~~
Li 2743021447
Cd 2743021447-2
Kw Ferrite Bead
Sc 0
At SMD
AR
Op 0 0 0
T0 0.000 -0 1.27 1.27 0 0.254 N V 21 N "FB**"
T1 0.000 -0 1.27 1.27 0 0.254 N I 21 N "2743021447"
DS -4.8 -1.525 4.8 -1.525 0.2 24
DS 4.8 -1.525 4.8 1.525 0.2 24
DS 4.8 1.525 -4.8 1.525 0.2 24
DS -4.8 1.525 -4.8 -1.525 0.2 24
DS -6.25 -2.525 6.25 -2.525 0.1 24
DS 6.25 -2.525 6.25 2.525 0.1 24
DS 6.25 2.525 -6.25 2.525 0.1 24
DS -6.25 2.525 -6.25 -2.525 0.1 24
DS -4.8 -1.525 4.8 -1.525 0.1 21
DS -4.8 1.525 4.8 1.525 0.1 21
$PAD
Po -3.750 -0
Sh "1" R 1.800 3.000 0 0 900
At SMD N 00888000
Ne 0 ""
$EndPAD
$PAD
Po 3.750 -0
Sh "2" R 1.800 3.000 0 0 900
At SMD N 00888000
Ne 0 ""
$EndPAD
$EndMODULE 2743021447
$EndLIBRARY

Wyświetl plik

@ -0,0 +1,3 @@
1. There are no restrictions on the circuit board designs you make with our library components.
2. You do not need to credit SamacSys in any way after using our Libraries.
3. You will not distribute our models in any form useable as a PCB Library Component - except within your own company.

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,73 +1,88 @@
Reference, Value, Footprint, Datasheet, Key, Option
"U2","FT2232HL","Housings_QFP:LQFP-64_10x10mm_Pitch0.5mm","https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT2232H.pdf"
Reference, Value, Footprint, Datasheet, Key, Option, MANUFACTURER, MAXIMUM_PACKAGE_HEIGHT, PARTREV, STANDARD
"U3","FT2232HL","Housings_QFP:LQFP-64_10x10mm_Pitch0.5mm","https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT2232H.pdf"
"R6","10","Resistors_SMD:R_0603_HandSoldering","~"
"Y1","12MHz","SamacSys_Parts:ABM712000MHZD2YT","~"
"C13","18pF","Capacitors_SMD:C_0603_HandSoldering","~"
"C14","18pF","Capacitors_SMD:C_0603_HandSoldering","~"
"R17","2.2K","Resistors_SMD:R_0603_HandSoldering","~"
"C18","18pF","Capacitors_SMD:C_0603_HandSoldering","~"
"C19","18pF","Capacitors_SMD:C_0603_HandSoldering","~"
"R15","2.2K","Resistors_SMD:R_0603_HandSoldering","~"
"R14","10K","Resistors_SMD:R_0603_HandSoldering","~"
"R7","10","Resistors_SMD:R_0603_HandSoldering","~"
"D2","PGB1010603","hermeslite:SMD-0603","~"
"D3","PGB1010603","hermeslite:SMD-0603","~"
"J3","2x20","rb-kicad:PINHEAD_2X20_BSILK","~"
"D4","PGB1010603","hermeslite:SMD-0603","~"
"R8","12K 1%","Resistors_SMD:R_0603_HandSoldering","~"
"R9","27","Resistors_SMD:R_0603_HandSoldering","~"
"R16","4.7K","Resistors_SMD:R_0603_HandSoldering","~"
"R15","4.7K","Resistors_SMD:R_0603_HandSoldering","~"
"U4","LD1117S33TR","rb-kicad:V-REG_SOT223","http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf"
"C22","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C23","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C25","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C24","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"U5","LD1117S33TR","rb-kicad:V-REG_SOT223","http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf"
"C27","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C28","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C30","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C29","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"FB3","600R","hermeslite:SMD-0603","~"
"FB2","600R","hermeslite:SMD-0603","~"
"FB1","600R","hermeslite:SMD-0603","~"
"C12","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C26","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C27","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C28","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C29","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C11","3.3uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C10","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C9","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C19","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C17","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C31","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C32","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C33","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C34","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C10","3.3uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C9","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C8","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C24","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C25","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C26","10nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C20","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C21","10nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C15","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C16","10nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C17","1nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C18","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"U1","LD1117S33TR","rb-kicad:V-REG_SOT223","http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf"
"C5","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C8","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C7","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"D1","SMBJ18CA","hermeslite:smbj18ca","~"
"F1","3A@20V","hermeslite:FUSE","~"
"Q1","IRLMR6402","hermeslite:SOT23_3","~"
"C3","10nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C2","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C1","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C6","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"J4","MH1","hermeslite:m3","","NOBOM","DNI"
"J5","MH2","hermeslite:m3","","NOBOM","DNI"
"J6","MH3","hermeslite:m3","","NOBOM","DNI"
"J7","MH4","hermeslite:m3","","NOBOM","DNI"
"U3","93LC56B","TO_SOT_Packages_SMD:SOT-23-6_Handsoldering","http://ww1.microchip.com/downloads/en/DeviceDoc/20001749K.pdf"
"C22","1nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C23","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"U2","LD1117S33TR","rb-kicad:V-REG_SOT223","http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf"
"C11","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C16","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C15","4.7uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C6","47uF","Capacitors_Tantalum_SMD:CP_Tantalum_Case-V_EIA-7343-20_Hand","~"
"C12","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"J6","MH1","hermeslite:m3","","NOBOM","DNI","","","",""
"J7","MH2","hermeslite:m3","","NOBOM","DNI","","","",""
"J8","MH3","hermeslite:m3","","NOBOM","DNI","","","",""
"J9","MH4","hermeslite:m3","","NOBOM","DNI","","","",""
"U4","93LC56B","TO_SOT_Packages_SMD:SOT-23-6_Handsoldering","http://ww1.microchip.com/downloads/en/DeviceDoc/20001749K.pdf"
"R13","10K","Resistors_SMD:R_0603_HandSoldering","~"
"R12","10K","Resistors_SMD:R_0603_HandSoldering","~"
"J2","TYPE-C-31-M-12","USB-C-Power-tester:TYPE-C-31-M-12","https://www.usb.org/sites/default/files/documents/usb_type-c.zip"
"R4","5.1K","Resistors_SMD:R_0603_HandSoldering","~"
"R5","5.1K","Resistors_SMD:R_0603_HandSoldering","~"
"R11","1M","Resistors_SMD:R_0603_HandSoldering","~"
"R2","100K","Resistors_SMD:R_0603_HandSoldering","~"
"C4","100nF","Capacitors_SMD:C_0603_HandSoldering","~"
"C14","10nF","Capacitors_SMD:C_0603_HandSoldering","~"
"R10","10K","Resistors_SMD:R_0603_HandSoldering","~"
"Q3","BC847","hermeslite:SOT23_3","~"
"R3","4.7K","Resistors_SMD:R_0603_HandSoldering","~"
"R1","10K","Resistors_SMD:R_0603_HandSoldering","~"
"Q2","BSS138","hermeslite:SOT23_3","https://www.onsemi.com/pub/Collateral/BSS138-D.PDF"
"D2","LED","hermeslite:LEDn",""
"D5","LED","hermeslite:LEDn",""
"D4","LED","hermeslite:LEDn",""
"R18","1K","Resistors_SMD:R_0603_HandSoldering","~"
"R19","1K","Resistors_SMD:R_0603_HandSoldering","~"
"R20","1K","Resistors_SMD:R_0603_HandSoldering","~"
"R3","1K","Resistors_SMD:R_0603_HandSoldering","~"
"Q1","DMP3099L","hermeslite:SOT23_3",""
"CN1","PS","hermeslite:PWRBARREL2",""
"C1","10uF","Capacitors_SMD:C_0805_HandSoldering","~"
"F1","3A@20V","hermeslite:FUSE","~"
"D1","SMBJ18CA","hermeslite:smbj18ca","~"
"C13","1nF","Capacitors_SMD:C_0603_HandSoldering","~"
"J5","2x20","rb-kicad:PINHEAD_2X20_BSILK","~"
"R17","4.7K","Resistors_SMD:R_0603_HandSoldering","~","","DNI","","","",""
"R18","4.7K","Resistors_SMD:R_0603_HandSoldering","~"
"R19","4.7K","Resistors_SMD:R_0603_HandSoldering","~"
"R16","4K7","Resistors_SMD:R_0603_HandSoldering","~","","DNI","","","",""
"DB1","IO10","hermeslite:5x2","","","DNI","","","",""
"J3","JNO","hermeslite:SMD-0603","","","DNI","","","",""
"J4","JNO","hermeslite:SMD-0603","","","DNI","","","",""
"VR1","LMZ22005TZ_NOPB","CONV_LMZ22005TZ:NOPB","","LMZ22005TZ","","Texas Instruments","4.67mm","J","Manufacturer recommendations"
"R2","1K","Resistors_SMD:R_0603_HandSoldering","~"
"R1","620","Resistors_SMD:R_0603_HandSoldering","~"
"R21","3.3K","Resistors_SMD:R_0603_HandSoldering","~"
"C2","100nF","Capacitors_SMD:C_0805_HandSoldering","~"
"C3","10uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C4","100uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C7","100nF","Capacitors_SMD:C_0805_HandSoldering","~"
"C35","10uF","Capacitors_SMD:C_0805_HandSoldering","~"
"C36","100uF","Capacitors_SMD:C_0805_HandSoldering","~"
"FB4","600R","hermeslite:SMD-0603","~"
"J1","OPEN","hermeslite:JUMPER","","CN2S","DNI","","","",""
"C5","0.47uF","Capacitors_SMD:C_0805_HandSoldering","~"
"R22","47","Resistors_SMD:R_0603_HandSoldering","~"
"C37","100nF","Capacitors_SMD:C_0805_HandSoldering","~"

Wyświetl plik

@ -1,7 +1,7 @@
(export (version D)
(design
(source C:\dev\git\Radioberry-2.x\hardware\juice\radioberry-juice.sch)
(date "14-11-2021 12:24:47")
(date "16-11-2021 19:47:05")
(tool "Eeschema (5.1.8)-1")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -289,8 +289,8 @@
(sheetpath (names /) (tstamps /))
(tstamp 6022EFB5))
(comp (ref C6)
(value 4.7uF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(value 47uF)
(footprint Capacitors_Tantalum_SMD:CP_Tantalum_Case-V_EIA-7343-20_Hand)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
@ -441,7 +441,7 @@
(tstamp 60371FA8))
(comp (ref C1)
(value 10uF)
(footprint Capacitors_SMD:C_0603_HandSoldering)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
@ -475,7 +475,7 @@
(sheetpath (names /) (tstamps /))
(tstamp 6015D6E8))
(comp (ref R17)
(value 4K7)
(value 4.7K)
(footprint Resistors_SMD:R_0603_HandSoldering)
(datasheet ~)
(fields
@ -484,14 +484,14 @@
(sheetpath (names /) (tstamps /))
(tstamp 61677510))
(comp (ref R18)
(value 4K7)
(value 4.7K)
(footprint Resistors_SMD:R_0603_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 61677C69))
(comp (ref R19)
(value 4K7)
(value 4.7K)
(footprint Resistors_SMD:R_0603_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
@ -509,6 +509,8 @@
(comp (ref DB1)
(value IO10)
(footprint hermeslite:5x2)
(fields
(field (name Option) DNI))
(libsource (lib hermeslite) (part IO10) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 617D5987))
@ -532,6 +534,7 @@
(value LMZ22005TZ_NOPB)
(footprint CONV_LMZ22005TZ:NOPB)
(fields
(field (name Key) LMZ22005TZ)
(field (name MANUFACTURER) "Texas Instruments")
(field (name MAXIMUM_PACKAGE_HEIGHT) 4.67mm)
(field (name PARTREV) J)
@ -561,42 +564,42 @@
(sheetpath (names /) (tstamps /))
(tstamp 6168CC0D))
(comp (ref C2)
(value 4.7uF)
(value 100nF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 6168D82E))
(comp (ref C3)
(value 4.7uF)
(value 10uF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 6168DFF1))
(comp (ref C4)
(value 4.7uF)
(value 100uF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 6168E679))
(comp (ref C7)
(value 4.7uF)
(value 100nF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 6168EBAE))
(comp (ref C35)
(value 4.7uF)
(value 10uF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 61690951))
(comp (ref C36)
(value 4.7uF)
(value 100uF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
@ -610,13 +613,16 @@
(sheetpath (names /) (tstamps /))
(tstamp 61692A9F))
(comp (ref J1)
(value RFD2b)
(value OPEN)
(footprint hermeslite:JUMPER)
(fields
(field (name Key) CN2S)
(field (name Option) DNI))
(libsource (lib hermeslite) (part RFD2b) (description ""))
(sheetpath (names /) (tstamps /))
(tstamp 6188DA80))
(comp (ref C5)
(value 4.7uF)
(value 0.47uF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
@ -628,7 +634,14 @@
(datasheet ~)
(libsource (lib Device) (part R_Small) (description "Resistor, small symbol"))
(sheetpath (names /) (tstamps /))
(tstamp 619BEA5D)))
(tstamp 619BEA5D))
(comp (ref C37)
(value 100nF)
(footprint Capacitors_SMD:C_0805_HandSoldering)
(datasheet ~)
(libsource (lib Device) (part C) (description "Unpolarized capacitor"))
(sheetpath (names /) (tstamps /))
(tstamp 61932EA6)))
(libparts
(libpart (lib Connector) (part USB_C_Receptacle_USB2.0)
(description "USB 2.0-only Type-C Receptacle connector")
@ -1014,388 +1027,390 @@
(library (logical hermeslite)
(uri C:\dev\git\Radioberry-2.x\hardware\juice/libs/hermeslite.lib)))
(nets
(net (code 1) (name "Net-(D2-Pad1)")
(node (ref R3) (pin 2))
(node (ref D2) (pin 1)))
(net (code 2) (name GND)
(node (ref C15) (pin 2))
(node (ref R8) (pin 1))
(node (ref U3) (pin 13))
(node (ref U3) (pin 11))
(node (ref U3) (pin 10))
(net (code 1) (name "Net-(U3-Pad44)")
(node (ref U3) (pin 44)))
(net (code 2) (name "Net-(U3-Pad45)")
(node (ref U3) (pin 45)))
(net (code 3) (name "Net-(U3-Pad46)")
(node (ref U3) (pin 46)))
(net (code 4) (name "Net-(U3-Pad57)")
(node (ref U3) (pin 57)))
(net (code 5) (name VPHY)
(node (ref U3) (pin 4))
(node (ref C31) (pin 1))
(node (ref C32) (pin 1))
(node (ref FB3) (pin 1)))
(net (code 6) (name "Net-(U3-Pad48)")
(node (ref U3) (pin 48)))
(net (code 7) (name "Net-(U3-Pad52)")
(node (ref U3) (pin 52)))
(net (code 8) (name "Net-(U3-Pad53)")
(node (ref U3) (pin 53)))
(net (code 9) (name "Net-(U3-Pad54)")
(node (ref U3) (pin 54)))
(net (code 10) (name "Net-(U3-Pad55)")
(node (ref U3) (pin 55)))
(net (code 11) (name "Net-(U3-Pad58)")
(node (ref U3) (pin 58)))
(net (code 12) (name "Net-(U3-Pad59)")
(node (ref U3) (pin 59)))
(net (code 13) (name "Net-(U3-Pad60)")
(node (ref U3) (pin 60)))
(net (code 14) (name +5V)
(node (ref J5) (pin 2))
(node (ref C13) (pin 1))
(node (ref J5) (pin 4))
(node (ref DB1) (pin 10))
(node (ref C7) (pin 1))
(node (ref D2) (pin 2))
(node (ref C35) (pin 1))
(node (ref C36) (pin 1))
(node (ref FB4) (pin 1))
(node (ref U2) (pin 3))
(node (ref C14) (pin 1))
(node (ref C16) (pin 1))
(node (ref C15) (pin 1)))
(net (code 15) (name "Net-(FB1-Pad2)")
(node (ref J2) (pin A4))
(node (ref FB1) (pin 2))
(node (ref J2) (pin B9))
(node (ref J2) (pin B4))
(node (ref J2) (pin A9)))
(net (code 16) (name UBUS)
(node (ref C30) (pin 1))
(node (ref C29) (pin 1))
(node (ref U5) (pin 3))
(node (ref FB1) (pin 1))
(node (ref R10) (pin 1))
(node (ref D5) (pin 2))
(node (ref C17) (pin 1)))
(net (code 17) (name "Net-(D2-Pad1)")
(node (ref D2) (pin 1))
(node (ref R3) (pin 2)))
(net (code 18) (name "Net-(D5-Pad1)")
(node (ref D5) (pin 1))
(node (ref R20) (pin 2)))
(net (code 19) (name "Net-(J5-Pad8)")
(node (ref J5) (pin 8)))
(net (code 20) (name "Net-(J5-Pad10)")
(node (ref J5) (pin 10)))
(net (code 21) (name "Net-(R9-Pad1)")
(node (ref U3) (pin 32))
(node (ref R9) (pin 1)))
(net (code 22) (name SI)
(node (ref U3) (pin 30))
(node (ref J5) (pin 11)))
(net (code 23) (name "Net-(CN1-Pad1)")
(node (ref D1) (pin 1))
(node (ref CN1) (pin 1))
(node (ref F1) (pin 2)))
(net (code 24) (name "Net-(C1-Pad1)")
(node (ref F1) (pin 1))
(node (ref C1) (pin 1))
(node (ref Q1) (pin 3)))
(net (code 25) (name "Net-(R13-Pad1)")
(node (ref R13) (pin 1))
(node (ref U4) (pin 4))
(node (ref U3) (pin 62)))
(net (code 26) (name EECS)
(node (ref U4) (pin 5))
(node (ref U3) (pin 63))
(node (ref R12) (pin 1)))
(net (code 27) (name +3V3)
(node (ref R18) (pin 1))
(node (ref R17) (pin 1))
(node (ref C11) (pin 1))
(node (ref R19) (pin 1))
(node (ref U2) (pin 2))
(node (ref J5) (pin 1))
(node (ref R16) (pin 1))
(node (ref DB1) (pin 9))
(node (ref C12) (pin 1)))
(net (code 28) (name "Net-(D3-Pad2)")
(node (ref J2) (pin A7))
(node (ref J2) (pin B7))
(node (ref D3) (pin 2))
(node (ref R6) (pin 2)))
(net (code 29) (name "Net-(J2-PadA8)")
(node (ref J2) (pin A8)))
(net (code 30) (name "Net-(J2-PadB8)")
(node (ref J2) (pin B8)))
(net (code 31) (name "Net-(J2-PadB5)")
(node (ref J2) (pin B5))
(node (ref R4) (pin 2)))
(net (code 32) (name "Net-(J2-PadA5)")
(node (ref J2) (pin A5))
(node (ref R5) (pin 2)))
(net (code 33) (name "Net-(J2-PadS1)")
(node (ref R11) (pin 1))
(node (ref J2) (pin S1)))
(net (code 34) (name "Net-(VR1-Pad3)")
(node (ref VR1) (pin 3)))
(net (code 35) (name "Net-(C5-Pad1)")
(node (ref VR1) (pin 6))
(node (ref C5) (pin 1)))
(net (code 36) (name "Net-(R2-Pad2)")
(node (ref R2) (pin 2))
(node (ref VR1) (pin 2)))
(net (code 37) (name "Net-(R1-Pad2)")
(node (ref VR1) (pin 5))
(node (ref R1) (pin 2))
(node (ref R21) (pin 1)))
(net (code 38) (name "Net-(R21-Pad2)")
(node (ref R22) (pin 1))
(node (ref R21) (pin 2)))
(net (code 39) (name "Net-(J5-Pad17)")
(node (ref J5) (pin 17)))
(net (code 40) (name Vout)
(node (ref VR1) (pin 7))
(node (ref C2) (pin 1))
(node (ref C3) (pin 1))
(node (ref C4) (pin 1))
(node (ref J1) (pin 2))
(node (ref FB4) (pin 2))
(node (ref R22) (pin 2)))
(net (code 41) (name "Net-(C37-Pad1)")
(node (ref VR1) (pin 1))
(node (ref J1) (pin 1))
(node (ref C6) (pin 1))
(node (ref Q1) (pin 2))
(node (ref C37) (pin 1)))
(net (code 42) (name EXT_GPIO_1)
(node (ref J5) (pin 38))
(node (ref R18) (pin 2))
(node (ref DB1) (pin 3))
(node (ref J4) (pin 2)))
(net (code 43) (name GND)
(node (ref U5) (pin 1))
(node (ref C28) (pin 2))
(node (ref C30) (pin 2))
(node (ref C29) (pin 2))
(node (ref C17) (pin 2))
(node (ref U3) (pin 51))
(node (ref U3) (pin 5))
(node (ref U3) (pin 35))
(node (ref U3) (pin 47))
(node (ref R2) (pin 1))
(node (ref C5) (pin 2))
(node (ref C7) (pin 2))
(node (ref C4) (pin 2))
(node (ref C3) (pin 2))
(node (ref C2) (pin 2))
(node (ref R1) (pin 1))
(node (ref VR1) (pin 8))
(node (ref VR1) (pin 4))
(node (ref J5) (pin 20))
(node (ref DB1) (pin 2))
(node (ref DB1) (pin 8))
(node (ref DB1) (pin 6))
(node (ref DB1) (pin 4))
(node (ref J5) (pin 30))
(node (ref J5) (pin 25))
(node (ref J5) (pin 14))
(node (ref J5) (pin 9))
(node (ref J5) (pin 6))
(node (ref J5) (pin 39))
(node (ref J5) (pin 34))
(node (ref C27) (pin 2))
(node (ref C34) (pin 2))
(node (ref C33) (pin 2))
(node (ref C34) (pin 2))
(node (ref C32) (pin 2))
(node (ref R8) (pin 1))
(node (ref C31) (pin 2))
(node (ref U3) (pin 1))
(node (ref C17) (pin 2))
(node (ref C29) (pin 2))
(node (ref C30) (pin 2))
(node (ref C28) (pin 2))
(node (ref C24) (pin 2))
(node (ref U2) (pin 1))
(node (ref C11) (pin 2))
(node (ref C19) (pin 2))
(node (ref C16) (pin 2))
(node (ref C15) (pin 2))
(node (ref C21) (pin 2))
(node (ref C8) (pin 2))
(node (ref C9) (pin 2))
(node (ref C23) (pin 2))
(node (ref C22) (pin 2))
(node (ref C21) (pin 2))
(node (ref C20) (pin 2))
(node (ref C26) (pin 2))
(node (ref C25) (pin 2))
(node (ref C24) (pin 2))
(node (ref U5) (pin 1))
(node (ref C18) (pin 2))
(node (ref D4) (pin 2))
(node (ref D3) (pin 1))
(node (ref C27) (pin 2))
(node (ref U3) (pin 25))
(node (ref C16) (pin 2))
(node (ref C11) (pin 2))
(node (ref U2) (pin 1))
(node (ref U3) (pin 15))
(node (ref C8) (pin 2))
(node (ref C9) (pin 2))
(node (ref U3) (pin 13))
(node (ref U3) (pin 11))
(node (ref U3) (pin 10))
(node (ref C10) (pin 2))
(node (ref C13) (pin 2))
(node (ref Q1) (pin 1))
(node (ref CN1) (pin 2))
(node (ref J2) (pin A1))
(node (ref J2) (pin A12))
(node (ref C1) (pin 2))
(node (ref D1) (pin 2))
(node (ref R3) (pin 1))
(node (ref C18) (pin 2))
(node (ref C6) (pin 2))
(node (ref C12) (pin 2))
(node (ref J6) (pin 1))
(node (ref R4) (pin 1))
(node (ref R5) (pin 1))
(node (ref R11) (pin 2))
(node (ref C14) (pin 2))
(node (ref R20) (pin 1))
(node (ref C12) (pin 2))
(node (ref R4) (pin 1))
(node (ref J2) (pin A1))
(node (ref J5) (pin 34))
(node (ref J8) (pin 1))
(node (ref J5) (pin 30))
(node (ref J9) (pin 1))
(node (ref J2) (pin A12))
(node (ref J5) (pin 20))
(node (ref J7) (pin 1))
(node (ref U4) (pin 2))
(node (ref J5) (pin 14))
(node (ref J5) (pin 25))
(node (ref C2) (pin 2))
(node (ref C37) (pin 2))
(node (ref VR1) (pin 4))
(node (ref VR1) (pin 8))
(node (ref C36) (pin 2))
(node (ref C35) (pin 2))
(node (ref R2) (pin 1))
(node (ref R1) (pin 1))
(node (ref C3) (pin 2))
(node (ref C4) (pin 2))
(node (ref C7) (pin 2))
(node (ref J5) (pin 39))
(node (ref J2) (pin B1))
(node (ref J2) (pin B12))
(node (ref J6) (pin 1))
(node (ref J7) (pin 1))
(node (ref J8) (pin 1))
(node (ref J9) (pin 1))
(node (ref C36) (pin 2))
(node (ref U4) (pin 2)))
(net (code 3) (name "Net-(U3-Pad44)")
(node (ref U3) (pin 44)))
(net (code 4) (name "Net-(U3-Pad45)")
(node (ref U3) (pin 45)))
(net (code 5) (name "Net-(U3-Pad46)")
(node (ref U3) (pin 46)))
(net (code 6) (name "Net-(U3-Pad57)")
(node (ref U3) (pin 57)))
(net (code 7) (name VPHY)
(node (ref U3) (pin 4))
(node (ref FB3) (pin 1))
(node (ref C32) (pin 1))
(node (ref C31) (pin 1)))
(net (code 8) (name "Net-(U3-Pad48)")
(node (ref U3) (pin 48)))
(net (code 9) (name "Net-(U3-Pad52)")
(node (ref U3) (pin 52)))
(net (code 10) (name "Net-(U3-Pad53)")
(node (ref U3) (pin 53)))
(net (code 11) (name "Net-(U3-Pad54)")
(node (ref U3) (pin 54)))
(net (code 12) (name "Net-(U3-Pad55)")
(node (ref U3) (pin 55)))
(net (code 13) (name "Net-(U3-Pad58)")
(node (ref U3) (pin 58)))
(net (code 14) (name "Net-(U3-Pad59)")
(node (ref U3) (pin 59)))
(net (code 15) (name "Net-(U3-Pad60)")
(node (ref U3) (pin 60)))
(net (code 16) (name "Net-(J2-PadS1)")
(node (ref J2) (pin S1))
(node (ref R11) (pin 1)))
(net (code 17) (name UBUS)
(node (ref FB1) (pin 1))
(node (ref D5) (pin 2))
(node (ref U5) (pin 3))
(node (ref C17) (pin 1))
(node (ref C29) (pin 1))
(node (ref R10) (pin 1))
(node (ref C30) (pin 1)))
(net (code 18) (name +5V)
(node (ref C15) (pin 1))
(node (ref C16) (pin 1))
(node (ref U2) (pin 3))
(node (ref C35) (pin 1))
(node (ref J5) (pin 4))
(node (ref DB1) (pin 10))
(node (ref C13) (pin 1))
(node (ref J5) (pin 2))
(node (ref FB4) (pin 1))
(node (ref D2) (pin 2))
(node (ref C7) (pin 1))
(node (ref C14) (pin 1))
(node (ref C36) (pin 1)))
(net (code 19) (name "Net-(D5-Pad1)")
(node (ref R20) (pin 2))
(node (ref D5) (pin 1)))
(net (code 20) (name "Net-(J5-Pad8)")
(node (ref J5) (pin 8)))
(net (code 21) (name "Net-(CN1-Pad1)")
(node (ref D1) (pin 1))
(node (ref F1) (pin 2))
(node (ref CN1) (pin 1)))
(net (code 22) (name "Net-(C1-Pad1)")
(node (ref F1) (pin 1))
(node (ref Q1) (pin 3))
(node (ref C1) (pin 1)))
(net (code 23) (name +3V3)
(node (ref R18) (pin 1))
(node (ref DB1) (pin 9))
(node (ref C12) (pin 1))
(node (ref R19) (pin 1))
(node (ref R17) (pin 1))
(node (ref J5) (pin 1))
(node (ref C11) (pin 1))
(node (ref U2) (pin 2))
(node (ref R16) (pin 1)))
(net (code 24) (name "Net-(J2-PadB8)")
(node (ref J2) (pin B8)))
(net (code 25) (name "Net-(D3-Pad2)")
(node (ref D3) (pin 2))
(node (ref R6) (pin 2))
(node (ref J2) (pin A7))
(node (ref J2) (pin B7)))
(net (code 26) (name "Net-(FB1-Pad2)")
(node (ref J2) (pin B9))
(node (ref J2) (pin A4))
(node (ref J2) (pin B4))
(node (ref FB1) (pin 2))
(node (ref J2) (pin A9)))
(net (code 27) (name "Net-(J2-PadA8)")
(node (ref J2) (pin A8)))
(net (code 28) (name "Net-(J2-PadB5)")
(node (ref J2) (pin B5))
(node (ref R4) (pin 2)))
(net (code 29) (name "Net-(J2-PadA5)")
(node (ref J2) (pin A5))
(node (ref R5) (pin 2)))
(net (code 30) (name EECS)
(node (ref U3) (pin 63))
(node (ref U4) (pin 5))
(node (ref R12) (pin 1)))
(net (code 31) (name "Net-(R13-Pad1)")
(node (ref U4) (pin 4))
(node (ref R13) (pin 1))
(node (ref U3) (pin 62)))
(net (code 32) (name "Net-(J5-Pad10)")
(node (ref J5) (pin 10)))
(net (code 33) (name "Net-(J4-Pad1)")
(node (ref J4) (pin 1))
(node (ref J5) (pin 5)))
(net (code 34) (name "Net-(R2-Pad2)")
(node (ref R2) (pin 2))
(node (ref VR1) (pin 2)))
(net (code 35) (name "Net-(VR1-Pad3)")
(node (ref VR1) (pin 3)))
(net (code 36) (name "Net-(R1-Pad2)")
(node (ref R21) (pin 1))
(node (ref VR1) (pin 5))
(node (ref R1) (pin 2)))
(net (code 37) (name "Net-(J5-Pad17)")
(node (ref J5) (pin 17)))
(net (code 38) (name "Net-(C5-Pad1)")
(node (ref C5) (pin 1))
(node (ref VR1) (pin 6)))
(net (code 39) (name "Net-(R21-Pad2)")
(node (ref R21) (pin 2))
(node (ref R22) (pin 1)))
(net (code 40) (name "Net-(C6-Pad1)")
(node (ref Q1) (pin 2))
(node (ref J1) (pin 1))
(node (ref C6) (pin 1))
(node (ref VR1) (pin 1)))
(net (code 41) (name Vout)
(node (ref C3) (pin 1))
(node (ref J1) (pin 2))
(node (ref R22) (pin 2))
(node (ref C4) (pin 1))
(node (ref C2) (pin 1))
(node (ref VR1) (pin 7))
(node (ref FB4) (pin 2)))
(net (code 42) (name P_DATA0)
(node (ref U3) (pin 39))
(node (ref J5) (pin 33)))
(net (code 43) (name DATA0)
(node (ref J5) (pin 35))
(node (ref U3) (pin 16)))
(net (code 44) (name EXT_GPIO_2)
(node (ref DB1) (pin 5))
(node (ref R19) (pin 2))
(node (ref J5) (pin 36)))
(net (code 45) (name EXT_GPIO_1)
(node (ref DB1) (pin 3))
(node (ref J4) (pin 2))
(node (ref J5) (pin 38))
(node (ref R18) (pin 2)))
(net (code 46) (name EXT_GPIO_0)
(node (ref J5) (pin 40))
(node (ref R17) (pin 2))
(node (ref J5) (pin 9))
(node (ref J5) (pin 6))
(node (ref C5) (pin 2))
(node (ref D1) (pin 2))
(node (ref R3) (pin 1))
(node (ref DB1) (pin 6))
(node (ref C13) (pin 2))
(node (ref Q1) (pin 1))
(node (ref DB1) (pin 8))
(node (ref DB1) (pin 4))
(node (ref DB1) (pin 2))
(node (ref R20) (pin 1))
(node (ref C14) (pin 2))
(node (ref C1) (pin 2))
(node (ref CN1) (pin 2)))
(net (code 44) (name EXT_GPIO_0)
(node (ref J3) (pin 2))
(node (ref R17) (pin 2))
(node (ref J5) (pin 40))
(node (ref DB1) (pin 1)))
(net (code 47) (name "Net-(R9-Pad1)")
(node (ref U3) (pin 32))
(node (ref R9) (pin 1)))
(net (code 48) (name SI)
(node (ref J5) (pin 11))
(node (ref U3) (pin 30)))
(net (code 49) (name NCONFIG)
(node (ref U3) (pin 40))
(node (ref J5) (pin 13)))
(net (code 50) (name DCLK)
(node (ref J5) (pin 18))
(node (ref U3) (pin 38)))
(net (code 51) (name "Net-(J3-Pad1)")
(node (ref J3) (pin 1))
(node (ref J5) (pin 3)))
(net (code 52) (name EXT_CLK_IN)
(node (ref DB1) (pin 7))
(node (ref R16) (pin 2))
(node (ref J5) (pin 31)))
(net (code 53) (name CLKOUT)
(node (ref J5) (pin 7))
(node (ref R9) (pin 2)))
(net (code 54) (name "Net-(U3-Pad34)")
(node (ref U3) (pin 34)))
(net (code 55) (name "Net-(U3-Pad36)")
(node (ref U3) (pin 36)))
(net (code 56) (name NSTATUS)
(net (code 45) (name "Net-(J4-Pad1)")
(node (ref J5) (pin 5))
(node (ref J4) (pin 1)))
(net (code 46) (name NSTATUS)
(node (ref J5) (pin 37))
(node (ref U3) (pin 41)))
(net (code 47) (name EXT_GPIO_2)
(node (ref DB1) (pin 5))
(node (ref J5) (pin 36))
(node (ref R19) (pin 2)))
(net (code 48) (name NCONFIG)
(node (ref U3) (pin 40))
(node (ref J5) (pin 13)))
(net (code 49) (name DCLK)
(node (ref U3) (pin 38))
(node (ref J5) (pin 18)))
(net (code 50) (name "Net-(J3-Pad1)")
(node (ref J5) (pin 3))
(node (ref J3) (pin 1)))
(net (code 51) (name P_DATA0)
(node (ref U3) (pin 39))
(node (ref J5) (pin 33)))
(net (code 52) (name DATA0)
(node (ref J5) (pin 35))
(node (ref U3) (pin 16)))
(net (code 53) (name EXT_CLK_IN)
(node (ref DB1) (pin 7))
(node (ref J5) (pin 31))
(node (ref R16) (pin 2)))
(net (code 54) (name CLKOUT)
(node (ref J5) (pin 7))
(node (ref R9) (pin 2)))
(net (code 55) (name "Net-(U3-Pad36)")
(node (ref U3) (pin 36)))
(net (code 56) (name "Net-(U3-Pad34)")
(node (ref U3) (pin 34)))
(net (code 57) (name CONF_DONE)
(node (ref J5) (pin 15))
(node (ref U3) (pin 43)))
(net (code 58) (name VCC3V3)
(node (ref FB3) (pin 2))
(node (ref U3) (pin 31))
(node (ref R14) (pin 2))
(node (ref U3) (pin 56))
(node (ref U3) (pin 50))
(node (ref U3) (pin 42))
(node (ref U5) (pin 2))
(node (ref R12) (pin 2))
(node (ref R13) (pin 2))
(node (ref FB2) (pin 2))
(node (ref C28) (pin 1))
(node (ref U3) (pin 20))
(node (ref C27) (pin 1))
(node (ref C22) (pin 1))
(node (ref C10) (pin 1))
(node (ref C23) (pin 1))
(node (ref C21) (pin 1))
(node (ref C20) (pin 1))
(node (ref U4) (pin 6)))
(net (code 59) (name DATA5)
(node (ref U3) (pin 22))
(node (ref J5) (pin 26)))
(net (code 60) (name "Net-(R10-Pad2)")
(node (ref U3) (pin 14))
(node (ref R10) (pin 2)))
(net (code 61) (name DATA1)
(node (ref J5) (pin 32))
(node (ref U3) (pin 17)))
(net (code 62) (name DATA2)
(node (ref J5) (pin 29))
(node (ref U3) (pin 18)))
(net (code 63) (name DATA3)
(node (ref U3) (pin 19))
(node (ref J5) (pin 27)))
(net (code 64) (name "Net-(C18-Pad1)")
(node (ref C18) (pin 1))
(node (ref Y1) (pin 1))
(node (ref U3) (pin 2)))
(net (code 65) (name DATA4)
(node (ref J5) (pin 28))
(node (ref U3) (pin 21)))
(net (code 66) (name "Net-(R8-Pad2)")
(node (ref U3) (pin 6))
(node (ref R8) (pin 2)))
(net (code 67) (name DATA6)
(node (ref J5) (pin 23))
(node (ref U3) (pin 23)))
(net (code 68) (name DATA7)
(node (ref U3) (pin 24))
(node (ref J5) (pin 24)))
(net (code 69) (name RXF#)
(node (ref U3) (pin 26))
(node (ref J5) (pin 21)))
(net (code 70) (name TXE#)
(node (ref U3) (pin 27))
(node (ref J5) (pin 22)))
(net (code 71) (name RD#)
(node (ref U3) (pin 28))
(node (ref J5) (pin 19)))
(net (code 72) (name WR#)
(node (ref U3) (pin 29))
(node (ref J5) (pin 16)))
(net (code 73) (name OE#)
(node (ref U3) (pin 33))
(node (ref J5) (pin 12)))
(net (code 74) (name "Net-(D4-Pad1)")
(node (ref J2) (pin B6))
(node (ref J2) (pin A6))
(node (ref D4) (pin 1))
(node (ref R7) (pin 2)))
(net (code 75) (name "Net-(R15-Pad1)")
(node (ref R15) (pin 1))
(node (ref U4) (pin 3))
(node (ref U3) (pin 61)))
(net (code 76) (name "Net-(R14-Pad1)")
(node (ref R14) (pin 1))
(node (ref U4) (pin 1))
(node (ref R15) (pin 2)))
(net (code 77) (name "Net-(R7-Pad1)")
(node (ref U3) (pin 8))
(node (ref R7) (pin 1)))
(net (code 78) (name VPLL)
(node (ref FB2) (pin 1))
(node (ref U3) (pin 9))
(node (ref C33) (pin 1))
(node (ref C34) (pin 1)))
(net (code 79) (name "Net-(R6-Pad1)")
(node (ref R6) (pin 1))
(node (ref U3) (pin 7)))
(net (code 80) (name "Net-(C19-Pad1)")
(node (ref Y1) (pin 2))
(node (ref U3) (pin 3))
(node (ref C19) (pin 1)))
(net (code 81) (name VCORE)
(node (ref U3) (pin 49))
(node (ref U3) (pin 43))
(node (ref J5) (pin 15)))
(net (code 58) (name VCORE)
(node (ref C9) (pin 1))
(node (ref C8) (pin 1))
(node (ref U3) (pin 37))
(node (ref U3) (pin 64))
(node (ref C26) (pin 1))
(node (ref C25) (pin 1))
(node (ref C24) (pin 1))
(node (ref U3) (pin 49))
(node (ref U3) (pin 12))
(node (ref C9) (pin 1))
(node (ref C8) (pin 1)))))
(node (ref C24) (pin 1))
(node (ref C25) (pin 1))
(node (ref C26) (pin 1)))
(net (code 59) (name VCC3V3)
(node (ref FB3) (pin 2))
(node (ref U3) (pin 31))
(node (ref U3) (pin 56))
(node (ref R13) (pin 2))
(node (ref U4) (pin 6))
(node (ref U3) (pin 50))
(node (ref C28) (pin 1))
(node (ref C27) (pin 1))
(node (ref FB2) (pin 2))
(node (ref R12) (pin 2))
(node (ref U3) (pin 42))
(node (ref U3) (pin 20))
(node (ref C10) (pin 1))
(node (ref C23) (pin 1))
(node (ref C22) (pin 1))
(node (ref C21) (pin 1))
(node (ref C20) (pin 1))
(node (ref R14) (pin 2))
(node (ref U5) (pin 2)))
(net (code 60) (name DATA5)
(node (ref U3) (pin 22))
(node (ref J5) (pin 26)))
(net (code 61) (name "Net-(R10-Pad2)")
(node (ref U3) (pin 14))
(node (ref R10) (pin 2)))
(net (code 62) (name DATA1)
(node (ref J5) (pin 32))
(node (ref U3) (pin 17)))
(net (code 63) (name DATA2)
(node (ref U3) (pin 18))
(node (ref J5) (pin 29)))
(net (code 64) (name DATA3)
(node (ref J5) (pin 27))
(node (ref U3) (pin 19)))
(net (code 65) (name "Net-(C18-Pad1)")
(node (ref Y1) (pin 1))
(node (ref U3) (pin 2))
(node (ref C18) (pin 1)))
(net (code 66) (name DATA4)
(node (ref J5) (pin 28))
(node (ref U3) (pin 21)))
(net (code 67) (name "Net-(R8-Pad2)")
(node (ref U3) (pin 6))
(node (ref R8) (pin 2)))
(net (code 68) (name DATA6)
(node (ref U3) (pin 23))
(node (ref J5) (pin 23)))
(net (code 69) (name DATA7)
(node (ref J5) (pin 24))
(node (ref U3) (pin 24)))
(net (code 70) (name RXF#)
(node (ref J5) (pin 21))
(node (ref U3) (pin 26)))
(net (code 71) (name TXE#)
(node (ref J5) (pin 22))
(node (ref U3) (pin 27)))
(net (code 72) (name RD#)
(node (ref U3) (pin 28))
(node (ref J5) (pin 19)))
(net (code 73) (name WR#)
(node (ref J5) (pin 16))
(node (ref U3) (pin 29)))
(net (code 74) (name OE#)
(node (ref U3) (pin 33))
(node (ref J5) (pin 12)))
(net (code 75) (name "Net-(D4-Pad1)")
(node (ref R7) (pin 2))
(node (ref D4) (pin 1))
(node (ref J2) (pin B6))
(node (ref J2) (pin A6)))
(net (code 76) (name "Net-(R15-Pad1)")
(node (ref U3) (pin 61))
(node (ref R15) (pin 1))
(node (ref U4) (pin 3)))
(net (code 77) (name "Net-(R14-Pad1)")
(node (ref U4) (pin 1))
(node (ref R14) (pin 1))
(node (ref R15) (pin 2)))
(net (code 78) (name "Net-(R7-Pad1)")
(node (ref R7) (pin 1))
(node (ref U3) (pin 8)))
(net (code 79) (name VPLL)
(node (ref C33) (pin 1))
(node (ref C34) (pin 1))
(node (ref FB2) (pin 1))
(node (ref U3) (pin 9)))
(net (code 80) (name "Net-(R6-Pad1)")
(node (ref R6) (pin 1))
(node (ref U3) (pin 7)))
(net (code 81) (name "Net-(C19-Pad1)")
(node (ref C19) (pin 1))
(node (ref Y1) (pin 2))
(node (ref U3) (pin 3)))))

Wyświetl plik

@ -1,4 +1,4 @@
update=14-11-2021 13:57:31
update=16-11-2021 20:37:17
version=1
last_client=kicad
[general]

Wyświetl plik

@ -1261,8 +1261,8 @@ P 7865 1380
AR Path="/5FF48C63/602C98B8" Ref="C?" Part="1"
AR Path="/602C98B8" Ref="C6" Part="1"
F 0 "C6" H 7715 1455 50 0000 L CNN
F 1 "47uF" H 7640 1280 50 0000 L CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 7903 1230 50 0001 C CNN
F 1 "47uF" H 7800 1165 50 0000 L CNN
F 2 "Capacitors_Tantalum_SMD:CP_Tantalum_Case-V_EIA-7343-20_Hand" H 7903 1230 50 0001 C CNN
F 3 "~" H 7865 1380 50 0001 C CNN
1 7865 1380
1 0 0 -1
@ -1758,7 +1758,7 @@ AR Path="/5FF48C63/602A1BB8" Ref="C?" Part="1"
AR Path="/602A1BB8" Ref="C1" Part="1"
F 0 "C1" H 7055 1355 50 0000 L CNN
F 1 "10uF" H 6995 1195 50 0000 L CNN
F 2 "Capacitors_SMD:C_0603_HandSoldering" H 7218 1130 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 7218 1130 50 0001 C CNN
F 3 "~" H 7180 1280 50 0001 C CNN
1 7180 1280
1 0 0 -1
@ -1813,11 +1813,11 @@ Wire Wire Line
7455 1430 7455 1530
Connection ~ 7455 1530
Wire Wire Line
7455 1530 7865 1530
7455 1530 7660 1530
Wire Wire Line
7865 1230 7865 1130
Wire Wire Line
7655 1130 7865 1130
7655 1130 7660 1130
Text GLabel 10120 1650 0 50 Input ~ 0
+5V
Wire Wire Line
@ -1880,7 +1880,7 @@ P 10185 5725
AR Path="/5FF48C63/61677510" Ref="R?" Part="1"
AR Path="/61677510" Ref="R17" Part="1"
F 0 "R17" H 10315 5620 50 0000 C CNN
F 1 "4K7" H 10265 5850 50 0000 C CNN
F 1 "4.7K" H 10265 5850 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" H 10185 5725 50 0001 C CNN
F 3 "~" H 10185 5725 50 0001 C CNN
F 4 "DNI" H 10315 5735 50 0000 C CNN "Option"
@ -1894,7 +1894,7 @@ P 10335 5725
AR Path="/5FF48C63/61677C69" Ref="R?" Part="1"
AR Path="/61677C69" Ref="R18" Part="1"
F 0 "R18" H 10350 5615 50 0000 C CNN
F 1 "4K7" H 10310 5840 50 0000 C CNN
F 1 "4.7K" H 10310 5840 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" H 10335 5725 50 0001 C CNN
F 3 "~" H 10335 5725 50 0001 C CNN
1 10335 5725
@ -1907,7 +1907,7 @@ P 10495 5725
AR Path="/5FF48C63/6167804A" Ref="R?" Part="1"
AR Path="/6167804A" Ref="R19" Part="1"
F 0 "R19" H 10380 5680 50 0000 C CNN
F 1 "4K7" H 10410 5840 50 0000 C CNN
F 1 "4.7K" H 10410 5840 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" H 10495 5725 50 0001 C CNN
F 3 "~" H 10495 5725 50 0001 C CNN
1 10495 5725
@ -1988,6 +1988,7 @@ F 0 "DB1" V 8029 6670 39 0000 C CNN
F 1 "IO10" H 8120 7665 39 0001 C CNN
F 2 "hermeslite:5x2" H 8195 5915 60 0001 C CNN
F 3 "" H 8195 5915 60 0000 C CNN
F 4 "DNI" V 8115 5590 50 0000 C CNN "Option"
1 8195 6265
0 -1 -1 0
$EndComp
@ -2118,6 +2119,7 @@ F 4 "Manufacturer recommendations" H 8900 1455 50 0001 L BNN "STANDARD"
F 5 "J" H 8900 1455 50 0001 L BNN "PARTREV"
F 6 "4.67mm" H 8900 1455 50 0001 L BNN "MAXIMUM_PACKAGE_HEIGHT"
F 7 "Texas Instruments" H 8900 1455 50 0001 L BNN "MANUFACTURER"
F 8 "LMZ22005TZ" H 8900 1455 50 0001 C CNN "Key"
1 8900 1455
1 0 0 -1
$EndComp
@ -2245,7 +2247,7 @@ P 10795 1305
AR Path="/5FF48C63/61692A9F" Ref="FB?" Part="1"
AR Path="/61692A9F" Ref="FB4" Part="1"
F 0 "FB4" H 10658 1259 50 0000 R CNN
F 1 "600R" H 10658 1350 50 0000 R CNN
F 1 "600R" H 10755 1480 50 0000 R CNN
F 2 "hermeslite:SMD-0603" V 10725 1305 50 0001 C CNN
F 3 "~" H 10795 1305 50 0001 C CNN
1 10795 1305
@ -2364,10 +2366,12 @@ $Comp
L hermeslite:RFD2b J1
U 1 1 6188DA80
P 8875 730
F 0 "J1" V 8882 552 39 0000 R CNN
F 1 "RFD2b" H 8825 930 39 0001 C CNN
F 0 "J1" V 8790 1010 39 0000 R CNN
F 1 "OPEN" H 8825 930 39 0001 C CNN
F 2 "hermeslite:JUMPER" H 8875 380 60 0001 C CNN
F 3 "" H 8875 380 60 0000 C CNN
F 4 "DNI" V 8720 745 50 0000 C CNN "Option"
F 5 "CN2S" V 8875 730 50 0001 C CNN "Key"
1 8875 730
0 -1 1 0
$EndComp
@ -2448,4 +2452,25 @@ Wire Wire Line
8070 605 7850 605
Text Notes 9745 980 0 50 ~ 0
0.8 V × (1 + R21+R22 / R1) = 5V
$Comp
L Device:C C?
U 1 1 61932EA6
P 7660 1380
AR Path="/5FF48C63/61932EA6" Ref="C?" Part="1"
AR Path="/61932EA6" Ref="C37" Part="1"
F 0 "C37" H 7500 1290 50 0000 L CNN
F 1 "100nF" H 7550 1165 50 0000 L CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 7698 1230 50 0001 C CNN
F 3 "~" H 7660 1380 50 0001 C CNN
1 7660 1380
1 0 0 -1
$EndComp
Connection ~ 7660 1530
Wire Wire Line
7660 1530 7865 1530
Wire Wire Line
7660 1230 7660 1130
Connection ~ 7660 1130
Wire Wire Line
7660 1130 7865 1130
$EndSCHEMATC

Wyświetl plik

@ -0,0 +1,37 @@
Radioberry juice beta 2 Release
## Project Description
The radioberry is a software defined amateur radio; which can be plugged in to this Juice board.
The USB-C connection can be used to connect the board to a host computer.
The folders present in this zip archive contains files for fabrication of the Radioberry juice.
## Folders
- scheme
contains the scheme.
- pcb
contains the gerber pcb files and drill file.
- position
position files top and bottom
- bom
contains the BOM.
- images
some images to show how the beta 1 was built; especially added for showing the large connector orientation.
## Assembly
!!!! If things are not clear please ask!
See the pictures in the image folder for the J5 2x20pins connector.
## Contact
Please contact Johan, PA3GSB pa3gsb@gmail.com with any questions.

Plik binarny nie jest wyświetlany.

Plik binarny nie jest wyświetlany.

Po

Szerokość:  |  Wysokość:  |  Rozmiar: 1.3 MiB

Wyświetl plik

@ -0,0 +1,232 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.8)-1*%
%TF.CreationDate,2021-11-16T20:14:35+01:00*%
%TF.ProjectId,radioberry-juice,72616469-6f62-4657-9272-792d6a756963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.8)-1) date 2021-11-16 20:14:35*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,0.750000X1.200000*%
%ADD11C,1.850000*%
%ADD12R,1.850000X1.850000*%
%ADD13C,1.524000*%
%ADD14C,2.200000*%
%ADD15C,2.600000*%
%ADD16C,3.000000*%
%ADD17C,0.670000*%
%ADD18C,5.300000*%
%ADD19R,1.143000X0.635000*%
%ADD20R,1.500000X1.250000*%
%ADD21C,1.800000*%
G04 APERTURE END LIST*
D10*
%TO.C,C22*%
X121250000Y-92500000D03*
X121250000Y-90600000D03*
%TD*%
D11*
%TO.C,DB1*%
X101590000Y-124690000D03*
X101590000Y-122150000D03*
X104130000Y-124690000D03*
X104130000Y-122150000D03*
X106670000Y-124690000D03*
X106670000Y-122150000D03*
X109210000Y-124690000D03*
X109210000Y-122150000D03*
X111750000Y-124690000D03*
D12*
X111750000Y-122150000D03*
%TD*%
D13*
%TO.C,J5*%
X146630000Y-73230000D03*
X146630000Y-75770000D03*
X144090000Y-73230000D03*
X144090000Y-75770000D03*
X141550000Y-73230000D03*
X141550000Y-75770000D03*
X139010000Y-73230000D03*
X139010000Y-75770000D03*
X136470000Y-73230000D03*
X136470000Y-75770000D03*
X133930000Y-73230000D03*
X133930000Y-75770000D03*
X131390000Y-73230000D03*
X131390000Y-75770000D03*
X128850000Y-73230000D03*
X128850000Y-75770000D03*
X126310000Y-73230000D03*
X126310000Y-75770000D03*
X123770000Y-73230000D03*
X123770000Y-75770000D03*
X121230000Y-73230000D03*
X121230000Y-75770000D03*
X118690000Y-73230000D03*
X118690000Y-75770000D03*
X116150000Y-73230000D03*
X116150000Y-75770000D03*
X113610000Y-73230000D03*
X113610000Y-75770000D03*
X111070000Y-73230000D03*
X111070000Y-75770000D03*
X108530000Y-73230000D03*
X108530000Y-75770000D03*
X105990000Y-73230000D03*
X105990000Y-75770000D03*
X103450000Y-73230000D03*
X103450000Y-75770000D03*
X100910000Y-73230000D03*
X100910000Y-75770000D03*
X98370000Y-73230000D03*
X98370000Y-75770000D03*
%TD*%
D14*
%TO.C,CN1*%
X149400000Y-114100000D03*
X144400000Y-114100000D03*
D15*
X144300000Y-109400000D03*
X147450000Y-114100000D03*
D16*
X141300000Y-114100000D03*
%TD*%
D17*
%TO.C,J2*%
X149850000Y-96100000D03*
X149850000Y-101900000D03*
G36*
G01*
X153800000Y-103820000D02*
X153200000Y-103820000D01*
G75*
G02*
X152700000Y-103320000I0J500000D01*
G01*
X152700000Y-103320000D01*
G75*
G02*
X153200000Y-102820000I500000J0D01*
G01*
X153800000Y-102820000D01*
G75*
G02*
X154300000Y-103320000I0J-500000D01*
G01*
X154300000Y-103320000D01*
G75*
G02*
X153800000Y-103820000I-500000J0D01*
G01*
G37*
G36*
G01*
X153800000Y-95180000D02*
X153200000Y-95180000D01*
G75*
G02*
X152700000Y-94680000I0J500000D01*
G01*
X152700000Y-94680000D01*
G75*
G02*
X153200000Y-94180000I500000J0D01*
G01*
X153800000Y-94180000D01*
G75*
G02*
X154300000Y-94680000I0J-500000D01*
G01*
X154300000Y-94680000D01*
G75*
G02*
X153800000Y-95180000I-500000J0D01*
G01*
G37*
G36*
G01*
X149620000Y-95180000D02*
X149020000Y-95180000D01*
G75*
G02*
X148520000Y-94680000I0J500000D01*
G01*
X148520000Y-94680000D01*
G75*
G02*
X149020000Y-94180000I500000J0D01*
G01*
X149620000Y-94180000D01*
G75*
G02*
X150120000Y-94680000I0J-500000D01*
G01*
X150120000Y-94680000D01*
G75*
G02*
X149620000Y-95180000I-500000J0D01*
G01*
G37*
G36*
G01*
X149620000Y-103820000D02*
X149020000Y-103820000D01*
G75*
G02*
X148520000Y-103320000I0J500000D01*
G01*
X148520000Y-103320000D01*
G75*
G02*
X149020000Y-102820000I500000J0D01*
G01*
X149620000Y-102820000D01*
G75*
G02*
X150120000Y-103320000I0J-500000D01*
G01*
X150120000Y-103320000D01*
G75*
G02*
X149620000Y-103820000I-500000J0D01*
G01*
G37*
%TD*%
D18*
%TO.C,J9*%
X151500000Y-123500000D03*
%TD*%
%TO.C,J8*%
X93500000Y-123500000D03*
%TD*%
%TO.C,J7*%
X151500000Y-74500000D03*
%TD*%
%TO.C,J6*%
X93500000Y-74500000D03*
%TD*%
D19*
%TO.C,J3*%
X111550000Y-119362000D03*
X111550000Y-117838000D03*
%TD*%
%TO.C,J4*%
X109250000Y-117938000D03*
X109250000Y-119462000D03*
%TD*%
D20*
%TO.C,C35*%
X92900000Y-80900000D03*
X95400000Y-80900000D03*
%TD*%
D21*
%TO.C,J1*%
X123050000Y-125000000D03*
X120510000Y-125000000D03*
%TD*%
M02*

Wyświetl plik

@ -0,0 +1,37 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.8)-1*%
%TF.CreationDate,2021-11-16T20:14:35+01:00*%
%TF.ProjectId,radioberry-juice,72616469-6f62-4657-9272-792d6a756963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.8)-1) date 2021-11-16 20:14:35*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,0.750000X1.200000*%
%ADD11R,1.143000X0.635000*%
%ADD12R,1.500000X1.250000*%
G04 APERTURE END LIST*
D10*
%TO.C,C22*%
X121250000Y-92500000D03*
X121250000Y-90600000D03*
%TD*%
D11*
%TO.C,J3*%
X111550000Y-119362000D03*
X111550000Y-117838000D03*
%TD*%
%TO.C,J4*%
X109250000Y-117938000D03*
X109250000Y-119462000D03*
%TD*%
D12*
%TO.C,C35*%
X92900000Y-80900000D03*
X95400000Y-80900000D03*
%TD*%
M02*

Wyświetl plik

@ -0,0 +1,777 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.8)-1*%
%TF.CreationDate,2021-11-16T20:14:35+01:00*%
%TF.ProjectId,radioberry-juice,72616469-6f62-4657-9272-792d6a756963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.8)-1) date 2021-11-16 20:14:35*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.120000*%
%ADD11C,0.152400*%
%ADD12C,0.100000*%
%ADD13C,0.150000*%
G04 APERTURE END LIST*
D10*
%TO.C,C22*%
X121850000Y-91900000D02*
X121850000Y-91200000D01*
X120650000Y-91200000D02*
X120650000Y-91900000D01*
D11*
%TO.C,J5*%
X97100000Y-76405000D02*
X97735000Y-77040000D01*
X97735000Y-77040000D02*
X99005000Y-77040000D01*
X99005000Y-77040000D02*
X99640000Y-76405000D01*
X99640000Y-76405000D02*
X100275000Y-77040000D01*
X100275000Y-77040000D02*
X101545000Y-77040000D01*
X101545000Y-77040000D02*
X102180000Y-76405000D01*
X102180000Y-76405000D02*
X102815000Y-77040000D01*
X102815000Y-77040000D02*
X104085000Y-77040000D01*
X104085000Y-77040000D02*
X104720000Y-76405000D01*
X104720000Y-76405000D02*
X105355000Y-77040000D01*
X105355000Y-77040000D02*
X106625000Y-77040000D01*
X106625000Y-77040000D02*
X107260000Y-76405000D01*
X107260000Y-76405000D02*
X107895000Y-77040000D01*
X107895000Y-77040000D02*
X109165000Y-77040000D01*
X109165000Y-77040000D02*
X109800000Y-76405000D01*
X109800000Y-76405000D02*
X110435000Y-77040000D01*
X110435000Y-77040000D02*
X111705000Y-77040000D01*
X111705000Y-77040000D02*
X112340000Y-76405000D01*
X97100000Y-76405000D02*
X97100000Y-72595000D01*
X97100000Y-72595000D02*
X97735000Y-71960000D01*
X97735000Y-71960000D02*
X99005000Y-71960000D01*
X99005000Y-71960000D02*
X99640000Y-72595000D01*
X99640000Y-72595000D02*
X100275000Y-71960000D01*
X100275000Y-71960000D02*
X101545000Y-71960000D01*
X101545000Y-71960000D02*
X102180000Y-72595000D01*
X102180000Y-72595000D02*
X102815000Y-71960000D01*
X102815000Y-71960000D02*
X104085000Y-71960000D01*
X104085000Y-71960000D02*
X104720000Y-72595000D01*
X104720000Y-72595000D02*
X105355000Y-71960000D01*
X105355000Y-71960000D02*
X106625000Y-71960000D01*
X106625000Y-71960000D02*
X107260000Y-72595000D01*
X107260000Y-72595000D02*
X107895000Y-71960000D01*
X107895000Y-71960000D02*
X109165000Y-71960000D01*
X109165000Y-71960000D02*
X109800000Y-72595000D01*
X109800000Y-72595000D02*
X110435000Y-71960000D01*
X110435000Y-71960000D02*
X111705000Y-71960000D01*
X111705000Y-71960000D02*
X112340000Y-72595000D01*
X112340000Y-72595000D02*
X112975000Y-71960000D01*
X112975000Y-71960000D02*
X114245000Y-71960000D01*
X114245000Y-71960000D02*
X114880000Y-72595000D01*
X114880000Y-72595000D02*
X115515000Y-71960000D01*
X115515000Y-71960000D02*
X116785000Y-71960000D01*
X116785000Y-71960000D02*
X117420000Y-72595000D01*
X117420000Y-72595000D02*
X118055000Y-71960000D01*
X118055000Y-71960000D02*
X119325000Y-71960000D01*
X119325000Y-71960000D02*
X119960000Y-72595000D01*
X119960000Y-72595000D02*
X120595000Y-71960000D01*
X120595000Y-71960000D02*
X121865000Y-71960000D01*
X121865000Y-71960000D02*
X122500000Y-72595000D01*
X122500000Y-72595000D02*
X123135000Y-71960000D01*
X123135000Y-71960000D02*
X124405000Y-71960000D01*
X124405000Y-71960000D02*
X125040000Y-72595000D01*
X125040000Y-72595000D02*
X125675000Y-71960000D01*
X125675000Y-71960000D02*
X126945000Y-71960000D01*
X127580000Y-72595000D02*
X126945000Y-71960000D01*
X127580000Y-72595000D02*
X128215000Y-71960000D01*
X129485000Y-71960000D02*
X128215000Y-71960000D01*
X129485000Y-71960000D02*
X130120000Y-72595000D01*
X130120000Y-72595000D02*
X130755000Y-71960000D01*
X132025000Y-71960000D02*
X130755000Y-71960000D01*
X132025000Y-71960000D02*
X132660000Y-72595000D01*
X132660000Y-72595000D02*
X133295000Y-71960000D01*
X134565000Y-71960000D02*
X133295000Y-71960000D01*
X134565000Y-71960000D02*
X135200000Y-72595000D01*
X135200000Y-72595000D02*
X135835000Y-71960000D01*
X137105000Y-71960000D02*
X135835000Y-71960000D01*
X137105000Y-71960000D02*
X137740000Y-72595000D01*
X137740000Y-72595000D02*
X138375000Y-71960000D01*
X139645000Y-71960000D02*
X138375000Y-71960000D01*
X139645000Y-71960000D02*
X140280000Y-72595000D01*
X140280000Y-72595000D02*
X140915000Y-71960000D01*
X142185000Y-71960000D02*
X140915000Y-71960000D01*
X142185000Y-71960000D02*
X142820000Y-72595000D01*
X142820000Y-72595000D02*
X143455000Y-71960000D01*
X144725000Y-71960000D02*
X143455000Y-71960000D01*
X144725000Y-71960000D02*
X145360000Y-72595000D01*
X145360000Y-76405000D02*
X144725000Y-77040000D01*
X144725000Y-77040000D02*
X143455000Y-77040000D01*
X142820000Y-76405000D02*
X143455000Y-77040000D01*
X142820000Y-76405000D02*
X142185000Y-77040000D01*
X142185000Y-77040000D02*
X140915000Y-77040000D01*
X140280000Y-76405000D02*
X140915000Y-77040000D01*
X140280000Y-76405000D02*
X139645000Y-77040000D01*
X139645000Y-77040000D02*
X138375000Y-77040000D01*
X137740000Y-76405000D02*
X138375000Y-77040000D01*
X137740000Y-76405000D02*
X137105000Y-77040000D01*
X135835000Y-77040000D02*
X137105000Y-77040000D01*
X135835000Y-77040000D02*
X135200000Y-76405000D01*
X135200000Y-76405000D02*
X134565000Y-77040000D01*
X133295000Y-77040000D02*
X134565000Y-77040000D01*
X133295000Y-77040000D02*
X132660000Y-76405000D01*
X132660000Y-76405000D02*
X132025000Y-77040000D01*
X132025000Y-77040000D02*
X130755000Y-77040000D01*
X130120000Y-76405000D02*
X130755000Y-77040000D01*
X130120000Y-76405000D02*
X129485000Y-77040000D01*
X129485000Y-77040000D02*
X128215000Y-77040000D01*
X127580000Y-76405000D02*
X128215000Y-77040000D01*
X127580000Y-76405000D02*
X126945000Y-77040000D01*
X126945000Y-77040000D02*
X125675000Y-77040000D01*
X125040000Y-76405000D02*
X125675000Y-77040000D01*
X125040000Y-76405000D02*
X124405000Y-77040000D01*
X124405000Y-77040000D02*
X123135000Y-77040000D01*
X122500000Y-76405000D02*
X123135000Y-77040000D01*
X122500000Y-76405000D02*
X121865000Y-77040000D01*
X121865000Y-77040000D02*
X120595000Y-77040000D01*
X119960000Y-76405000D02*
X120595000Y-77040000D01*
X119960000Y-76405000D02*
X119325000Y-77040000D01*
X119325000Y-77040000D02*
X118055000Y-77040000D01*
X117420000Y-76405000D02*
X118055000Y-77040000D01*
X117420000Y-76405000D02*
X116785000Y-77040000D01*
X116785000Y-77040000D02*
X115515000Y-77040000D01*
X114880000Y-76405000D02*
X115515000Y-77040000D01*
X114880000Y-76405000D02*
X114245000Y-77040000D01*
X114245000Y-77040000D02*
X112975000Y-77040000D01*
X112340000Y-76405000D02*
X112975000Y-77040000D01*
X99640000Y-72595000D02*
X99640000Y-76405000D01*
X102180000Y-72595000D02*
X102180000Y-76405000D01*
X104720000Y-72595000D02*
X104720000Y-76405000D01*
X107260000Y-72595000D02*
X107260000Y-76405000D01*
X109800000Y-72595000D02*
X109800000Y-76405000D01*
X112340000Y-72595000D02*
X112340000Y-76405000D01*
X114880000Y-72595000D02*
X114880000Y-76405000D01*
X117420000Y-72595000D02*
X117420000Y-76405000D01*
X119960000Y-72595000D02*
X119960000Y-76405000D01*
X122500000Y-72595000D02*
X122500000Y-76405000D01*
X125040000Y-72595000D02*
X125040000Y-76405000D01*
X127580000Y-72595000D02*
X127580000Y-76405000D01*
X130120000Y-72595000D02*
X130120000Y-76405000D01*
X132660000Y-72595000D02*
X132660000Y-76405000D01*
X135200000Y-72595000D02*
X135200000Y-76405000D01*
X137740000Y-72595000D02*
X137740000Y-76405000D01*
X140280000Y-72595000D02*
X140280000Y-76405000D01*
X142820000Y-72595000D02*
X142820000Y-76405000D01*
X145360000Y-72595000D02*
X145360000Y-76405000D01*
X145360000Y-72595000D02*
X145995000Y-71960000D01*
X147265000Y-71960000D02*
X145995000Y-71960000D01*
X147265000Y-71960000D02*
X147900000Y-72595000D01*
X147900000Y-76405000D02*
X147265000Y-77040000D01*
X147265000Y-77040000D02*
X145995000Y-77040000D01*
X145360000Y-76405000D02*
X145995000Y-77040000D01*
X147900000Y-72595000D02*
X147900000Y-76405000D01*
D12*
G36*
X98116000Y-76024000D02*
G01*
X98624000Y-76024000D01*
X98624000Y-75516000D01*
X98116000Y-75516000D01*
X98116000Y-76024000D01*
G37*
G36*
X98116000Y-73484000D02*
G01*
X98624000Y-73484000D01*
X98624000Y-72976000D01*
X98116000Y-72976000D01*
X98116000Y-73484000D01*
G37*
G36*
X100656000Y-73484000D02*
G01*
X101164000Y-73484000D01*
X101164000Y-72976000D01*
X100656000Y-72976000D01*
X100656000Y-73484000D01*
G37*
G36*
X100656000Y-76024000D02*
G01*
X101164000Y-76024000D01*
X101164000Y-75516000D01*
X100656000Y-75516000D01*
X100656000Y-76024000D01*
G37*
G36*
X103196000Y-73484000D02*
G01*
X103704000Y-73484000D01*
X103704000Y-72976000D01*
X103196000Y-72976000D01*
X103196000Y-73484000D01*
G37*
G36*
X103196000Y-76024000D02*
G01*
X103704000Y-76024000D01*
X103704000Y-75516000D01*
X103196000Y-75516000D01*
X103196000Y-76024000D01*
G37*
G36*
X105736000Y-73484000D02*
G01*
X106244000Y-73484000D01*
X106244000Y-72976000D01*
X105736000Y-72976000D01*
X105736000Y-73484000D01*
G37*
G36*
X108276000Y-73484000D02*
G01*
X108784000Y-73484000D01*
X108784000Y-72976000D01*
X108276000Y-72976000D01*
X108276000Y-73484000D01*
G37*
G36*
X110816000Y-73484000D02*
G01*
X111324000Y-73484000D01*
X111324000Y-72976000D01*
X110816000Y-72976000D01*
X110816000Y-73484000D01*
G37*
G36*
X105736000Y-76024000D02*
G01*
X106244000Y-76024000D01*
X106244000Y-75516000D01*
X105736000Y-75516000D01*
X105736000Y-76024000D01*
G37*
G36*
X108276000Y-76024000D02*
G01*
X108784000Y-76024000D01*
X108784000Y-75516000D01*
X108276000Y-75516000D01*
X108276000Y-76024000D01*
G37*
G36*
X110816000Y-76024000D02*
G01*
X111324000Y-76024000D01*
X111324000Y-75516000D01*
X110816000Y-75516000D01*
X110816000Y-76024000D01*
G37*
G36*
X113356000Y-73484000D02*
G01*
X113864000Y-73484000D01*
X113864000Y-72976000D01*
X113356000Y-72976000D01*
X113356000Y-73484000D01*
G37*
G36*
X113356000Y-76024000D02*
G01*
X113864000Y-76024000D01*
X113864000Y-75516000D01*
X113356000Y-75516000D01*
X113356000Y-76024000D01*
G37*
G36*
X115896000Y-73484000D02*
G01*
X116404000Y-73484000D01*
X116404000Y-72976000D01*
X115896000Y-72976000D01*
X115896000Y-73484000D01*
G37*
G36*
X115896000Y-76024000D02*
G01*
X116404000Y-76024000D01*
X116404000Y-75516000D01*
X115896000Y-75516000D01*
X115896000Y-76024000D01*
G37*
G36*
X118436000Y-73484000D02*
G01*
X118944000Y-73484000D01*
X118944000Y-72976000D01*
X118436000Y-72976000D01*
X118436000Y-73484000D01*
G37*
G36*
X118436000Y-76024000D02*
G01*
X118944000Y-76024000D01*
X118944000Y-75516000D01*
X118436000Y-75516000D01*
X118436000Y-76024000D01*
G37*
G36*
X120976000Y-73484000D02*
G01*
X121484000Y-73484000D01*
X121484000Y-72976000D01*
X120976000Y-72976000D01*
X120976000Y-73484000D01*
G37*
G36*
X120976000Y-76024000D02*
G01*
X121484000Y-76024000D01*
X121484000Y-75516000D01*
X120976000Y-75516000D01*
X120976000Y-76024000D01*
G37*
G36*
X123516000Y-73484000D02*
G01*
X124024000Y-73484000D01*
X124024000Y-72976000D01*
X123516000Y-72976000D01*
X123516000Y-73484000D01*
G37*
G36*
X123516000Y-76024000D02*
G01*
X124024000Y-76024000D01*
X124024000Y-75516000D01*
X123516000Y-75516000D01*
X123516000Y-76024000D01*
G37*
G36*
X126056000Y-73484000D02*
G01*
X126564000Y-73484000D01*
X126564000Y-72976000D01*
X126056000Y-72976000D01*
X126056000Y-73484000D01*
G37*
G36*
X126056000Y-76024000D02*
G01*
X126564000Y-76024000D01*
X126564000Y-75516000D01*
X126056000Y-75516000D01*
X126056000Y-76024000D01*
G37*
G36*
X128596000Y-73484000D02*
G01*
X129104000Y-73484000D01*
X129104000Y-72976000D01*
X128596000Y-72976000D01*
X128596000Y-73484000D01*
G37*
G36*
X128596000Y-76024000D02*
G01*
X129104000Y-76024000D01*
X129104000Y-75516000D01*
X128596000Y-75516000D01*
X128596000Y-76024000D01*
G37*
G36*
X131136000Y-73484000D02*
G01*
X131644000Y-73484000D01*
X131644000Y-72976000D01*
X131136000Y-72976000D01*
X131136000Y-73484000D01*
G37*
G36*
X131136000Y-76024000D02*
G01*
X131644000Y-76024000D01*
X131644000Y-75516000D01*
X131136000Y-75516000D01*
X131136000Y-76024000D01*
G37*
G36*
X133676000Y-73484000D02*
G01*
X134184000Y-73484000D01*
X134184000Y-72976000D01*
X133676000Y-72976000D01*
X133676000Y-73484000D01*
G37*
G36*
X133676000Y-76024000D02*
G01*
X134184000Y-76024000D01*
X134184000Y-75516000D01*
X133676000Y-75516000D01*
X133676000Y-76024000D01*
G37*
G36*
X136216000Y-73484000D02*
G01*
X136724000Y-73484000D01*
X136724000Y-72976000D01*
X136216000Y-72976000D01*
X136216000Y-73484000D01*
G37*
G36*
X136216000Y-76024000D02*
G01*
X136724000Y-76024000D01*
X136724000Y-75516000D01*
X136216000Y-75516000D01*
X136216000Y-76024000D01*
G37*
G36*
X138756000Y-73484000D02*
G01*
X139264000Y-73484000D01*
X139264000Y-72976000D01*
X138756000Y-72976000D01*
X138756000Y-73484000D01*
G37*
G36*
X138756000Y-76024000D02*
G01*
X139264000Y-76024000D01*
X139264000Y-75516000D01*
X138756000Y-75516000D01*
X138756000Y-76024000D01*
G37*
G36*
X141296000Y-73484000D02*
G01*
X141804000Y-73484000D01*
X141804000Y-72976000D01*
X141296000Y-72976000D01*
X141296000Y-73484000D01*
G37*
G36*
X141296000Y-76024000D02*
G01*
X141804000Y-76024000D01*
X141804000Y-75516000D01*
X141296000Y-75516000D01*
X141296000Y-76024000D01*
G37*
G36*
X143836000Y-73484000D02*
G01*
X144344000Y-73484000D01*
X144344000Y-72976000D01*
X143836000Y-72976000D01*
X143836000Y-73484000D01*
G37*
G36*
X143836000Y-76024000D02*
G01*
X144344000Y-76024000D01*
X144344000Y-75516000D01*
X143836000Y-75516000D01*
X143836000Y-76024000D01*
G37*
G36*
X146376000Y-73484000D02*
G01*
X146884000Y-73484000D01*
X146884000Y-72976000D01*
X146376000Y-72976000D01*
X146376000Y-73484000D01*
G37*
G36*
X146376000Y-76024000D02*
G01*
X146884000Y-76024000D01*
X146884000Y-75516000D01*
X146376000Y-75516000D01*
X146376000Y-76024000D01*
G37*
%TO.C,J3*%
X112185000Y-117457000D02*
X110915000Y-117457000D01*
X112185000Y-119743000D02*
X112185000Y-117457000D01*
X110915000Y-119743000D02*
X112185000Y-119743000D01*
X110915000Y-117457000D02*
X110915000Y-119743000D01*
%TO.C,J4*%
X108615000Y-117557000D02*
X108615000Y-119843000D01*
X108615000Y-119843000D02*
X109885000Y-119843000D01*
X109885000Y-119843000D02*
X109885000Y-117557000D01*
X109885000Y-117557000D02*
X108615000Y-117557000D01*
D10*
%TO.C,C35*%
X94650000Y-81750000D02*
X93650000Y-81750000D01*
X93650000Y-80050000D02*
X94650000Y-80050000D01*
%TO.C,C22*%
D13*
X122857142Y-90907142D02*
X122904761Y-90859523D01*
X122952380Y-90716666D01*
X122952380Y-90621428D01*
X122904761Y-90478571D01*
X122809523Y-90383333D01*
X122714285Y-90335714D01*
X122523809Y-90288095D01*
X122380952Y-90288095D01*
X122190476Y-90335714D01*
X122095238Y-90383333D01*
X122000000Y-90478571D01*
X121952380Y-90621428D01*
X121952380Y-90716666D01*
X122000000Y-90859523D01*
X122047619Y-90907142D01*
X122047619Y-91288095D02*
X122000000Y-91335714D01*
X121952380Y-91430952D01*
X121952380Y-91669047D01*
X122000000Y-91764285D01*
X122047619Y-91811904D01*
X122142857Y-91859523D01*
X122238095Y-91859523D01*
X122380952Y-91811904D01*
X122952380Y-91240476D01*
X122952380Y-91859523D01*
X122047619Y-92240476D02*
X122000000Y-92288095D01*
X121952380Y-92383333D01*
X121952380Y-92621428D01*
X122000000Y-92716666D01*
X122047619Y-92764285D01*
X122142857Y-92811904D01*
X122238095Y-92811904D01*
X122380952Y-92764285D01*
X122952380Y-92192857D01*
X122952380Y-92811904D01*
%TO.C,J3*%
D12*
X111111904Y-118333333D02*
X111683333Y-118333333D01*
X111797619Y-118295238D01*
X111873809Y-118219047D01*
X111911904Y-118104761D01*
X111911904Y-118028571D01*
X111111904Y-118638095D02*
X111111904Y-119133333D01*
X111416666Y-118866666D01*
X111416666Y-118980952D01*
X111454761Y-119057142D01*
X111492857Y-119095238D01*
X111569047Y-119133333D01*
X111759523Y-119133333D01*
X111835714Y-119095238D01*
X111873809Y-119057142D01*
X111911904Y-118980952D01*
X111911904Y-118752380D01*
X111873809Y-118676190D01*
X111835714Y-118638095D01*
%TO.C,J4*%
X108811904Y-118433333D02*
X109383333Y-118433333D01*
X109497619Y-118395238D01*
X109573809Y-118319047D01*
X109611904Y-118204761D01*
X109611904Y-118128571D01*
X109078571Y-119157142D02*
X109611904Y-119157142D01*
X108773809Y-118966666D02*
X109345238Y-118776190D01*
X109345238Y-119271428D01*
%TO.C,C35*%
D13*
X94792857Y-79507142D02*
X94840476Y-79554761D01*
X94983333Y-79602380D01*
X95078571Y-79602380D01*
X95221428Y-79554761D01*
X95316666Y-79459523D01*
X95364285Y-79364285D01*
X95411904Y-79173809D01*
X95411904Y-79030952D01*
X95364285Y-78840476D01*
X95316666Y-78745238D01*
X95221428Y-78650000D01*
X95078571Y-78602380D01*
X94983333Y-78602380D01*
X94840476Y-78650000D01*
X94792857Y-78697619D01*
X94459523Y-78602380D02*
X93840476Y-78602380D01*
X94173809Y-78983333D01*
X94030952Y-78983333D01*
X93935714Y-79030952D01*
X93888095Y-79078571D01*
X93840476Y-79173809D01*
X93840476Y-79411904D01*
X93888095Y-79507142D01*
X93935714Y-79554761D01*
X94030952Y-79602380D01*
X94316666Y-79602380D01*
X94411904Y-79554761D01*
X94459523Y-79507142D01*
X92935714Y-78602380D02*
X93411904Y-78602380D01*
X93459523Y-79078571D01*
X93411904Y-79030952D01*
X93316666Y-78983333D01*
X93078571Y-78983333D01*
X92983333Y-79030952D01*
X92935714Y-79078571D01*
X92888095Y-79173809D01*
X92888095Y-79411904D01*
X92935714Y-79507142D01*
X92983333Y-79554761D01*
X93078571Y-79602380D01*
X93316666Y-79602380D01*
X93411904Y-79554761D01*
X93459523Y-79507142D01*
%TD*%
M02*

Wyświetl plik

@ -0,0 +1,46 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.8)-1*%
%TF.CreationDate,2021-11-16T20:14:35+01:00*%
%TF.ProjectId,radioberry-juice,72616469-6f62-4657-9272-792d6a756963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.8)-1) date 2021-11-16 20:14:35*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.050000*%
%TD*%
G04 APERTURE END LIST*
D10*
X91000000Y-127000000D02*
G75*
G02*
X90000000Y-126000000I0J1000000D01*
G01*
X90000000Y-72000000D02*
G75*
G02*
X91000000Y-71000000I1000000J0D01*
G01*
X154000000Y-71000000D02*
G75*
G02*
X155000000Y-72000000I0J-1000000D01*
G01*
X155000000Y-126000000D02*
G75*
G02*
X154000000Y-127000000I-1000000J0D01*
G01*
X90000000Y-72000000D02*
X90000000Y-126000000D01*
X154000000Y-71000000D02*
X91000000Y-71000000D01*
X155000000Y-126000000D02*
X155000000Y-72000000D01*
X91000000Y-127000000D02*
X154000000Y-127000000D01*
M02*

Wyświetl plik

@ -0,0 +1,687 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.8)-1*%
%TF.CreationDate,2021-11-16T20:14:35+01:00*%
%TF.ProjectId,radioberry-juice,72616469-6f62-4657-9272-792d6a756963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Top*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.8)-1) date 2021-11-16 20:14:35*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.143000X0.635000*%
%ADD11R,1.500000X1.250000*%
%ADD12R,3.750000X2.700000*%
%ADD13R,0.650000X1.560000*%
%ADD14R,1.200000X0.900000*%
%ADD15R,1.200000X0.750000*%
%ADD16R,0.890000X3.060000*%
%ADD17R,5.350000X8.540000*%
%ADD18R,0.750000X1.200000*%
%ADD19R,1.250000X1.500000*%
%ADD20C,1.850000*%
%ADD21R,1.850000X1.850000*%
%ADD22R,1.000000X0.250000*%
%ADD23R,0.250000X1.000000*%
%ADD24C,1.524000*%
%ADD25C,2.200000*%
%ADD26C,2.600000*%
%ADD27C,3.000000*%
%ADD28R,1.100000X1.600000*%
%ADD29R,0.900000X1.200000*%
%ADD30R,1.900000X2.600000*%
%ADD31R,2.000000X1.500000*%
%ADD32R,2.000000X3.800000*%
%ADD33R,0.635000X1.143000*%
%ADD34R,2.100000X2.000000*%
%ADD35R,0.900000X0.800000*%
%ADD36C,0.670000*%
%ADD37R,1.450000X0.300000*%
%ADD38R,1.500000X2.000000*%
%ADD39R,3.800000X2.000000*%
%ADD40C,5.300000*%
%ADD41R,5.600000X2.300000*%
%ADD42C,1.800000*%
G04 APERTURE END LIST*
D10*
%TO.C,FB4*%
X113350000Y-109087000D03*
X113350000Y-107563000D03*
%TD*%
D11*
%TO.C,C37*%
X131350000Y-113900000D03*
X128850000Y-113900000D03*
%TD*%
D12*
%TO.C,C6*%
X130150000Y-110025000D03*
X137700000Y-110025000D03*
%TD*%
D13*
%TO.C,U4*%
X127700000Y-104400000D03*
X128650000Y-104400000D03*
X129600000Y-104400000D03*
X129600000Y-101700000D03*
X127700000Y-101700000D03*
X128650000Y-101700000D03*
%TD*%
D14*
%TO.C,R13*%
X129650000Y-99700000D03*
X127450000Y-99700000D03*
%TD*%
D15*
%TO.C,C18*%
X135600000Y-101800000D03*
X133700000Y-101800000D03*
%TD*%
D16*
%TO.C,VR1*%
X118340000Y-110745000D03*
X119610000Y-110745000D03*
X120880000Y-110745000D03*
X122150000Y-110745000D03*
X123420000Y-110745000D03*
X124690000Y-110745000D03*
X125960000Y-110745000D03*
D17*
X122150000Y-118050000D03*
%TD*%
D15*
%TO.C,C25*%
X118750000Y-97750000D03*
X116850000Y-97750000D03*
%TD*%
D11*
%TO.C,C10*%
X121400000Y-82100000D03*
X118900000Y-82100000D03*
%TD*%
D18*
%TO.C,C9*%
X131950000Y-98300000D03*
X131950000Y-100200000D03*
%TD*%
D11*
%TO.C,C8*%
X118650000Y-96150000D03*
X116150000Y-96150000D03*
%TD*%
D15*
%TO.C,C20*%
X140900000Y-86000000D03*
X142800000Y-86000000D03*
%TD*%
D19*
%TO.C,C29*%
X144600000Y-84950000D03*
X144600000Y-87450000D03*
%TD*%
D15*
%TO.C,C19*%
X137600000Y-101800000D03*
X139500000Y-101800000D03*
%TD*%
%TO.C,C16*%
X108250000Y-99050000D03*
X106350000Y-99050000D03*
%TD*%
%TO.C,C12*%
X98450000Y-78900000D03*
X100350000Y-78900000D03*
%TD*%
D19*
%TO.C,C11*%
X100250000Y-106050000D03*
X100250000Y-103550000D03*
%TD*%
D15*
%TO.C,C21*%
X117400000Y-90950000D03*
X115500000Y-90950000D03*
%TD*%
D20*
%TO.C,DB1*%
X101590000Y-124690000D03*
X101590000Y-122150000D03*
X104130000Y-124690000D03*
X104130000Y-122150000D03*
X106670000Y-124690000D03*
X106670000Y-122150000D03*
X109210000Y-124690000D03*
X109210000Y-122150000D03*
X111750000Y-124690000D03*
D21*
X111750000Y-122150000D03*
%TD*%
D22*
%TO.C,U3*%
X132050000Y-93950000D03*
X132050000Y-93450000D03*
X132050000Y-92950000D03*
X132050000Y-92450000D03*
X132050000Y-91950000D03*
X132050000Y-91450000D03*
X132050000Y-90950000D03*
X132050000Y-90450000D03*
X132050000Y-89950000D03*
X132050000Y-89450000D03*
X132050000Y-88950000D03*
X132050000Y-88450000D03*
X132050000Y-87950000D03*
X132050000Y-87450000D03*
X132050000Y-86950000D03*
X132050000Y-86450000D03*
D23*
X130100000Y-84500000D03*
X129600000Y-84500000D03*
X129100000Y-84500000D03*
X128600000Y-84500000D03*
X128100000Y-84500000D03*
X127600000Y-84500000D03*
X127100000Y-84500000D03*
X126600000Y-84500000D03*
X126100000Y-84500000D03*
X125600000Y-84500000D03*
X125100000Y-84500000D03*
X124600000Y-84500000D03*
X124100000Y-84500000D03*
X123600000Y-84500000D03*
X123100000Y-84500000D03*
X122600000Y-84500000D03*
D22*
X120650000Y-86450000D03*
X120650000Y-86950000D03*
X120650000Y-87450000D03*
X120650000Y-87950000D03*
X120650000Y-88450000D03*
X120650000Y-88950000D03*
X120650000Y-89450000D03*
X120650000Y-89950000D03*
X120650000Y-90450000D03*
X120650000Y-90950000D03*
X120650000Y-91450000D03*
X120650000Y-91950000D03*
X120650000Y-92450000D03*
X120650000Y-92950000D03*
X120650000Y-93450000D03*
X120650000Y-93950000D03*
D23*
X122600000Y-95900000D03*
X123100000Y-95900000D03*
X123600000Y-95900000D03*
X124100000Y-95900000D03*
X124600000Y-95900000D03*
X125100000Y-95900000D03*
X125600000Y-95900000D03*
X126100000Y-95900000D03*
X126600000Y-95900000D03*
X127100000Y-95900000D03*
X127600000Y-95900000D03*
X128100000Y-95900000D03*
X128600000Y-95900000D03*
X129100000Y-95900000D03*
X129600000Y-95900000D03*
X130100000Y-95900000D03*
%TD*%
D24*
%TO.C,J5*%
X146630000Y-73230000D03*
X146630000Y-75770000D03*
X144090000Y-73230000D03*
X144090000Y-75770000D03*
X141550000Y-73230000D03*
X141550000Y-75770000D03*
X139010000Y-73230000D03*
X139010000Y-75770000D03*
X136470000Y-73230000D03*
X136470000Y-75770000D03*
X133930000Y-73230000D03*
X133930000Y-75770000D03*
X131390000Y-73230000D03*
X131390000Y-75770000D03*
X128850000Y-73230000D03*
X128850000Y-75770000D03*
X126310000Y-73230000D03*
X126310000Y-75770000D03*
X123770000Y-73230000D03*
X123770000Y-75770000D03*
X121230000Y-73230000D03*
X121230000Y-75770000D03*
X118690000Y-73230000D03*
X118690000Y-75770000D03*
X116150000Y-73230000D03*
X116150000Y-75770000D03*
X113610000Y-73230000D03*
X113610000Y-75770000D03*
X111070000Y-73230000D03*
X111070000Y-75770000D03*
X108530000Y-73230000D03*
X108530000Y-75770000D03*
X105990000Y-73230000D03*
X105990000Y-75770000D03*
X103450000Y-73230000D03*
X103450000Y-75770000D03*
X100910000Y-73230000D03*
X100910000Y-75770000D03*
X98370000Y-73230000D03*
X98370000Y-75770000D03*
%TD*%
D25*
%TO.C,CN1*%
X149400000Y-114100000D03*
X144400000Y-114100000D03*
D26*
X144300000Y-109400000D03*
X147450000Y-114100000D03*
D27*
X141300000Y-114100000D03*
%TD*%
D11*
%TO.C,C33*%
X137150000Y-89100000D03*
X139650000Y-89100000D03*
%TD*%
%TO.C,C31*%
X135600000Y-93650000D03*
X138100000Y-93650000D03*
%TD*%
D19*
%TO.C,C27*%
X141800000Y-83000000D03*
X141800000Y-80500000D03*
%TD*%
%TO.C,C15*%
X111200000Y-107800000D03*
X111200000Y-105300000D03*
%TD*%
D28*
%TO.C,D5*%
X153800000Y-90100000D03*
X153800000Y-92600000D03*
%TD*%
D14*
%TO.C,R3*%
X93800000Y-118700000D03*
X91600000Y-118700000D03*
%TD*%
D29*
%TO.C,R20*%
X153800000Y-85700000D03*
X153800000Y-87900000D03*
%TD*%
D28*
%TO.C,D2*%
X91300000Y-116050000D03*
X91300000Y-113550000D03*
%TD*%
D30*
%TO.C,Y1*%
X139250000Y-98300000D03*
X134950000Y-98300000D03*
%TD*%
D31*
%TO.C,U5*%
X146600000Y-79900000D03*
X146600000Y-82200000D03*
X146600000Y-84500001D03*
D32*
X152900001Y-82200000D03*
%TD*%
D33*
%TO.C,D4*%
X144000000Y-97000000D03*
X145524000Y-97000000D03*
%TD*%
%TO.C,D3*%
X145000000Y-102500000D03*
X143476000Y-102500000D03*
%TD*%
D34*
%TO.C,D1*%
X143350000Y-124680000D03*
X143350000Y-120720000D03*
%TD*%
D35*
%TO.C,Q1*%
X131000000Y-117950000D03*
X129000000Y-118900000D03*
X131000000Y-119850000D03*
%TD*%
D14*
%TO.C,R11*%
X151600000Y-92900000D03*
X149400000Y-92900000D03*
%TD*%
D29*
%TO.C,R5*%
X146500000Y-105200000D03*
X146500000Y-103000000D03*
%TD*%
%TO.C,R4*%
X145300000Y-92500000D03*
X145300000Y-94700000D03*
%TD*%
D18*
%TO.C,C14*%
X107350000Y-110650000D03*
X107350000Y-112550000D03*
%TD*%
D36*
%TO.C,J2*%
X149850000Y-96100000D03*
X149850000Y-101900000D03*
D37*
X148400000Y-102400000D03*
X148400000Y-101600000D03*
X148400000Y-100750000D03*
G36*
G01*
X153800000Y-103820000D02*
X153200000Y-103820000D01*
G75*
G02*
X152700000Y-103320000I0J500000D01*
G01*
X152700000Y-103320000D01*
G75*
G02*
X153200000Y-102820000I500000J0D01*
G01*
X153800000Y-102820000D01*
G75*
G02*
X154300000Y-103320000I0J-500000D01*
G01*
X154300000Y-103320000D01*
G75*
G02*
X153800000Y-103820000I-500000J0D01*
G01*
G37*
G36*
G01*
X153800000Y-95180000D02*
X153200000Y-95180000D01*
G75*
G02*
X152700000Y-94680000I0J500000D01*
G01*
X152700000Y-94680000D01*
G75*
G02*
X153200000Y-94180000I500000J0D01*
G01*
X153800000Y-94180000D01*
G75*
G02*
X154300000Y-94680000I0J-500000D01*
G01*
X154300000Y-94680000D01*
G75*
G02*
X153800000Y-95180000I-500000J0D01*
G01*
G37*
G36*
G01*
X149620000Y-95180000D02*
X149020000Y-95180000D01*
G75*
G02*
X148520000Y-94680000I0J500000D01*
G01*
X148520000Y-94680000D01*
G75*
G02*
X149020000Y-94180000I500000J0D01*
G01*
X149620000Y-94180000D01*
G75*
G02*
X150120000Y-94680000I0J-500000D01*
G01*
X150120000Y-94680000D01*
G75*
G02*
X149620000Y-95180000I-500000J0D01*
G01*
G37*
G36*
G01*
X149620000Y-103820000D02*
X149020000Y-103820000D01*
G75*
G02*
X148520000Y-103320000I0J500000D01*
G01*
X148520000Y-103320000D01*
G75*
G02*
X149020000Y-102820000I500000J0D01*
G01*
X149620000Y-102820000D01*
G75*
G02*
X150120000Y-103320000I0J-500000D01*
G01*
X150120000Y-103320000D01*
G75*
G02*
X149620000Y-103820000I-500000J0D01*
G01*
G37*
X148400000Y-102100000D03*
X148400000Y-101300000D03*
X148400000Y-100250000D03*
X148400000Y-97250000D03*
X148400000Y-96400000D03*
X148400000Y-95600000D03*
X148400000Y-95900000D03*
X148400000Y-96700000D03*
X148400000Y-97750000D03*
X148400000Y-98250000D03*
X148400000Y-98750000D03*
X148400000Y-99250000D03*
X148400000Y-99750000D03*
%TD*%
D38*
%TO.C,U2*%
X102700000Y-108350000D03*
X105000000Y-108350000D03*
X107300001Y-108350000D03*
D39*
X105000000Y-102049999D03*
%TD*%
D14*
%TO.C,R12*%
X129650000Y-98250000D03*
X127450000Y-98250000D03*
%TD*%
D40*
%TO.C,J9*%
X151500000Y-123500000D03*
%TD*%
%TO.C,J8*%
X93500000Y-123500000D03*
%TD*%
%TO.C,J7*%
X151500000Y-74500000D03*
%TD*%
%TO.C,J6*%
X93500000Y-74500000D03*
%TD*%
D41*
%TO.C,F1*%
X135250000Y-116600000D03*
X135250000Y-124000000D03*
%TD*%
D14*
%TO.C,R15*%
X129500000Y-106400000D03*
X127300000Y-106400000D03*
%TD*%
D29*
%TO.C,R14*%
X125700000Y-103650000D03*
X125700000Y-101450000D03*
%TD*%
D14*
%TO.C,R10*%
X139800000Y-84150000D03*
X137600000Y-84150000D03*
%TD*%
%TO.C,R9*%
X108400000Y-79000000D03*
X106200000Y-79000000D03*
%TD*%
%TO.C,R8*%
X137650000Y-92000000D03*
X135450000Y-92000000D03*
%TD*%
%TO.C,R7*%
X143300000Y-99000000D03*
X145500000Y-99000000D03*
%TD*%
%TO.C,R6*%
X143300000Y-100500000D03*
X145500000Y-100500000D03*
%TD*%
D33*
%TO.C,FB3*%
X141588000Y-91250000D03*
X143112000Y-91250000D03*
%TD*%
%TO.C,FB2*%
X139312000Y-85700000D03*
X137788000Y-85700000D03*
%TD*%
D10*
%TO.C,FB1*%
X151600000Y-90500000D03*
X151600000Y-88976000D03*
%TD*%
D15*
%TO.C,C34*%
X137150000Y-87350000D03*
X139050000Y-87350000D03*
%TD*%
D18*
%TO.C,C32*%
X143000000Y-89500000D03*
X143000000Y-87600000D03*
%TD*%
D15*
%TO.C,C30*%
X149800000Y-88900000D03*
X147900000Y-88900000D03*
%TD*%
D18*
%TO.C,C28*%
X143800000Y-82750000D03*
X143800000Y-80850000D03*
%TD*%
D15*
%TO.C,C26*%
X117300000Y-88450000D03*
X115400000Y-88450000D03*
%TD*%
D18*
%TO.C,C24*%
X135400000Y-87100000D03*
X135400000Y-89000000D03*
%TD*%
%TO.C,C23*%
X123600000Y-100500000D03*
X123600000Y-98600000D03*
%TD*%
D15*
%TO.C,C17*%
X149800000Y-90400000D03*
X147900000Y-90400000D03*
%TD*%
D18*
%TO.C,C13*%
X109450000Y-106050000D03*
X109450000Y-107950000D03*
%TD*%
D29*
%TO.C,R1*%
X120800000Y-107650000D03*
X120800000Y-105450000D03*
%TD*%
D14*
%TO.C,R2*%
X122600000Y-107800000D03*
X124800000Y-107800000D03*
%TD*%
D29*
%TO.C,R16*%
X104150000Y-117150000D03*
X104150000Y-119350000D03*
%TD*%
%TO.C,R17*%
X111650000Y-119300000D03*
X111650000Y-117100000D03*
%TD*%
%TO.C,R18*%
X109300000Y-117100000D03*
X109300000Y-119300000D03*
%TD*%
%TO.C,R19*%
X106700000Y-119300000D03*
X106700000Y-117100000D03*
%TD*%
D11*
%TO.C,C36*%
X95350000Y-80900000D03*
X92850000Y-80900000D03*
%TD*%
D42*
%TO.C,J1*%
X123050000Y-125000000D03*
X120510000Y-125000000D03*
%TD*%
D29*
%TO.C,R21*%
X119100000Y-103150000D03*
X119100000Y-105350000D03*
%TD*%
D19*
%TO.C,C2*%
X115500000Y-113250000D03*
X115500000Y-110750000D03*
%TD*%
%TO.C,C3*%
X113350000Y-110750000D03*
X113350000Y-113250000D03*
%TD*%
%TO.C,C4*%
X111200000Y-113250000D03*
X111200000Y-110750000D03*
%TD*%
D11*
%TO.C,C5*%
X116350000Y-107650000D03*
X118850000Y-107650000D03*
%TD*%
D19*
%TO.C,C7*%
X109100000Y-110750000D03*
X109100000Y-113250000D03*
%TD*%
D29*
%TO.C,R22*%
X117450000Y-105350000D03*
X117450000Y-103150000D03*
%TD*%
D19*
%TO.C,C1*%
X139700000Y-125000000D03*
X139700000Y-122500000D03*
%TD*%
M02*

Wyświetl plik

@ -0,0 +1,625 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(5.1.8)-1*%
%TF.CreationDate,2021-11-16T20:14:35+01:00*%
%TF.ProjectId,radioberry-juice,72616469-6f62-4657-9272-792d6a756963,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.8)-1) date 2021-11-16 20:14:35*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.010000*%
%ADD11R,1.143000X0.635000*%
%ADD12R,1.500000X1.250000*%
%ADD13R,3.750000X2.700000*%
%ADD14R,0.650000X1.560000*%
%ADD15R,1.200000X0.900000*%
%ADD16R,1.200000X0.750000*%
%ADD17R,0.890000X3.060000*%
%ADD18R,0.750000X1.200000*%
%ADD19R,1.250000X1.500000*%
%ADD20R,1.000000X0.250000*%
%ADD21R,0.250000X1.000000*%
%ADD22R,1.100000X1.600000*%
%ADD23R,0.900000X1.200000*%
%ADD24R,1.900000X2.600000*%
%ADD25R,2.000000X1.500000*%
%ADD26R,2.000000X3.800000*%
%ADD27R,0.635000X1.143000*%
%ADD28R,2.100000X2.000000*%
%ADD29R,0.900000X0.800000*%
%ADD30R,1.450000X0.300000*%
%ADD31R,1.500000X2.000000*%
%ADD32R,3.800000X2.000000*%
%ADD33R,5.600000X2.300000*%
G04 APERTURE END LIST*
D10*
%TO.C,VR1*%
G36*
X124229000Y-117436000D02*
G01*
X124229000Y-118666000D01*
X122749000Y-118666000D01*
X122749000Y-117436000D01*
X124229000Y-117436000D01*
G37*
X124229000Y-117436000D02*
X124229000Y-118666000D01*
X122749000Y-118666000D01*
X122749000Y-117436000D01*
X124229000Y-117436000D01*
G36*
X121551000Y-117436000D02*
G01*
X121551000Y-118666000D01*
X120071000Y-118666000D01*
X120071000Y-117436000D01*
X121551000Y-117436000D01*
G37*
X121551000Y-117436000D02*
X121551000Y-118666000D01*
X120071000Y-118666000D01*
X120071000Y-117436000D01*
X121551000Y-117436000D01*
G36*
X124229000Y-119146000D02*
G01*
X124229000Y-120376000D01*
X122749000Y-120376000D01*
X122749000Y-119146000D01*
X124229000Y-119146000D01*
G37*
X124229000Y-119146000D02*
X124229000Y-120376000D01*
X122749000Y-120376000D01*
X122749000Y-119146000D01*
X124229000Y-119146000D01*
G36*
X124229000Y-120856000D02*
G01*
X124229000Y-122086000D01*
X122749000Y-122086000D01*
X122749000Y-120856000D01*
X124229000Y-120856000D01*
G37*
X124229000Y-120856000D02*
X124229000Y-122086000D01*
X122749000Y-122086000D01*
X122749000Y-120856000D01*
X124229000Y-120856000D01*
G36*
X124229000Y-115726000D02*
G01*
X124229000Y-116956000D01*
X122749000Y-116956000D01*
X122749000Y-115726000D01*
X124229000Y-115726000D01*
G37*
X124229000Y-115726000D02*
X124229000Y-116956000D01*
X122749000Y-116956000D01*
X122749000Y-115726000D01*
X124229000Y-115726000D01*
G36*
X124229000Y-114016000D02*
G01*
X124229000Y-115246000D01*
X122749000Y-115246000D01*
X122749000Y-114016000D01*
X124229000Y-114016000D01*
G37*
X124229000Y-114016000D02*
X124229000Y-115246000D01*
X122749000Y-115246000D01*
X122749000Y-114016000D01*
X124229000Y-114016000D01*
G36*
X121551000Y-119146000D02*
G01*
X121551000Y-120376000D01*
X120071000Y-120376000D01*
X120071000Y-119146000D01*
X121551000Y-119146000D01*
G37*
X121551000Y-119146000D02*
X121551000Y-120376000D01*
X120071000Y-120376000D01*
X120071000Y-119146000D01*
X121551000Y-119146000D01*
G36*
X121551000Y-120856000D02*
G01*
X121551000Y-122086000D01*
X120071000Y-122086000D01*
X120071000Y-120856000D01*
X121551000Y-120856000D01*
G37*
X121551000Y-120856000D02*
X121551000Y-122086000D01*
X120071000Y-122086000D01*
X120071000Y-120856000D01*
X121551000Y-120856000D01*
G36*
X121551000Y-115726000D02*
G01*
X121551000Y-116956000D01*
X120071000Y-116956000D01*
X120071000Y-115726000D01*
X121551000Y-115726000D01*
G37*
X121551000Y-115726000D02*
X121551000Y-116956000D01*
X120071000Y-116956000D01*
X120071000Y-115726000D01*
X121551000Y-115726000D01*
G36*
X121551000Y-114016000D02*
G01*
X121551000Y-115246000D01*
X120071000Y-115246000D01*
X120071000Y-114016000D01*
X121551000Y-114016000D01*
G37*
X121551000Y-114016000D02*
X121551000Y-115246000D01*
X120071000Y-115246000D01*
X120071000Y-114016000D01*
X121551000Y-114016000D01*
%TD*%
D11*
%TO.C,FB4*%
X113350000Y-109087000D03*
X113350000Y-107563000D03*
%TD*%
D12*
%TO.C,C37*%
X131350000Y-113900000D03*
X128850000Y-113900000D03*
%TD*%
D13*
%TO.C,C6*%
X130150000Y-110025000D03*
X137700000Y-110025000D03*
%TD*%
D14*
%TO.C,U4*%
X127700000Y-104400000D03*
X128650000Y-104400000D03*
X129600000Y-104400000D03*
X129600000Y-101700000D03*
X127700000Y-101700000D03*
X128650000Y-101700000D03*
%TD*%
D15*
%TO.C,R13*%
X129650000Y-99700000D03*
X127450000Y-99700000D03*
%TD*%
D16*
%TO.C,C18*%
X135600000Y-101800000D03*
X133700000Y-101800000D03*
%TD*%
D17*
%TO.C,VR1*%
X118340000Y-110745000D03*
X119610000Y-110745000D03*
X120880000Y-110745000D03*
X122150000Y-110745000D03*
X123420000Y-110745000D03*
X124690000Y-110745000D03*
X125960000Y-110745000D03*
%TD*%
D16*
%TO.C,C25*%
X118750000Y-97750000D03*
X116850000Y-97750000D03*
%TD*%
D12*
%TO.C,C10*%
X121400000Y-82100000D03*
X118900000Y-82100000D03*
%TD*%
D18*
%TO.C,C9*%
X131950000Y-98300000D03*
X131950000Y-100200000D03*
%TD*%
D12*
%TO.C,C8*%
X118650000Y-96150000D03*
X116150000Y-96150000D03*
%TD*%
D16*
%TO.C,C20*%
X140900000Y-86000000D03*
X142800000Y-86000000D03*
%TD*%
D19*
%TO.C,C29*%
X144600000Y-84950000D03*
X144600000Y-87450000D03*
%TD*%
D16*
%TO.C,C19*%
X137600000Y-101800000D03*
X139500000Y-101800000D03*
%TD*%
%TO.C,C16*%
X108250000Y-99050000D03*
X106350000Y-99050000D03*
%TD*%
%TO.C,C12*%
X98450000Y-78900000D03*
X100350000Y-78900000D03*
%TD*%
D19*
%TO.C,C11*%
X100250000Y-106050000D03*
X100250000Y-103550000D03*
%TD*%
D16*
%TO.C,C21*%
X117400000Y-90950000D03*
X115500000Y-90950000D03*
%TD*%
D20*
%TO.C,U3*%
X132050000Y-93950000D03*
X132050000Y-93450000D03*
X132050000Y-92950000D03*
X132050000Y-92450000D03*
X132050000Y-91950000D03*
X132050000Y-91450000D03*
X132050000Y-90950000D03*
X132050000Y-90450000D03*
X132050000Y-89950000D03*
X132050000Y-89450000D03*
X132050000Y-88950000D03*
X132050000Y-88450000D03*
X132050000Y-87950000D03*
X132050000Y-87450000D03*
X132050000Y-86950000D03*
X132050000Y-86450000D03*
D21*
X130100000Y-84500000D03*
X129600000Y-84500000D03*
X129100000Y-84500000D03*
X128600000Y-84500000D03*
X128100000Y-84500000D03*
X127600000Y-84500000D03*
X127100000Y-84500000D03*
X126600000Y-84500000D03*
X126100000Y-84500000D03*
X125600000Y-84500000D03*
X125100000Y-84500000D03*
X124600000Y-84500000D03*
X124100000Y-84500000D03*
X123600000Y-84500000D03*
X123100000Y-84500000D03*
X122600000Y-84500000D03*
D20*
X120650000Y-86450000D03*
X120650000Y-86950000D03*
X120650000Y-87450000D03*
X120650000Y-87950000D03*
X120650000Y-88450000D03*
X120650000Y-88950000D03*
X120650000Y-89450000D03*
X120650000Y-89950000D03*
X120650000Y-90450000D03*
X120650000Y-90950000D03*
X120650000Y-91450000D03*
X120650000Y-91950000D03*
X120650000Y-92450000D03*
X120650000Y-92950000D03*
X120650000Y-93450000D03*
X120650000Y-93950000D03*
D21*
X122600000Y-95900000D03*
X123100000Y-95900000D03*
X123600000Y-95900000D03*
X124100000Y-95900000D03*
X124600000Y-95900000D03*
X125100000Y-95900000D03*
X125600000Y-95900000D03*
X126100000Y-95900000D03*
X126600000Y-95900000D03*
X127100000Y-95900000D03*
X127600000Y-95900000D03*
X128100000Y-95900000D03*
X128600000Y-95900000D03*
X129100000Y-95900000D03*
X129600000Y-95900000D03*
X130100000Y-95900000D03*
%TD*%
D12*
%TO.C,C33*%
X137150000Y-89100000D03*
X139650000Y-89100000D03*
%TD*%
%TO.C,C31*%
X135600000Y-93650000D03*
X138100000Y-93650000D03*
%TD*%
D19*
%TO.C,C27*%
X141800000Y-83000000D03*
X141800000Y-80500000D03*
%TD*%
%TO.C,C15*%
X111200000Y-107800000D03*
X111200000Y-105300000D03*
%TD*%
D22*
%TO.C,D5*%
X153800000Y-90100000D03*
X153800000Y-92600000D03*
%TD*%
D15*
%TO.C,R3*%
X93800000Y-118700000D03*
X91600000Y-118700000D03*
%TD*%
D23*
%TO.C,R20*%
X153800000Y-85700000D03*
X153800000Y-87900000D03*
%TD*%
D22*
%TO.C,D2*%
X91300000Y-116050000D03*
X91300000Y-113550000D03*
%TD*%
D24*
%TO.C,Y1*%
X139250000Y-98300000D03*
X134950000Y-98300000D03*
%TD*%
D25*
%TO.C,U5*%
X146600000Y-79900000D03*
X146600000Y-82200000D03*
X146600000Y-84500001D03*
D26*
X152900001Y-82200000D03*
%TD*%
D27*
%TO.C,D4*%
X144000000Y-97000000D03*
X145524000Y-97000000D03*
%TD*%
%TO.C,D3*%
X145000000Y-102500000D03*
X143476000Y-102500000D03*
%TD*%
D28*
%TO.C,D1*%
X143350000Y-124680000D03*
X143350000Y-120720000D03*
%TD*%
D29*
%TO.C,Q1*%
X131000000Y-117950000D03*
X129000000Y-118900000D03*
X131000000Y-119850000D03*
%TD*%
D15*
%TO.C,R11*%
X151600000Y-92900000D03*
X149400000Y-92900000D03*
%TD*%
D23*
%TO.C,R5*%
X146500000Y-105200000D03*
X146500000Y-103000000D03*
%TD*%
%TO.C,R4*%
X145300000Y-92500000D03*
X145300000Y-94700000D03*
%TD*%
D18*
%TO.C,C14*%
X107350000Y-110650000D03*
X107350000Y-112550000D03*
%TD*%
D30*
%TO.C,J2*%
X148400000Y-102400000D03*
X148400000Y-101600000D03*
X148400000Y-100750000D03*
X148400000Y-102100000D03*
X148400000Y-101300000D03*
X148400000Y-100250000D03*
X148400000Y-97250000D03*
X148400000Y-96400000D03*
X148400000Y-95600000D03*
X148400000Y-95900000D03*
X148400000Y-96700000D03*
X148400000Y-97750000D03*
X148400000Y-98250000D03*
X148400000Y-98750000D03*
X148400000Y-99250000D03*
X148400000Y-99750000D03*
%TD*%
D31*
%TO.C,U2*%
X102700000Y-108350000D03*
X105000000Y-108350000D03*
X107300001Y-108350000D03*
D32*
X105000000Y-102049999D03*
%TD*%
D15*
%TO.C,R12*%
X129650000Y-98250000D03*
X127450000Y-98250000D03*
%TD*%
D33*
%TO.C,F1*%
X135250000Y-116600000D03*
X135250000Y-124000000D03*
%TD*%
D15*
%TO.C,R15*%
X129500000Y-106400000D03*
X127300000Y-106400000D03*
%TD*%
D23*
%TO.C,R14*%
X125700000Y-103650000D03*
X125700000Y-101450000D03*
%TD*%
D15*
%TO.C,R10*%
X139800000Y-84150000D03*
X137600000Y-84150000D03*
%TD*%
%TO.C,R9*%
X108400000Y-79000000D03*
X106200000Y-79000000D03*
%TD*%
%TO.C,R8*%
X137650000Y-92000000D03*
X135450000Y-92000000D03*
%TD*%
%TO.C,R7*%
X143300000Y-99000000D03*
X145500000Y-99000000D03*
%TD*%
%TO.C,R6*%
X143300000Y-100500000D03*
X145500000Y-100500000D03*
%TD*%
D27*
%TO.C,FB3*%
X141588000Y-91250000D03*
X143112000Y-91250000D03*
%TD*%
%TO.C,FB2*%
X139312000Y-85700000D03*
X137788000Y-85700000D03*
%TD*%
D11*
%TO.C,FB1*%
X151600000Y-90500000D03*
X151600000Y-88976000D03*
%TD*%
D16*
%TO.C,C34*%
X137150000Y-87350000D03*
X139050000Y-87350000D03*
%TD*%
D18*
%TO.C,C32*%
X143000000Y-89500000D03*
X143000000Y-87600000D03*
%TD*%
D16*
%TO.C,C30*%
X149800000Y-88900000D03*
X147900000Y-88900000D03*
%TD*%
D18*
%TO.C,C28*%
X143800000Y-82750000D03*
X143800000Y-80850000D03*
%TD*%
D16*
%TO.C,C26*%
X117300000Y-88450000D03*
X115400000Y-88450000D03*
%TD*%
D18*
%TO.C,C24*%
X135400000Y-87100000D03*
X135400000Y-89000000D03*
%TD*%
%TO.C,C23*%
X123600000Y-100500000D03*
X123600000Y-98600000D03*
%TD*%
D16*
%TO.C,C17*%
X149800000Y-90400000D03*
X147900000Y-90400000D03*
%TD*%
D18*
%TO.C,C13*%
X109450000Y-106050000D03*
X109450000Y-107950000D03*
%TD*%
D23*
%TO.C,R1*%
X120800000Y-107650000D03*
X120800000Y-105450000D03*
%TD*%
D15*
%TO.C,R2*%
X122600000Y-107800000D03*
X124800000Y-107800000D03*
%TD*%
D23*
%TO.C,R16*%
X104150000Y-117150000D03*
X104150000Y-119350000D03*
%TD*%
%TO.C,R17*%
X111650000Y-119300000D03*
X111650000Y-117100000D03*
%TD*%
%TO.C,R18*%
X109300000Y-117100000D03*
X109300000Y-119300000D03*
%TD*%
%TO.C,R19*%
X106700000Y-119300000D03*
X106700000Y-117100000D03*
%TD*%
D12*
%TO.C,C36*%
X95350000Y-80900000D03*
X92850000Y-80900000D03*
%TD*%
D23*
%TO.C,R21*%
X119100000Y-103150000D03*
X119100000Y-105350000D03*
%TD*%
D19*
%TO.C,C2*%
X115500000Y-113250000D03*
X115500000Y-110750000D03*
%TD*%
%TO.C,C3*%
X113350000Y-110750000D03*
X113350000Y-113250000D03*
%TD*%
%TO.C,C4*%
X111200000Y-113250000D03*
X111200000Y-110750000D03*
%TD*%
D12*
%TO.C,C5*%
X116350000Y-107650000D03*
X118850000Y-107650000D03*
%TD*%
D19*
%TO.C,C7*%
X109100000Y-110750000D03*
X109100000Y-113250000D03*
%TD*%
D23*
%TO.C,R22*%
X117450000Y-105350000D03*
X117450000Y-103150000D03*
%TD*%
D19*
%TO.C,C1*%
X139700000Y-125000000D03*
X139700000Y-122500000D03*
%TD*%
M02*

Wyświetl plik

@ -0,0 +1,17 @@
M48
; DRILL file {KiCad (5.1.8)-1} date 11/16/21 20:27:52
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2021-11-16T20:27:52+01:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.8)-1
; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
INCH
T1C0.0256
%
G90
G05
T1
X5.8996Y-3.7835
X5.8996Y-4.0118
T0
M30

Wyświetl plik

@ -0,0 +1,135 @@
M48
; DRILL file {KiCad (5.1.8)-1} date 11/16/21 20:27:52
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2021-11-16T20:27:52+01:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.8)-1
; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
INCH
T1C0.0157
T2C0.0236
T3C0.0354
T4C0.0394
T5C0.0400
T6C0.0500
T7C0.0630
T8C0.1299
%
G90
G05
T1
X3.8701Y-4.2303
X3.9409Y-4.6122
X4.0118Y-4.4783
X4.0669Y-4.5177
X4.2776Y-4.5551
X4.3445Y-3.1929
X4.4724Y-3.5039
X4.4862Y-4.628
X4.5787Y-3.6654
X4.6614Y-3.5128
X4.7205Y-3.2933
X4.8445Y-3.5217
X4.8465Y-3.6594
X4.9055Y-3.561
X4.9173Y-3.4094
X4.9193Y-3.7244
X5.0157Y-3.8268
X5.1161Y-3.4094
X5.1673Y-4.1083
X5.3642Y-3.2067
X5.372Y-3.7372
X5.3728Y-3.1272
X5.4882Y-3.0886
X5.6378Y-3.6575
X5.6713Y-3.0827
T3
X4.7445Y-4.9213
X4.8445Y-4.9213
T4
X3.9996Y-4.8091
X3.9996Y-4.9091
X4.0996Y-4.8091
X4.0996Y-4.9091
X4.1996Y-4.8091
X4.1996Y-4.9091
X4.2996Y-4.8091
X4.2996Y-4.9091
X4.3996Y-4.8091
X4.3996Y-4.9091
T5
X3.8728Y-2.8831
X3.8728Y-2.9831
X3.9728Y-2.8831
X3.9728Y-2.9831
X4.0728Y-2.8831
X4.0728Y-2.9831
X4.1728Y-2.8831
X4.1728Y-2.9831
X4.2728Y-2.8831
X4.2728Y-2.9831
X4.3728Y-2.8831
X4.3728Y-2.9831
X4.4728Y-2.8831
X4.4728Y-2.9831
X4.5728Y-2.8831
X4.5728Y-2.9831
X4.6728Y-2.8831
X4.6728Y-2.9831
X4.7728Y-2.8831
X4.7728Y-2.9831
X4.8728Y-2.8831
X4.8728Y-2.9831
X4.9728Y-2.8831
X4.9728Y-2.9831
X5.0728Y-2.8831
X5.0728Y-2.9831
X5.1728Y-2.8831
X5.1728Y-2.9831
X5.2728Y-2.8831
X5.2728Y-2.9831
X5.3728Y-2.8831
X5.3728Y-2.9831
X5.4728Y-2.8831
X5.4728Y-2.9831
X5.5728Y-2.8831
X5.5728Y-2.9831
X5.6728Y-2.8831
X5.6728Y-2.9831
X5.7728Y-2.8831
X5.7728Y-2.9831
T6
X5.685Y-4.4921
X5.8819Y-4.4921
T7
X5.563Y-4.4921
X5.6811Y-4.3071
X5.8051Y-4.4921
T8
X3.6811Y-4.8622
X5.9646Y-4.8622
X3.6811Y-2.9331
X5.9646Y-2.9331
T2
G00X5.8669Y-3.7276
M15
G01X5.8906Y-3.7276
M16
G05
G00X5.8669Y-4.0677
M15
G01X5.8906Y-4.0677
M16
G05
G00X6.0315Y-3.7276
M15
G01X6.0551Y-3.7276
M16
G05
G00X6.0315Y-4.0677
M15
G01X6.0551Y-4.0677
M16
G05
T0
M30

Wyświetl plik

@ -0,0 +1,138 @@
M48
; DRILL file {KiCad (5.1.8)-1} date 11/16/21 20:30:27
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2021-11-16T20:30:27+01:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.8)-1
FMAT,2
INCH
T1C0.0157
T2C0.0236
T3C0.0354
T4C0.0394
T5C0.0400
T6C0.0500
T7C0.0630
T8C0.1299
T9C0.0256
%
G90
G05
T1
X3.8701Y-4.2303
X3.9409Y-4.6122
X4.0118Y-4.4783
X4.0669Y-4.5177
X4.2776Y-4.5551
X4.3445Y-3.1929
X4.4724Y-3.5039
X4.4862Y-4.628
X4.5787Y-3.6654
X4.6614Y-3.5128
X4.7205Y-3.2933
X4.8445Y-3.5217
X4.8465Y-3.6594
X4.9055Y-3.561
X4.9173Y-3.4094
X4.9193Y-3.7244
X5.0157Y-3.8268
X5.1161Y-3.4094
X5.1673Y-4.1083
X5.3642Y-3.2067
X5.372Y-3.7372
X5.3728Y-3.1272
X5.4882Y-3.0886
X5.6378Y-3.6575
X5.6713Y-3.0827
T3
X4.7445Y-4.9213
X4.8445Y-4.9213
T4
X3.9996Y-4.8091
X3.9996Y-4.9091
X4.0996Y-4.8091
X4.0996Y-4.9091
X4.1996Y-4.8091
X4.1996Y-4.9091
X4.2996Y-4.8091
X4.2996Y-4.9091
X4.3996Y-4.8091
X4.3996Y-4.9091
T5
X3.8728Y-2.8831
X3.8728Y-2.9831
X3.9728Y-2.8831
X3.9728Y-2.9831
X4.0728Y-2.8831
X4.0728Y-2.9831
X4.1728Y-2.8831
X4.1728Y-2.9831
X4.2728Y-2.8831
X4.2728Y-2.9831
X4.3728Y-2.8831
X4.3728Y-2.9831
X4.4728Y-2.8831
X4.4728Y-2.9831
X4.5728Y-2.8831
X4.5728Y-2.9831
X4.6728Y-2.8831
X4.6728Y-2.9831
X4.7728Y-2.8831
X4.7728Y-2.9831
X4.8728Y-2.8831
X4.8728Y-2.9831
X4.9728Y-2.8831
X4.9728Y-2.9831
X5.0728Y-2.8831
X5.0728Y-2.9831
X5.1728Y-2.8831
X5.1728Y-2.9831
X5.2728Y-2.8831
X5.2728Y-2.9831
X5.3728Y-2.8831
X5.3728Y-2.9831
X5.4728Y-2.8831
X5.4728Y-2.9831
X5.5728Y-2.8831
X5.5728Y-2.9831
X5.6728Y-2.8831
X5.6728Y-2.9831
X5.7728Y-2.8831
X5.7728Y-2.9831
T6
X5.685Y-4.4921
X5.8819Y-4.4921
T7
X5.563Y-4.4921
X5.6811Y-4.3071
X5.8051Y-4.4921
T8
X3.6811Y-4.8622
X5.9646Y-4.8622
X3.6811Y-2.9331
X5.9646Y-2.9331
T9
X5.8996Y-3.7835
X5.8996Y-4.0118
T2
G00X5.8669Y-3.7276
M15
G01X5.8906Y-3.7276
M16
G05
G00X5.8669Y-4.0677
M15
G01X5.8906Y-4.0677
M16
G05
G00X6.0315Y-3.7276
M15
G01X6.0551Y-3.7276
M16
G05
G00X6.0315Y-4.0677
M15
G01X6.0551Y-4.0677
M16
G05
T0
M30

Wyświetl plik

@ -0,0 +1,10 @@
### Module positions - created on 11/16/21 20:33:27 ###
### Printed by Pcbnew version kicad (5.1.8)-1
## Unit = mm, Angle = deg.
## Side : bottom
# Ref Val Package PosX PosY Rot Side
C22 1nF C_0603_HandSoldering 121.2500 -91.5500 90.0000 bottom
C35 10uF C_0805_HandSoldering 94.1500 -80.9000 180.0000 bottom
J3 JNO SMD-0603 111.5500 -118.6000 270.0000 bottom
J4 JNO SMD-0603 109.2500 -118.7000 270.0000 bottom
## End

Wyświetl plik

@ -0,0 +1,73 @@
### Module positions - created on 11/16/21 20:33:27 ###
### Printed by Pcbnew version kicad (5.1.8)-1
## Unit = mm, Angle = deg.
## Side : top
# Ref Val Package PosX PosY Rot Side
C1 10uF C_0805_HandSoldering 139.7000 -123.7500 270.0000 top
C2 100nF C_0805_HandSoldering 115.5000 -112.0000 270.0000 top
C3 10uF C_0805_HandSoldering 113.3500 -112.0000 270.0000 top
C4 100uF C_0805_HandSoldering 111.2000 -112.0000 270.0000 top
C5 0.47uF C_0805_HandSoldering 117.6000 -107.6500 180.0000 top
C6 47uF CP_Tantalum_Case-V_EIA-7343-20_Hand 133.9250 -110.0250 0.0000 top
C7 100nF C_0805_HandSoldering 109.1000 -112.0000 270.0000 top
C8 4.7uF C_0805_HandSoldering 117.4000 -96.1500 180.0000 top
C9 100nF C_0603_HandSoldering 131.9500 -99.2500 270.0000 top
C10 3.3uF C_0805_HandSoldering 120.1500 -82.1000 180.0000 top
C11 4.7uF C_0805_HandSoldering 100.2500 -104.8000 90.0000 top
C12 100nF C_0603_HandSoldering 99.4000 -78.9000 0.0000 top
C13 1nF C_0603_HandSoldering 109.4500 -107.0000 90.0000 top
C14 10nF C_0603_HandSoldering 107.3500 -111.6000 270.0000 top
C15 4.7uF C_0805_HandSoldering 111.2000 -106.5500 90.0000 top
C16 100nF C_0603_HandSoldering 107.3000 -99.0500 180.0000 top
C17 100nF C_0603_HandSoldering 148.8500 -90.4000 180.0000 top
C18 18pF C_0603_HandSoldering 134.6500 -101.8000 180.0000 top
C19 18pF C_0603_HandSoldering 138.5500 -101.8000 0.0000 top
C20 100nF C_0603_HandSoldering 141.8500 -86.0000 0.0000 top
C21 10nF C_0603_HandSoldering 116.4500 -90.9500 180.0000 top
C23 100nF C_0603_HandSoldering 123.6000 -99.5500 90.0000 top
C24 100nF C_0603_HandSoldering 135.4000 -88.0500 270.0000 top
C25 100nF C_0603_HandSoldering 117.8000 -97.7500 180.0000 top
C26 10nF C_0603_HandSoldering 116.3500 -88.4500 180.0000 top
C27 4.7uF C_0805_HandSoldering 141.8000 -81.7500 90.0000 top
C28 100nF C_0603_HandSoldering 143.8000 -81.8000 90.0000 top
C29 4.7uF C_0805_HandSoldering 144.6000 -86.2000 270.0000 top
C30 100nF C_0603_HandSoldering 148.8500 -88.9000 180.0000 top
C31 4.7uF C_0805_HandSoldering 136.8500 -93.6500 0.0000 top
C32 100nF C_0603_HandSoldering 143.0000 -88.5500 90.0000 top
C33 4.7uF C_0805_HandSoldering 138.4000 -89.1000 0.0000 top
C34 100nF C_0603_HandSoldering 138.1000 -87.3500 0.0000 top
C36 100uF C_0805_HandSoldering 94.1000 -80.9000 180.0000 top
C37 100nF C_0805_HandSoldering 130.1000 -113.9000 180.0000 top
D3 PGB1010603 SMD-0603 144.2380 -102.5000 0.0000 top
D4 PGB1010603 SMD-0603 144.7620 -97.0000 180.0000 top
FB1 600R SMD-0603 151.6000 -89.7380 270.0000 top
FB2 600R SMD-0603 138.5500 -85.7000 0.0000 top
FB3 600R SMD-0603 142.3500 -91.2500 180.0000 top
FB4 600R SMD-0603 113.3500 -108.3250 270.0000 top
J2 TYPE-C-31-M-12 TYPE-C-31-M-12 153.5000 -99.0000 90.0000 top
R1 620 R_0603_HandSoldering 120.8000 -106.5500 270.0000 top
R2 1K R_0603_HandSoldering 123.7000 -107.8000 0.0000 top
R3 1K R_0603_HandSoldering 92.7000 -118.7000 180.0000 top
R4 5.1K R_0603_HandSoldering 145.3000 -93.6000 270.0000 top
R5 5.1K R_0603_HandSoldering 146.5000 -104.1000 90.0000 top
R6 10 R_0603_HandSoldering 144.4000 -100.5000 0.0000 top
R7 10 R_0603_HandSoldering 144.4000 -99.0000 0.0000 top
R8 12K_1% R_0603_HandSoldering 136.5500 -92.0000 180.0000 top
R9 27 R_0603_HandSoldering 107.3000 -79.0000 180.0000 top
R10 10K R_0603_HandSoldering 138.7000 -84.1500 180.0000 top
R11 1M R_0603_HandSoldering 150.5000 -92.9000 180.0000 top
R12 10K R_0603_HandSoldering 128.5500 -98.2500 180.0000 top
R13 10K R_0603_HandSoldering 128.5500 -99.7000 180.0000 top
R14 10K R_0603_HandSoldering 125.7000 -102.5500 90.0000 top
R15 2.2K R_0603_HandSoldering 128.4000 -106.4000 180.0000 top
R16 4K7 R_0603_HandSoldering 104.1500 -118.2500 270.0000 top
R17 4.7K R_0603_HandSoldering 111.6500 -118.2000 270.0000 top
R18 4.7K R_0603_HandSoldering 109.3000 -118.2000 270.0000 top
R19 4.7K R_0603_HandSoldering 106.7000 -118.2000 270.0000 top
R20 1K R_0603_HandSoldering 153.8000 -86.8000 270.0000 top
R21 3.3K R_0603_HandSoldering 119.1000 -104.2500 90.0000 top
R22 47 R_0603_HandSoldering 117.4500 -104.2500 270.0000 top
U3 FT2232HL LQFP-64_10x10mm_Pitch0.5mm 126.3500 -90.2000 180.0000 top
U4 93LC56B SOT-23-6_Handsoldering 128.6500 -103.0500 90.0000 top
Y1 12MHz ABM712000MHZD2YT 137.1000 -98.3000 0.0000 top
## End

Plik binarny nie jest wyświetlany.

Plik binarny nie jest wyświetlany.

Przed

Szerokość:  |  Wysokość:  |  Rozmiar: 150 KiB